CN103794458B - 用于等离子体处理腔室内部的部件及制造方法 - Google Patents

用于等离子体处理腔室内部的部件及制造方法 Download PDF

Info

Publication number
CN103794458B
CN103794458B CN201210421401.5A CN201210421401A CN103794458B CN 103794458 B CN103794458 B CN 103794458B CN 201210421401 A CN201210421401 A CN 201210421401A CN 103794458 B CN103794458 B CN 103794458B
Authority
CN
China
Prior art keywords
coating
anodization
parts
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210421401.5A
Other languages
English (en)
Other versions
CN103794458A (zh
Inventor
贺小明
万磊
徐朝阳
杨平
张翰廷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Medium and Micro Semiconductor Equipment (Shanghai) Co., Ltd.
Original Assignee
Advanced Micro Fabrication Equipment Inc Shanghai
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fabrication Equipment Inc Shanghai filed Critical Advanced Micro Fabrication Equipment Inc Shanghai
Priority to CN201210421401.5A priority Critical patent/CN103794458B/zh
Priority to TW101144481A priority patent/TW201416487A/zh
Priority to US14/066,584 priority patent/US9617633B2/en
Publication of CN103794458A publication Critical patent/CN103794458A/zh
Application granted granted Critical
Publication of CN103794458B publication Critical patent/CN103794458B/zh
Priority to US15/445,714 priority patent/US9951435B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • C25D11/24Chemical after-treatment
    • C25D11/246Chemical after-treatment for sealing layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • C25D11/24Chemical after-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Abstract

一种用于等离子体处理腔室的部件的增强型涂层,所述增强型涂层形成于未密封的阳极化处理表面上。在涂层形成之后,对涂覆了涂层的区域执行掩膜,并对剩余的阳极化处理表面进行密封。被阳极化处理的但未被密封的铝的多孔的和粗糙的结构增强了涂层的粘附力。然而,为了防止颗粒污染产生,曝露的阳极处理化表面在涂层形成之后被密封。涂层可为氧化钇,其由等离子体增强型原子沉积技术制造,以形成一致密和光滑的涂层。

Description

用于等离子体处理腔室内部的部件及制造方法
技术领域
本发明涉及等离子体处理腔室,特别地,涉及一种用于等离子体处理腔室的多个部件的涂层,其增强了部件在活性等离子体组分中的抗侵蚀特性。
背景技术
在等离子处理腔室中,气体喷淋头常被用于注入反应气体。在特定的等离子处理腔室中,例如电容耦合型等离子体处理腔室(capacitively-coupledplasma chambers),气体喷淋头也可执行电极的功能,其耦接于大地或者射频电位。然而,在制程中,前述气体喷淋头曝露于等离子体中并被等离子体中的活性成份侵蚀,例如卤素等离子体CF4、Cl2等。这种现象对于具有一化学气相沉积的碳化硅涂层(CVD SiC)的气体喷淋头来说尤其麻烦。
另一曝露于等离子体中的腔室部件是夹盘,例如静电夹盘。静电夹盘通常用于充当射频功率的下电极和基片支撑座。由于静电夹盘在腔室中曝露于等离子体,静电夹盘在制程中会受到等离子体侵蚀和基片磨损。因此,静电夹盘的表面在等离子体刻蚀制程中必须坚硬和稳定。然而,现有的静电夹盘通常由固体陶瓷制成,例如Al2O3或AlN,其可被等离子体侵蚀,并在制程中造成污染。
其它在腔室中接触等离子体的部件,例如,聚焦环,等离子体约束环,反应腔内衬等。特别地,是由阳极化的铝制成的部件。
在现有技术中,为了保护气体喷淋头和静电夹盘不被等离子体侵蚀,各种各样的涂层已经被提出并进行验证。氧化钇(Y2O3)涂层被认为是有希望的;然而,要找到一种形成好涂层的制程却非常困难,特别是那些不产生裂缝或产生粒子污染(particle)的制程。例如,业内已经提出过利用等离子体喷涂(plasma spray,简称PS)来涂覆由金属、合金或陶瓷制成的腔室部件。然而,传统的等离子体喷涂Y2O3涂层是利用喷涂的Y2O3粒子形成的,并且通常导致形成的涂层具有高表面粗糙度(Ra大于4微米或更多)和相对高的孔隙度(体积率大于3%)。这种高粗糙度和多孔结构使得涂层易产生颗粒,其有可能导致制程基片的污染。另外,由于气体注入孔内的等离子体喷涂层非常粗糙并和基体具有较弱的粘附力,当这种被喷涂过的气体喷淋头在等离子处理腔室中使用时,所述颗粒会从气体注入口出来,掉落到基片上。
并且,等离子体喷涂Y2O3涂层通常沉积于铝合金部件上,其表面事先被阳极化处理。由于等离子体喷涂Y2O3涂层对阳极化表面的粘附力很弱,阳极化处理层必须在等离子体喷涂Y2O3之前从部件上移除,其增加了生产成本。即,在现有技术中,通常先阳极化处理然后密封阳极化处理的腔室部件。然后,部件上那些将会被曝露于等离子体的区域上的阳极化处理层将被移除。而曝露于等离子体的区域则被等离子体喷涂的Y2O3(PS Y2O3)涂覆,以避免氧化钇和铝之间的粘附问题。
另一缺陷在于,由于Y2O3涂层和铝合金的热膨胀系数差别很大,厚的等离子体喷涂Y2O3涂层具有较弱的结构稳定性,当使用温度升高时,其容易破裂。
其它形成氧化钇涂层的方案包括利用化学气相沉积(chemicalvapordeposition,CVD),物理气相沉积(physical vapor deposition,PVD),离子辅助沉积(ion assisted deposition,IAD),活性反应蒸发(active reactiveevaporation,ARE),电离金属等离子体(ionized metal plasma,IMP),溅射沉积,等离子体浸没式离子注入制程(plasma immersion ion process,PIIP)。然而,所有这些沉积制程都具有一些技术限制,使得它们还不能实际上用于提升在腔室部件上沉积厚的涂层的水平,以避免等离子体侵蚀。例如,用化学气相沉积制作Y2O3涂层不能在无法承受600°C以上的温度上的基体上实现,这就排除了在由铝合金制成的腔室部件上沉积抗等离子体侵蚀涂层的可能。PVD制程,例如蒸发,不能沉积致密的、厚的陶瓷涂层,因为其与基片之间的粘附力较弱。由于高应力和弱粘附力(例如溅射沉积,ARE和IAD)或者极低的沉积速率(例如溅射沉积,IMP和PIIP),这些其它的沉积制程也不能沉积厚涂层。因此,到目前为止还没有制造出理想的涂层,这种理想的涂层应具有良好的抗腐蚀性,同时应当生成较少或者不生成颗粒污染,其可以被制成具有较大的厚度并没有破裂或分层剥离。
鉴于上文所述的现有技术的缺陷,业内需要一种能够抗等离子体轰击并不产生颗粒污染或裂缝的涂层。该涂层应具有可接受的粗糙度和孔隙大小,使得其具有长的使用寿命。制造该涂层的制程应当允许制造厚涂层,并且不会出现破裂或分层剥离。
发明内容
以下发明内容是为了提供本发明的一些方面和特征的基本理解。发明内容并不是本发明的广泛综述,因此其并不是为了具体地确定本发明的关键或主要要素,也并不是为了说明本发明的范围。其唯一目的是为了以简化形式介绍本发明的一些概念,作为下文中详细描述的前序。
根据本发明的一个方面,本发明提供了在被阳极化处理的腔室部件上形成抗等离子体侵蚀的涂层的方法。根据各具体实施例,本发明提供了在部件表面涂覆(coating)涂层的工艺,从而被涂覆的部件的工作性能得以改善。其它具体实施例包括将涂覆了涂层的部件改装或安装入等离子体处理腔室,以改善等离子体制程质量。
根据一个具体实施例,一铝的腔室部件首先被阳极化处理。然而,和现有技术的阳极化处理制程不同,阳极化处理的部件并不被密封。相反,在阳极化处理制程之后,其仍然保持相当粗糙和多孔的表面,一抗等离子体涂层形成于部件的在制程中会曝露于等离子体的表面上。和现有技术的等离子体喷涂涂层不同,此处提及的抗等离子体涂层被称为增强型抗等离子体涂层(简单地称为A-coating,A涂层),例如,基于A-Y2O3,A-YF3或A-Al2O3的涂层。之后,被阳极化处理部件上的那些没有被涂覆的区域会被密封或不密封,这取决于实际需要。
所公开的具体实施例的各种各样的特征包括:(i)形成具有特定粗糙度的腔室部件;(ii)形成特别的阳极化处理部件;即,该阳极化处理表面形成后,没有经过传统的后密封制程,相反地,而是保持其粗糙和多孔的表面;(iii)在腔室部件的未密封的阳极化处理表面上沉积形成A涂层(例如A-Y2O3);以及(iv)对腔室部件上未经涂覆涂层但是阳极化处理的表面进行密封(seal)。根据制程需要,步骤(iv)可能并不会在部件上执行。最后形成的部件可具有一或两种表面,即,完全涂覆了A-Y2O3而没有任何阳极化处理表面曝露在外,或者具有氧化钇涂覆表面和阳极化处理表面。
在一个示例性的制程中,利用等离子体增强型物理气相沉积(PEPVD)来制造一种具有良好/紧密颗粒结构和随机晶体取向(random crystalorientation)的增强型氧化钇涂层,例如基于Y2O3或YF3的涂层,所述涂层涂覆于由铝合金制成的气体喷淋头上,其中,(1)沉积是在低压或真空腔室环境下执行;(2)至少一个沉积元素或成份从一材料源被蒸发或溅射出来,被蒸发或溅射出来的材料凝结在基片衬底表面上(这部分制程是一个物理过程,在这里被称为物理气相沉积或PVD部分);(3)同时,一个或多个等离子体源被用来激发出离子或产生等离子体以围绕气体喷淋头表面,至少一沉积元素或成份被电离并与被蒸发或溅射的元素或成份在等离子体中或在气体喷淋头表面上反应;(4)气体喷淋头耦接于负电压,使得其在沉积制程过程中被电离的原子或离子轰击。在动作(3)和(4)中的反应指的是PEPVD中的“等离子体增强”(plasma enhanced,或者PE)功能。
应当说明,等离子体源可以被用于(1)离子化、分解和激发反应气体以使得沉积制程能够在低衬底温度和高涂覆生长速度下执行,因为等离子体促使产生更多的离子和自由基,或者(2)产生针对气体喷淋头的能量离子(energetic ions),以使得离子轰击气体喷淋头的表面并有助于在之上形成厚的和凝结的涂层。更特别地,所述等离子体源被用于择一或共同执行功能(1)和(2),以在气体喷淋头上形成涂层。这种涂层综合具有足够的厚度和紧密度结构,在此处被称为是“增强型涂层”(Advanced coating,以下称:A涂层),例如,以A-Y2O3、A-YF3或者A-Al2O3为基础的涂层。
在结合附图阅读下述几个具体实施例的描述后,本发明的上述方面以及其它方面对于本领域的技术人员来说是明显的。
附图说明
附图是为了解释并图示本发明的原则,其组成了说明书的一部分,例证了本发明的具体实施例以及描述。附图是为了以图示的方式说明典型具体实施例的主要特征。附图并不是为了描述具体实施例的每个特征,也并不是按照比例示出了其示出元件的相对尺寸。
图1是根据本发明的一个具体实施例的工艺流程图;
图2(a)是气体喷淋头材料被基于CF4/O2的等离子体侵蚀时,从气体喷淋头表面测量而来的气体喷淋头材料的标准化侵蚀速率的曲线图;
图2(b)~2(d)是沉积于阳极化的铝合金表面上的A-Y2O3涂层的显微图;
图3(a)~3(b)是等离子体喷涂的Y2O3涂层的粗糙表面和多孔横截面结构的显微图;
图3(c)~3(d)是利用PEPVD沉积于阳极化铝合金的A-Y2O3涂层的显微图,其具有光滑表面,其横截面结构没有孔隙并具有致密结构;
图4示出了根据本发明的一个具体实施例的用于沉积增强型涂层的装置;
图5A示出了用于等离子体处理腔室的传统的气体喷淋头和电极组件;
图5B示出一气体喷淋头具有大致上和图5A一样的结构,除了其包括根据本发明一个具体实施例的增强型涂层;
图5C示出了另一具体实施例,其中气体喷淋头具有一片式气体分布盘,其在底表面、侧表面、阳极化处理的背面上具有A涂层;
图6示出了根据本发明的一个具体实施例的包括一气体喷淋头的等离子体腔室。
具体实施方式
多个具体实施例将在下文中进行描述,提供用于等离子体处理腔室部件的改进涂层,其能改善涂层的抗腐蚀和颗粒污染性能。
阳极化处理是一种电解钝化制程,用于提高在金属部件表面上的自然氧化层厚度。阳极膜最常用于保护铝合金,其也用于钛、锌、镁、铌、锆、铪、钽。阳极化处理会改变表面的微观结构以及临近表面的金属的晶体结构。厚涂层通常是多孔的,并具有细胞结构,因此通常需要密封制程(sealing process)以达到抗侵蚀的目的。例如,阳极化处理的铝表面比铝坚硬,但是具有低的和中等的耐侵蚀性,这可以通过增加厚度或施加适当的密封来实现。需要指出的是,在阳极化处理表面上实施的后密封制程实际上需要长时间地浸泡待阳极化处理的部件于煮沸的去离子水或蒸汽中,以使得氧化物被转化为其与水结合的形式,最终产生膨胀以减少其表面的孔隙。可选地,可使用冷密封,其中,孔隙通过在室温的操作池中注入密封剂而被关闭。和其它类型的涂覆与金属电镀相比,阳极膜通常更坚固,并且粘附力更强,但是也易碎。这使得它们不容易随着老化和磨损而破裂和剥落,但其更易受热应力影响而破裂,该热应力源于等离子体处理腔室中的冷热循环。通常,具有含水结构的后密封处理的阳极化处理表面在温度高于120°C的环境下容易破裂。
因此,现有技术对阳极化处理的铝做了一些努力以保护在等离子体处理腔室中曝露于等离子体的铝部件。为了避免颗粒污染产生,在阳极化处理的铝表面上施加一密封制程,以避免等离子体组分轰击阳极化处理层。然而,常规的阳极化铝的后密封阳极化处理表面不稳定并且对于使用温度较敏感,该阳极化处理表面会破裂,并在沉积制程或者热循环刻蚀制程过程中在等离子体处理腔室中也会引起A涂层的破裂和分层。实际上,其弱的粘附性限制了直接在阳极化处理的铝腔室部件上沉积厚A-Y2O3涂层。
施加密封制程于阳极化铝的其中一个主要原因是,阳极化铝的表面粗糙并多孔隙。然而,在公开的具体实施例中,上述现象被有利地用于增强A涂层粘附在阳极化部件上的粘附性上。即,涂覆制程直接在阳极化制程之后以及密封制程之前执行。可知,阳极化铝的自然粗糙和多孔表面增强了涂层的粘附性。这是因为阳极化材料的表面粗糙度的增加导致涂层和衬底表面之间界面的接触面积的增加,并改变涂层接触区域从二维形状变为三维形状,其导致了A涂层和阳极化部件之间的界面应力的释放,并因此增加了涂层与衬底的粘附力。涂层涂覆完成之后,还未被涂覆的阳极化表面再经过一个密封制程的处理。
图1示出了根据本发明一个具体实施例的制程。在本具体实施例中,制造了一具有等离子体曝露表面的铝部件。在步骤100中,部件被阳极化处理。阳极化处理的是曝露于等离子体的部件表面,也可选择性地对未曝露于等离子体的表面进行阳极化处理。优选地,执行一阳极化处理制程以使得阳极化表面具有的粗糙度为12um>Ra>4um。
在步骤105中,曝露于等离子体的表面被涂覆涂层。例如,可利用等离子体喷涂Y2O3粉末涂覆涂层。其它用于形成涂层的方法也包括在内,例如PVD,CVD和PECVD。在步骤110中,涂覆了涂层的表面被掩膜(masked)或者保护起来(protected),在步骤115中,未涂覆涂层但是被阳极化处理的表面被密封。然而,根据对涂覆了涂层的部件的使用条件,其可能不需要后密封。可利用例如长时间沉浸在煮沸的去离子水或蒸汽中来执行密封,以使得氧化物被转化为其与含水的形式,并产生膨胀减少其表面的孔隙。可选地,可利用冷密封,其中,利用在恒温池中注入密封剂来关闭气孔。本实施例可利用聚四氟乙烯(Teflon)、乙酸镍(nickel acetate)、醋酸钴(cobalt acetate)、热的纳或者重钴酸钾(potassiumdichromate)密封。
上述制程用于在气体喷淋头表面涂覆涂层,包括密封或者未密封的区域,所得到的涂层已做研究。图2(a)示出了气体喷淋头材料被基于CF4/O2的等离子体侵蚀时,从气体喷淋头表面测量而来的气体喷淋头材料的标准化侵蚀速率图。根据图1所示的方法制成的涂层被称为A-Y2O3涂层。应当理解,A-Y2O3涂层具有最低的侵蚀速率,因此在刻蚀制程中具有最稳定的结构。图2(b)示出了沉积于密封的阳极化铝(Al 6061)之上的厚度为25um的破裂的A-Y2O3涂层,然而,在沉积于未密封的阳极化铝(Al 6061)之上的厚度为25um的A-Y2O3涂层上没有观察到破裂,如图2(c)所示。图2(d)示出了60um厚的A-Y2O3涂层粗糙但不破裂的表面,其沉积于表面粗糙度Ra≥6um的未密封的阳极化铝(Al 6061)上。图2(a)和(d)示出了在未密封的和粗糙的阳极化处理表面上的A-Y2O3涂层的结构稳定性得到改进。
根据其它具体实施例,涂层通过原子沉积形成,而不是颗粒沉积(其为等离子体喷涂的情形)。在原子沉积中,涂层形成于真空中(等离子体喷涂形成于大气环境),且涂层由原子和自由基凝结,而非颗粒。在本发明中,涂层利用例如等离子体增强型物理气相沉积(PEPVD)的制程来形成。
如图1所示的具体实施例,原子沉积形成于一阳极化但未密封的表面。图3(a)~3(b)是等离子体喷涂的Y2O3涂层的粗糙表面和多孔横截面结构的显微图;图3(c)~3(d)是利用PEPVD沉积形成的A-Y2O3涂层的光滑表面以及没有孔隙并致密的横截面结构的的显微图。从图3(c)~3(d)可以看出,制成的涂层具有大约为2um的表面粗糙度,并且没有孔隙,即,孔隙度小于3%的等离子喷涂涂覆(plasma spray coating)。虽然图3(d)示出的多层材料层结构涂层具有的厚度为80um,但是它却没有破裂。图3示出的涂层形成于阳极化处理层上,其从铝衬底转化而成。
根据本发明的另一具体实施例,原子沉积的另一特定形式用于形成增强型涂层。图4示出了根据本发明一个具体实施例的沉积A涂层的装置。该装置利用一PEPVD制程来沉积A涂层,其中PE和PVD部件在图4中由虚线示出。传统上,化学气相沉积(CVD)或等离子体增强型化学气相沉积(PECVD)指的是一种化学制程,其中,将衬底曝露于一个或多个易挥发的前驱(volatile precursors),前驱在衬底表面反应或分解,以在衬底表面上产生所预期的沉积薄膜。另外,PVD指的是一种涂层制作方法,其包括纯物理过程,其使一被蒸发或被溅射的预期薄膜材料凝结,从而在衬底的表面沉积薄膜。因此,可以理解,前述PEPVD为这两种制程的混合。即,所述的PEPVD包括蒸发凝结的物理制程(PVD部分)和在腔室中和衬底表面上的化学反应(PE部分)。
在图4中,腔室400利用真空泵415被抽成为真空。待涂覆涂层部件410连接于支撑件405上,部件410示例性地为气体喷淋头,但是其可以为任何其它部件。同时,负偏置电压通过支撑件105施加于部件110。
一源材料420包括待沉积组份,其通常为固体形式。例如,如果待沉积薄膜是Y2O3或YF3,源材料420应包括钇(或氟)——可能还有其它材料,例如氧气,氟(或钇)等。为了形成物理沉积,所述源材料被蒸发或溅射。在图4所示的具体实施例中,利用电子枪(electrongun)425来执行蒸发,其将电子束(electron beam)430导向源材料420之上。当源材料被蒸发,原子和分子位置向待涂覆部件410飘移并凝结于待涂覆部件410上,图示中用虚线箭头示出。
等离子体增强型部件由气体注射器(gas injector)435组成,其向腔室400内注入反应或非反应源气体,例如包含氩、氧、氟的气体,图示中用虚线示出。等离子体440利用等离子体源维持于部件110的前方,等离子体源例如射频、微波等,在本实施例中示例性地由耦合于射频源450的线圈445示出。不受理论的束缚,我们认为在PE部分有几个过程发生。首先,非活性离子化气体组份,例如氩,轰击部件410,当它被聚集后从而使得薄膜变得致密。离子轰击的效果源自于负偏压施加至气体喷淋头410和气体喷淋头支撑件405,或源自于由等离子体源发出的并对准气体喷淋头405的离子。此外,例如氧或氟的活性气体组份与蒸发的或溅射的源材料反应,所述反应或者位于部件410的表面上或者位于腔室内。例如,源材料钇与氧气反应生成了氧化钇涂层。因此,上述制程具有物理过程(轰击和凝结)和化学过程(例如,离子化和氧化)。
图5A示出了现有技术的用于等离子处理腔室的气体喷淋头和电极组件。导电板(conductive plate)505位于背板(back plate)510和多孔板(perforatedplate)515之间,导电板505有时候可以转化为控制气体喷淋头温度的加热器,导电环520围绕多孔板515设置,并可以充当辅助电极。支撑件525(有时也称为接地环)也位于导电环520和背板510之间。多孔板515实际上充当了气体分布板(gas distribution plate,GDP),其可以由陶瓷、石英等制成,例如,其可以由碳化硅制成,可以被组装于传导板505的下表面。导电环520可由陶瓷、石英等制成,例如,其可以由碳化硅制成,可以被组装于支撑件525的下表面。支撑件525,传导板505和背板510可由金属制成,例如铝、不锈钢等。气体喷淋头以一种常见的方式附设于等离子处理腔室的顶部上。
图5B示出了一和图5A大体上相同的气体喷淋头,不同之处在于:其包括了根据本发明一个具体实施例的增强型涂层。在图5B中,增强型涂层535(例如,A-Y2O3)设置于多孔板和传导环520的下表面之上,即,在基片制程中面对等离子体的表面。在本实施例中,多孔板和传导环按照标准流程利用铝合金制造。多孔板和传导环被阳极化处理,但并未密封。然后,多孔板和传导环一并或者单独地被插入PEPVD腔室中,多孔板和传导环下表面被涂覆有增强型涂层。然后被涂覆了涂层的表面被执行掩膜,施加密封剂于阳极化但未涂覆的传导环525表面,因此形成阳极化的密封的表面530。可选地,传导环520的至少一个底部涂覆了Y2O3,同时保持传导环520的剩余表面经过阳极化处理以及密封。同样的制程也执行于多孔板,未涂覆但是阳极化处理表面被密封以形成密封的表面530。
图5C示出了较图5B具有改良结构的气体喷淋头,其具有根据本发明一个具体实施例的增强型涂层。在图5C中,多孔板515、传导环520和支持环525在前述实施例中被集成为一体成型(一片式)的盘或在本实施例中的气体分布盘(gas distribution plate,GDP)515。与附图5A所示的现有技术极不同的是,一体成型的多孔板515可由金属制成,例如,铝合金,和保护多孔板表面的增强型涂层535(例如,A-Y2O3),即,在等离子体和/或刻蚀制程中面对等离子体的表面。与现有技术相比,用A-Y2O3涂层535涂覆在多孔板515上形成的气体喷淋头可减少产品成本,简化了气体喷淋头的装配和制造过程,提高了使用寿命。另一优点是其可以利用在一体成型的多孔板515上再次沉积A涂层535,翻新使用过的气体喷淋头。多孔板515被阳极化处理,但是未密封。然后,多孔板515插入到PEPVD腔室,多孔板515的底表面和侧表面用增强型涂层涂覆。涂覆过涂层的表面然后被执行掩膜,施加密封剂于阳极化处理但未涂覆的多孔板515背面。可选地,在另一具体实施例中,由一体成型的多孔板515装配而成的具有传导盘505和背板510的气体喷淋头的至少一底部和侧面利用A-Y2O3涂覆,同时保持其不面对等离子体的表面被阳极化处理以及密封处理。由于A涂层直接沉积于阳极化处理表面,在A涂层和阳极化处理表面之间没有界面问题,由于等离子体喷涂Y2O3通常沉积于腔室部件的裸露铝表面上,界面问题一般存在于等离子体喷涂Y2O3涂层和阳极化处理表面之间。
由于PEPVD涂层是利用原子来建立涂层的,气体注入孔的内壁也被涂覆了涂层。然而,和现有技术的涂层不同,增强型涂层由原子和分子的凝结而形成,因此可以形成致密、均匀的并且与气体注入孔的内壁表面粘附性良好的A涂层,因此提供了平滑的气体流动并避免了任何颗粒污染的产生。
图6示出了一个等离子体处理腔室,其中,根据本文公开的任一具体实施例,气体喷淋头连接至腔室顶部。腔体600形成了一密封用以保证腔室的排气。待处理的基片衬底设置于夹盘610之上,在本实施例中射频功率源被施加于夹盘610中的电极上。气体喷淋头630用于往腔室内注入制程气体,并作用为提供接地路径或者射频能量路径的电极。
需要说明的是,本文中提及的制程和技术并不是固有地与任何特定地装置有关,其可以用任何合适的部件组合而得到。进一步地,根据本专利的教示和描述,多种类型的通用装置可以被使用。本发明根据特定例子进行了描述,其只是为了从各方面说明本发明而并不是限制本发明。本领域技术人员应当理解,许多不同的组合适合于实施本发明。
并且,对于熟悉本领域的技术人员而言,根据本专利所公开的说明书和操作,实施本发明的其它的实施方式将是显而易见的。上文中具体实施例的不同方面和/或部件可以单一或者组合地应用。需要说明的是,上文所述具体实施例和方式都应仅考虑为例证性的,本发明的真正范围和精神都应以权利要求书为准。

Claims (19)

1.一种用于等离子体处理腔室内部的部件,其中,包括:
具有阳极化处理外表面的部件主体;
直接形成于所述阳极化处理外表面的一部分上的氧化钇涂层;以及,
形成于所述阳极化处理外表面中没有覆盖氧化钇涂层的部分的密封剂。
2.根据权利要求1所述的部件,其特征在于,所述阳极化处理外表面的的粗糙度为4μm<Ra<12μm。
3.根据权利要求1所述的部件,其特征在于,所述氧化钇涂层具有的表面粗糙度为Ra>1.0μm,其孔隙度小于1%,并且为多层材料层结构。
4.根据权利要求1所述的部件,其特征在于,所述部件包括一涂覆了氧化钇涂层的气体喷淋头,其中,所述氧化钇涂层具有表面粗糙度Ra>1.0μm,其孔隙度小于1%,并且具有多层材料层结构。
5.一种制造用于等离子体处理腔室的部件的方法,其特征在于,所述方法包括如下步骤:
制造一由铝或铝合金制成的部件;
阳极化处理所述部件以在所述部件上形成阳极化处理表面,其中,所述阳极化处理步骤并未执行密封步骤;
涂覆氧化钇涂层于所述阳极化处理表面的一部分;
密封所述阳极化处理表面未涂覆涂层的部分。
6.根据权利要求5所述的方法,其特征在于,所述涂层利用含钇的材料形成。
7.根据权利要求5所述的方法,其特征在于,所述涂层利用原子沉积在真空中形成。
8.根据权利要求7所述的方法,其特征在于,所述涂层在施加一偏执电压于所述部件时被涂覆。
9.根据权利要求8所述的方法,其特征在于,利用蒸发含钇的源材料以及保持反应性的和不反应性的气体组分的等离子体来执行涂覆所述涂层。
10.根据权利要求9所述的方法,其特征在于,制得的所述涂层具有的表面粗糙度Ra>1.0μm,孔隙度小于1%,并且其为多层材料层结构。
11.根据权利要求5所述的方法,其特征在于,所述方法还包括在执行密封之前对所述涂层执行掩膜的步骤。
12.一种制造用于等离子体处理腔室的部件的方法,所述方法包括如下步骤:
制造一由铝或铝合金制成的部件;
阳极化处理所述部件以在该部件表面形成一阳极化处理层,其中,阳极化处理步骤并未执行密封步骤;
利用如下步骤涂覆氧化钇涂层于阳极化处理表面的选定区域:
执行物理沉积制程以沉积源材料于所述阳极化处理表面的选定区域;以及,
执行化学制程以用化学方式改变沉积于所述阳极化处理表面的所述源材料。
13.根据权利要求12所述的方法,其特征在于,所述方法进一步地包括:密封所述阳极化处理表面的未涂覆涂层的区域。
14.根据权利要求13所述的方法,其特征在于,所述方法进一步地包括:在所述密封步骤之前对所述涂层执行掩膜的步骤。
15.根据权利要求12所述的方法,其特征在于,所述化学制程包括:维持等离子体接触于所述阳极化处理表面的所述选定区域,同时供应反应性或者非反应性气体于等离子体中。
16.根据权利要求15所述的方法,其特征在于,所述物理沉积制程包括:蒸发源材料以及凝结该蒸发的源材料于所述阳极化处理表面的所述选定区域上。
17.根据权利要求16所述的方法,其特征在于,所述源材料包括含钇材料。
18.根据权利要求17所述的方法,其特征在于,所述非反应性气体包括氩,所述反应性气体包括氧气和氟气之一。
19.根据权利要求18所述的方法,其特征在于,所述涂层具有一表面粗糙度Ra>1.0μm,并为多层材料层结构。
CN201210421401.5A 2012-10-29 2012-10-29 用于等离子体处理腔室内部的部件及制造方法 Active CN103794458B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201210421401.5A CN103794458B (zh) 2012-10-29 2012-10-29 用于等离子体处理腔室内部的部件及制造方法
TW101144481A TW201416487A (zh) 2012-10-29 2012-11-28 用於等離子體處理腔室內部的部件及製造方法
US14/066,584 US9617633B2 (en) 2012-10-29 2013-10-29 Coating packaged chamber parts for semiconductor plasma apparatus
US15/445,714 US9951435B2 (en) 2012-10-29 2017-02-28 Coating packaged chamber parts for semiconductor plasma apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210421401.5A CN103794458B (zh) 2012-10-29 2012-10-29 用于等离子体处理腔室内部的部件及制造方法

Publications (2)

Publication Number Publication Date
CN103794458A CN103794458A (zh) 2014-05-14
CN103794458B true CN103794458B (zh) 2016-12-21

Family

ID=50547501

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210421401.5A Active CN103794458B (zh) 2012-10-29 2012-10-29 用于等离子体处理腔室内部的部件及制造方法

Country Status (3)

Country Link
US (2) US9617633B2 (zh)
CN (1) CN103794458B (zh)
TW (1) TW201416487A (zh)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014158767A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. High purity aluminum top coat on substrate
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6714978B2 (ja) 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104630746A (zh) * 2015-01-27 2015-05-20 中国科学院微电子研究所 一种内衬、内衬构成的腔室及内衬表面的处理方法
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN107740166A (zh) * 2017-11-24 2018-02-27 南宁可煜能源科技有限公司 一种太阳能板铝边框的微弧氧化方法
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7066868B2 (ja) * 2018-03-08 2022-05-13 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 反応室コンポーネント、作製方法、及び反応室
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI741320B (zh) * 2018-07-18 2021-10-01 日商日本發條股份有限公司 電漿處理裝置用構件
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
WO2021163053A1 (en) * 2020-02-13 2021-08-19 Lam Research Corporation Coating for plasma processing chamber part
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023086165A1 (en) * 2021-11-09 2023-05-19 Lam Research Corporation Coated part for capacitively coupled chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1505695A (zh) * 2001-03-30 2004-06-16 ��ķ�о����޹�˾ 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层
CN102272344A (zh) * 2008-11-04 2011-12-07 普莱克斯技术有限公司 用于半导体应用的热喷涂层

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP2004332081A (ja) * 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
TWI308776B (en) * 2006-12-27 2009-04-11 Advanced Micro Fab Equip Inc A plasma confinement apparatus
US8231736B2 (en) * 2007-08-27 2012-07-31 Applied Materials, Inc. Wet clean process for recovery of anodized chamber parts
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1505695A (zh) * 2001-03-30 2004-06-16 ��ķ�о����޹�˾ 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层
CN102272344A (zh) * 2008-11-04 2011-12-07 普莱克斯技术有限公司 用于半导体应用的热喷涂层

Also Published As

Publication number Publication date
CN103794458A (zh) 2014-05-14
TWI496939B (zh) 2015-08-21
US9617633B2 (en) 2017-04-11
TW201416487A (zh) 2014-05-01
US20140120312A1 (en) 2014-05-01
US20170241038A1 (en) 2017-08-24
US9951435B2 (en) 2018-04-24

Similar Documents

Publication Publication Date Title
CN103794458B (zh) 用于等离子体处理腔室内部的部件及制造方法
US20190338408A1 (en) Coating for performance enhancement of semiconductor apparatus
US9633884B2 (en) Performance enhancement of coating packaged ESC for semiconductor apparatus
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
CN103794459B (zh) 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN109075084B (zh) 保护性金属氟氧化物涂层
CN107142463B (zh) 一种等离子体化学气相沉积与磁控溅射或离子镀复合的镀覆方法
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
CN104630708A (zh) 一种类金刚石厚膜及其制备方法及一种工件
KR20160022361A (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
TWI541894B (zh) A plasma processing chamber, a gas sprinkler head and a method of manufacturing the same
CN103866286B (zh) 用于半导体基片反应室内部的部件及制造方法
CN105990081B (zh) 等离子体处理装置及其制作方法
WO2020117979A1 (en) Atomic layer deposition coatings for high temperature ceramic components
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US20140199561A1 (en) Coated article and method for manufacturing same
KR100677956B1 (ko) 비정질 금속층을 포함하는 열용사 코팅막 및 그 제조 방법
US20210403337A1 (en) Yttrium oxide based coating and bulk compositions
JP4512603B2 (ja) 耐ハロゲンガス性の半導体加工装置用部材
CN104114740B (zh) 低温电弧离子镀涂层
US20240093380A1 (en) Grounding devices for substrate processing chambers
KR20120074050A (ko) 기판에의 경질 피막 제조방법
TWI472637B (zh) 鋁合金的表面處理方法及由鋁合金製得的殼體
CN113802094A (zh) 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: 201201 No. 188 Taihua Road, Jinqiao Export Processing Zone, Pudong New Area, Shanghai

Patentee after: Medium and Micro Semiconductor Equipment (Shanghai) Co., Ltd.

Address before: 201201 No. 188 Taihua Road, Jinqiao Export Processing Zone, Pudong New Area, Shanghai

Patentee before: Advanced Micro-Fabrication Equipment (Shanghai) Inc.

CP01 Change in the name or title of a patent holder