TW201416487A - 用於等離子體處理腔室內部的部件及製造方法 - Google Patents

用於等離子體處理腔室內部的部件及製造方法 Download PDF

Info

Publication number
TW201416487A
TW201416487A TW101144481A TW101144481A TW201416487A TW 201416487 A TW201416487 A TW 201416487A TW 101144481 A TW101144481 A TW 101144481A TW 101144481 A TW101144481 A TW 101144481A TW 201416487 A TW201416487 A TW 201416487A
Authority
TW
Taiwan
Prior art keywords
coating
anodized
component
plasma
sealing
Prior art date
Application number
TW101144481A
Other languages
English (en)
Other versions
TWI496939B (zh
Inventor
Xiaoming He
Lei Wan
chao-yang Xu
Ping Yang
Hanting Zhang
Original Assignee
Advanced Micro Fab Equip Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fab Equip Inc filed Critical Advanced Micro Fab Equip Inc
Publication of TW201416487A publication Critical patent/TW201416487A/zh
Application granted granted Critical
Publication of TWI496939B publication Critical patent/TWI496939B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • C25D11/24Chemical after-treatment
    • C25D11/246Chemical after-treatment for sealing layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/04Anodisation of aluminium or alloys based thereon
    • C25D11/18After-treatment, e.g. pore-sealing
    • C25D11/24Chemical after-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種用於等離子體處理腔室的部件的增強型塗層,所述增強型塗層形成於未密封的陽極化處理表面上。在塗層形成之後,對塗覆了塗層的區域執行掩膜,並對剩餘的陽極化處理表面進行密封。被陽極化處理的但未被密封的鋁的多孔的和粗糙的結構增強了塗層的粘附力。然而,為了防止顆粒污染產生,曝露的陽極處理化表面在塗層形成之後被密封。塗層可為氧化釔,其由等離子體增強型原子沉積技術製造,以形成一緻密和光滑的塗層。

Description

用於等離子體處理腔室內部的部件及製造方法
本發明係關於等離子體處理腔室,特別地,係關於用於等離子體處理腔室的多個部件的塗層,其增強了部件在活性等離子體組分中的抗侵蝕特性。
在等離子處理腔室中,氣體噴淋頭常被用於注入反應氣體。在特定的等離子處理腔室中,例如電容耦合型等離子體處理腔室(capacitively-coupled plasma chambers),氣體噴淋頭也可執行電極的功能,其耦接於大地或者射頻電位。然而,在制程中,前述氣體噴淋頭曝露於等離子體中並被等離子體中的活性成份侵蝕,例如鹵素等離子體CF4、Cl2等。這種現象對於具有一化學氣相沉積的碳化矽塗層(CVD SiC)的氣體噴淋頭來說尤其麻煩。
另一曝露於等離子體中的腔室部件是夾盤,例如靜電夾盤。靜電夾盤通常用於充當射頻功率的下電極和基片支撐座。由於靜電夾盤在腔室中曝露於等離子體,靜電夾盤在制程中會受到等離子體侵蝕和基片磨損。因此,靜電夾盤的表面在等離子體刻蝕制程中必須堅硬和穩定。然而,現有的靜電夾盤通常由固體陶瓷製成,例如Al2O3或AlN,其可被等離子體侵蝕,並在製程中造成污染。
其它在腔室中接觸等離子體的部件,例如,聚焦環,等離子體約束環,反應腔內襯等。特別地,是由陽極化的鋁 製成的部件。
在習知技術中,為了保護氣體噴淋頭和靜電夾盤不被等離子體侵蝕,各種各樣的塗層已經被提出並進行驗證。氧化釔(Y2O3)塗層被認為是有希望的;然而,要找到一種形成好塗層的制程卻非常困難,特別是那些不產生裂縫或產生粒子污染(particle)的制程。例如,業內已經提出過利用等離子體噴塗(plasma spray,簡稱PS)來塗覆由金屬、合金或陶瓷製成的腔室部件。然而,傳統的等離子體噴塗Y2O3塗層是利用噴塗的Y2O3粒子形成的,並且通常導致形成的塗層具有高表面粗糙度(Ra大於4微米或更多)和相對高的孔隙度(體積率大於3%)。這種高粗糙度和多孔結構使得塗層易產生顆粒,其有可能導致制程基片的污染。另外,由於氣體注入孔內的等離子體噴塗層非常粗糙並和基體具有較弱的粘附力,當這種被噴塗過的氣體噴淋頭在等離子處理腔室中使用時,所述顆粒會從氣體注入口出來,掉落到基片上。
並且,等離子體噴塗Y2O3塗層通常沉積於鋁合金部件上,其表面事先被陽極化處理。由於等離子體噴塗Y2O3塗層對陽極化表面的粘附力很弱,陽極化處理層必須在等離子體噴塗Y2O3之前從部件上移除,其增加了生產成本。即,在習知技術中,通常先陽極化處理然後密封陽極化處理的腔室部件。然後,部件上那些將會被曝露於等離子體的區域上的陽極化處理層將被移除。而曝露於等離子體的區域則被等離子體噴塗的Y2O3(PS Y2O3)塗覆,以避免氧化釔和鋁之間的粘附問題。
另一缺陷在於,由於Y2O3塗層和鋁合金的熱膨脹係數差別很大,厚的等離子體噴塗Y2O3塗層具有較弱的結構穩定性,當使用溫度升高時,其容易破裂。
其它形成氧化釔塗層的方案包括利用化學氣相沉積(chemical vapor deposition,CVD),物理氣相沉積(physical vapor deposition,PVD),離子輔助沉積(ion assisted deposition,IAD),活性反應蒸發(active reactive evaporation,ARE),電離金屬等離子體(ionized metal plasma,IMP),濺射沉積,等離子體浸沒式離子注入制程(plasma immersion ion process,PIIP)。然而,所有這些沉積制程都具有一些技術限制,使得它們還不能實際上用於提升在腔室部件上沉積厚的塗層的水準,以避免等離子體侵蝕。例如,用化學氣相沉積製作Y2O3塗層不能在無法承受600℃以上的溫度上的基體上實現,這就排除了在由鋁合金製成的腔室部件上沉積抗等離子體侵蝕塗層的可能。PVD制程,例如蒸發,不能沉積緻密的、厚的陶瓷塗層,因為其與基片之間的粘附力較弱。由於高應力和弱粘附力(例如濺射沉積,ARE和IAD)或者極低的沉積速率(例如濺射沉積,IMP和PIIP),這些其它的沉積制程也不能沉積厚塗層。因此,到目前為止還沒有製造出理想的塗層,這種理想的塗層應具有良好的抗腐蝕性,同時應當生成較少或者不生成顆粒污染,其可以被製成具有較大的厚度並沒有破裂或分層剝離。
鑒於上文所述的習知技術的缺陷,業內需要一種能夠抗等離子體轟擊並不產生顆粒污染或裂縫的塗層。該塗層應具有可接受的粗糙度和孔隙大小,使得其具有長的使用壽命。製造該塗層的制程應當允許製造厚塗層,並且不會出現破裂或分層剝離。
以下發明內容是為了提供本發明的一些方面和特徵的基本理解。發明內容並不是本發明的廣泛綜述,因此其並不是為了具體地確定本發明的關鍵或主要要素,也並不是為了說明本發明的範圍。其唯一目的是為了以簡化形式介紹本發明的一些概念,作為下文中詳細描述的前序。
根據本發明的一個方面,本發明提供了在被陽極化 處理的腔室部件上形成抗等離子體侵蝕的塗層的方法。根據各具體實施例,本發明提供了在部件表面塗覆(coating)塗層的工藝,從而被塗覆的部件的工作性能得以改善。其它具體實施例包括將塗覆了塗層的部件改裝或安裝入等離子體處理腔室,以改善等離子體制程品質。
根據一個具體實施例,一鋁的腔室部件首先被陽極化處理。然而,和現有技術的陽極化處理制程不同,陽極化處理的部件並不被密封。相反,在陽極化處理制程之後,其仍然保持相當粗糙和多孔的表面,一抗等離子體塗層形成於部件的在制程中會曝露於等離子體的表面上。和習知技術的等離子體噴塗塗層不同,此處提及的抗等離子體塗層被稱為增強型抗等離子體塗層(簡單地稱為A-coating,A塗層),例如,基於A-Y2O3,A-YF3或A-Al2O3的塗層。之後,被陽極化處理部件上的那些沒有被塗覆的區域會被密封或不密封,這取決於實際需要。
所公開的具體實施例的各種各樣的特徵包括:(i)形成具有特定粗糙度的腔室部件;(ii)形成特別的陽極化處理部件;即,該陽極化處理表面形成後,沒有經過傳統的後密封制程,相反地,而是保持其粗糙和多孔的表面;(iii)在腔室部件的未密封的陽極化處理表面上沉積形成A塗層(例如A-Y2O3);以及(iv)對腔室部件上未經塗覆塗層但是陽極化處理的表面進行密封(seal)。根據制程需要,步驟(iv)可能並不會在部件上執行。最後形成的部件可具有一或兩種表面,即,完全塗覆了A-Y2O3而沒有任何陽極化處理表面曝露在外,或者具有氧化釔塗覆表面和陽極化處理表面。
在一個示例性的製程中,利用等離子體增強型物理 氣相沉積(PEPVD)來製造一種具有良好/緊密顆粒結構和隨機晶體取向(random crystal orientation)的增強型氧化釔塗層,例如基於Y2O3或YF3的塗層,所述塗層塗覆於由鋁合金製成的氣體噴淋頭上,其中,(1)沉積是在低壓或真空腔室環境下執行;(2)至少一個沉積元素或成份從一材料源被蒸發或濺射出來,被蒸發或濺射出來的材料凝結在基片襯底表面上(這部分製程是一個物理過程,在這裡被稱為物理氣相沉積或PVD部分);(3)同時,一個或多個等離子體源被用來激發出離子或產生等離子體以圍繞氣體噴淋頭表面,至少一沉積元素或成份被電離並與被蒸發或濺射的元素或成份在等離子體中或在氣體噴淋頭表面上反應;(4)氣體噴淋頭耦接於負電壓,使得其在沉積制程過程中被電離的原子或離子轟擊。在動作(3)和(4)中的反應指的是PEPVD中的“等離子體增強”(plasma enhanced,或者PE)功能。
應當說明,等離子體源可以被用於(1)離子化、分解和激發反應氣體以使得沉積制程能夠在低襯底溫度和高塗覆生長速度下執行,因為等離子體促使產生更多的離子和自由基,或者(2)產生針對氣體噴淋頭的能量離子(energetic ions),以使得離子轟擊氣體噴淋頭的表面並有助於在之上形成厚的和凝結的塗層。更特別地,所述等離子體源被用於擇一或共同執行功能(1)和(2),以在氣體噴淋頭上形成塗層。這種塗層綜合具有足夠的厚度和緊密度結構,在此處被稱為是“增強型塗層”(Advanced coating,以下稱:A塗層),例如,以A-Y2O3、A-YF3或者A-Al2O3為基礎的塗層。
在結合附圖閱讀下述幾個具體實施例的描述後,本 發明的上述方面以及其它方面對於本領域的技術人員來說是明顯的。
10‧‧‧陽極化處理部件
105‧‧‧塗覆塗層
110‧‧‧對塗覆塗層執行掩膜
115‧‧‧未被塗覆塗層的表面進行密封處理
400‧‧‧腔室
405‧‧‧支撐件
410‧‧‧部件
420‧‧‧源材料
425‧‧‧電子槍
430‧‧‧電子束
440‧‧‧等離子體
445‧‧‧線圈
450‧‧‧射頻源
505‧‧‧傳導板
510‧‧‧背板
515‧‧‧多孔板
520‧‧‧導電環
525‧‧‧支撐環
530‧‧‧表面
535‧‧‧塗層
600‧‧‧腔體
610‧‧‧夾盤
630‧‧‧氣體噴淋頭
附圖是為了解釋並圖示本發明的原則,其組成了說明書的一部分,例證了本發明的具體實施例以及描述。附圖是為了以圖示的方式說明典型具體實施例的主要特徵。附圖並不是為了描述具體實施例的每個特徵,也並不是按照比例示出了其示出元件的相對尺寸;圖1是根據本發明的一個具體實施例的工藝流程圖;圖2(a)是氣體噴淋頭材料被基於CF4/O2的等離子體侵蝕時,從氣體噴淋頭表面測量而來的氣體噴淋頭材料的標準化侵蝕速率的曲線圖;圖2(b)~2(d)是沉積於陽極化的鋁合金表面上的A-Y2O3塗層的顯微圖;圖3(a)~3(b)是等離子體噴塗的Y2O3塗層的粗糙表面和多孔橫截面結構的顯微圖;圖3(c)~3(d)是利用PEPVD沉積於陽極化鋁合金的A-Y2O3塗層的顯微圖,其具有光滑表面,其橫截面結構沒有孔隙並具有緻密結構;圖4示出了根據本發明的一個具體實施例的用於沉積增強型塗層的裝置;圖5A示出了用於等離子體處理腔室的傳統的氣體噴淋頭和電極組件; 圖5B示出一氣體噴淋頭具有大致上和圖5A一樣的結構,除了其包括根據本發明一個具體實施例的增強型塗層;圖5C示出了另一具體實施例,其中氣體噴淋頭具有一片式氣體分佈盤,其在底表面、側表面、陽極化處理的背面上具有A塗層;圖6示出了根據本發明的一個具體實施例的包括一氣體噴淋頭的等離子體腔室。
多個具體實施例將在下文中進行描述,提供用於等離子體處理腔室部件的改進塗層,其能改善塗層的抗腐蝕和顆粒污染性能。
陽極化處理是一種電解鈍化制程,用於提高在金屬部件表面上的自然氧化層厚度。陽極膜最常用於保護鋁合金,其也用於鈦、鋅、鎂、鈮、鋯、鉿、鉭。陽極化處理會改變表面的微觀結構以及臨近表面的金屬的晶體結構。厚塗層通常是多孔的,並具有細胞結構,因此通常需要密封製程(sealing process)以達到抗侵蝕的目的。例如,陽極化處理的鋁表面比鋁堅硬,但是具有低的和中等的耐侵蝕性,這可以通過增加厚度或施加適當的密封來實現。需要指出的是,在陽極化處理表面上實施的後密封製程實際上需要長時間地浸泡待陽極化處理的部件於煮沸的去離子水或蒸汽中,以使得氧化物被轉化為其與水結合的形式,最終產生膨脹以減少其表面的孔隙。可選地,可使用冷密封,其中,孔隙通過在室溫的操作池中注入密封劑而被關閉。和其它類型的塗覆與金屬電鍍相比,陽極膜通常更堅固,並且粘附力更強,但是也易碎。這使得它們不容易隨著老化和磨損而破裂和剝 落,但其更易受熱應力影響而破裂,該熱應力源於等離子體處理腔室中的冷熱迴圈。通常,具有含水結構的後密封處理的陽極化處理表面在溫度高於120℃的環境下容易破裂。
因此,現有技術對陽極化處理的鋁做了一些努力以保護在等離子體處理腔室中曝露於等離子體的鋁部件。為了避免顆粒污染產生,在陽極化處理的鋁表面上施加一密封制程,以避免等離子體組分轟擊陽極化處理層。然而,常規的陽極化鋁的後密封陽極化處理表面不穩定並且對於使用溫度較敏感,該陽極化處理表面會破裂,並在沉積制程或者熱迴圈刻蝕制程過程中在等離子體處理腔室中也會引起A塗層的破裂和分層。實際上,其弱的粘附性限制了直接在陽極化處理的鋁腔室部件上沉積厚A-Y2O3塗層。
施加密封製程於陽極化鋁的其中一個主要原因是,陽極化鋁的表面粗糙並多孔隙。然而,在公開的具體實施例中,上述現象被有利地用於增強A塗層粘附在陽極化部件上的粘附性上。即,塗覆制程直接在陽極化製程之後以及密封制程之前執行。可知,陽極化鋁的自然粗糙和多孔表面增強了塗層的粘附性。這是因為陽極化材料的表面粗糙度的增加導致塗層和襯底表面之間介面的接觸面積的增加,並改變塗層接觸區域從二維形狀變為三維形狀,其導致了A塗層和陽極化部件之間的介面應力的釋放,並因此增加了塗層與襯底的粘附力。塗層塗覆完成之後,還未被塗覆的陽極化表面再經過一個密封制程的處理。
圖1示出了根據本發明一個具體實施例的制程。在本具體實施例中,製造了一具有等離子體曝露表面的鋁部件。在步驟100中,部件被陽極化處理。陽極化處理的是曝露於等離子 體的部件表面,也可選擇性地對未曝露於等離子體的表面進行陽極化處理。優選地,執行一陽極化處理制程以使得陽極化表面具有的粗糙度為12um>Ra>4um。
在步驟105中,曝露於等離子體的表面被塗覆塗層。例如,可利用等離子體噴塗Y2O3粉末塗覆塗層。其它用於形成塗層的方法也包括在內,例如PVD,CVD和PECVD。在步驟110中,塗覆了塗層的表面被掩膜(masked)或者保護起來(protected),在步驟115中,未塗覆塗層但是被陽極化處理的表面被密封。然而,根據對塗覆了塗層的部件的使用條件,其可能不需要後密封。可利用例如長時間沉浸在煮沸的去離子水或蒸汽中來執行密封,以使得氧化物被轉化為其與含水的形式,並產生膨脹減少其表面的孔隙。可選地,可利用冷密封,其中,利用在恒溫池中注入密封劑來關閉氣孔。本實施例可利用聚四氟乙烯(Teflon)、乙酸鎳(nickel acetate)、醋酸鈷(cobalt acetate)、熱的納或者重鈷酸鉀(potassium dichromate)密封。
上述製程用於在氣體噴淋頭表面塗覆塗層,包括密封或者未密封的區域,所得到的塗層已做研究。圖2(a)示出了氣體噴淋頭材料被基於CF4/O2的等離子體侵蝕時,從氣體噴淋頭表面測量而來的氣體噴淋頭材料的標準化侵蝕速率圖。根據圖1所示的方法製成的塗層被稱為A-Y2O3塗層。應當理解,A-Y2O3塗層具有最低的侵蝕速率,因此在刻蝕制程中具有最穩定的結構。圖2(b)示出了沉積於密封的陽極化鋁(Al 6061)之上的厚度為25 um的破裂的A-Y2O3塗層,然而,在沉積於未密封的陽極化鋁(Al 6061)之上的厚度為25 um的A-Y2O3塗層上沒有觀察到破裂,如圖2(c)所示。圖2(d)示出了60um厚的A-Y2O3塗 層粗糙但不破裂的表面,其沉積於表面粗糙度Ra6um的未密封的陽極化鋁(Al 6061)上。圖2(a)和(d)示出了在未密封的和粗糙的陽極化處理表面上的A-Y2O3塗層的結構穩定性得到改進。
根據其它具體實施例,塗層通過原子沉積形成,而不是顆粒沉積(其為等離子體噴塗的情形)。在原子沉積中,塗層形成於真空中(等離子體噴塗形成於大氣環境),且塗層由原子和自由基凝結,而非顆粒。在本發明中,塗層利用例如等離子體增強型物理氣相沉積(PEPVD)的制程來形成。
如圖1所示的具體實施例,原子沉積形成於一陽極化但未密封的表面。圖3(a)~3(b)是等離子體噴塗的Y2O3塗層的粗糙表面和多孔橫截面結構的顯微圖;圖3(c)~3(d)是利用PEPVD沉積形成的A-Y2O3塗層的光滑表面以及沒有孔隙並緻密的橫截面結構的的顯微圖。從圖3(c)~3(d)可以看出,製成的塗層具有大約為2um的表面粗糙度,並且沒有孔隙,即,孔隙度小於3%的等離子噴塗塗覆(plasma spray coating)。雖然圖3(d)示出的多層材料層結構塗層具有的厚度為80um,但是它卻沒有破裂。圖3示出的塗層形成於陽極化處理層上,其從鋁襯底轉化而成。
根據本發明的另一具體實施例,原子沉積的另一特定形式用於形成增強型塗層。圖4示出了根據本發明一個具體實施例的沉積A塗層的裝置。該裝置利用一PEPVD製程來沉積A塗層,其中PE和PVD部件在圖4中由虛線示出。傳統上,化學氣相沉積(CVD)或等離子體增強型化學氣相沉積(PECVD)指的是一種化學製程,其中,將襯底曝露於一個或多個易揮發的 前驅(volatile precursors),前驅在襯底表面反應或分解,以在襯底表面上產生所預期的沉積薄膜。另外,PVD指的是一種塗層製作方法,其包括純物理過程,其使一被蒸發或被濺射的預期薄膜材料凝結,從而在襯底的表面沉積薄膜。因此,可以理解,前述PEPVD為這兩種制程的混合。即,所述的PEPVD包括蒸發凝結的物理制程(PVD部分)和在腔室中和襯底表面上的化學反應(PE部分)。
在圖4中,腔室400利用真空泵415被抽成為真空。待塗覆塗層部件410連接於支撐件405上,部件410示例性地為氣體噴淋頭,但是其可以為任何其它部件。同時,負偏置電壓通過支撐件405施加於部件410。
一源材料420包括待沉積組份,其通常為固體形式。例如,如果待沉積薄膜是Y2O3或YF3,源材料420應包括釔(或氟)--可能還有其它材料,例如氧氣,氟(或釔)等。為了形成物理沉積,所述源材料被蒸發或濺射。在圖4所示的具體實施例中,利用電子槍(electron gun)425來執行蒸發,其將電子束(electron beam)430導向源材料420之上。當源材料被蒸發,原子和分子位置向待塗覆部件410飄移並凝結于待塗覆部件410上,圖示中用虛線箭頭示出。
等離子體增強型部件由氣體注射器(gas injector)435組成,其向腔室400內注入反應或非反應源氣體,例如包含氬、氧、氟的氣體,圖示中用虛線示出。等離子體440利用等離子體源維持於部件410的前方,等離子體源例如射頻、微波等,在本實施例中示例性地由耦合於射頻源450的線圈445示出。不受理論的束縛,我們認為在PE部分有幾個過程發生。首先,非 活性離子化氣體組份,例如氬,轟擊部件410,當它被聚集後從而使得薄膜變得緻密。離子轟擊的效果源自於負偏壓施加至氣體噴淋頭410和氣體噴淋頭支撐件405,或源自於由等離子體源發出的並對準氣體噴淋頭支撐件405的離子。此外,例如氧或氟的活性氣體組份與蒸發的或濺射的源材料反應,所述反應或者位於部件410的表面上或者位於腔室內。例如,源材料釔與氧氣反應生成了氧化釔塗層。因此,上述制程具有物理過程(轟擊和凝結)和化學過程(例如,離子化和氧化)。
圖5A示出了現有技術的用於等離子處理腔室的氣體噴淋頭和電極組件。導電板(conductive plate)505位於背板(back plate)510和多孔板(perforated plate)515之間,導電板505有時候可以轉化為控制氣體噴淋頭溫度的加熱器,導電環520圍繞多孔板515設置,並可以充當輔助電極。支撐件525(有時也稱為接地環)也位於導電環520和背板510之間。多孔板515實際上充當了氣體分佈板(gas distribution plate,GDP),其可以由陶瓷、石英等製成,例如,其可以由碳化矽製成,可以被組裝於傳導板505的下表面。導電環520可由陶瓷、石英等製成,例如,其可以由碳化矽製成,可以被組裝於支撐件525的下表面。支撐件525,傳導板505和背板510可由金屬製成,例如鋁、不銹鋼等。氣體噴淋頭以一種常見的方式附設於等離子處理腔室的頂部上。
圖5B示出了一和圖5A大體上相同的氣體噴淋頭,不同之處在於:其包括了根據本發明一個具體實施例的增強型塗層。在圖5B中,增強型塗層535(例如,A-Y2O3)設置於多孔板和導電環520的下表面之上,即,在基片制程中面對等離 子體的表面。在本實施例中,多孔板和傳導環按照標準流程利用鋁合金製造。多孔板和傳導環被陽極化處理,但並未密封。然後,多孔板和傳導環一併或者單獨地被插入PEPVD腔室中,多孔板和傳導環下表面被塗覆有增強型塗層。然後被塗覆了塗層的表面被執行掩膜,施加密封劑於陽極化但未塗覆的支撐環525表面,因此形成陽極化的密封的表面530。可選地,導電環520的至少一個底部塗覆了Y2O3,同時保持導電環520的剩餘表面經過陽極化處理以及密封。同樣的製程也執行於多孔板,未塗覆但是陽極化處理表面被密封以形成密封的表面530。
圖5C示出了較圖5B具有改良結構的氣體噴淋頭,其具有根據本發明一個具體實施例的增強型塗層。在圖5C中,多孔板515、傳導環520和支持環525在前述實施例中被集成為一體成型(一片式)的盤或在本實施例中的多孔板(氣體分佈盤(gas distribution plate,GDP))515。與附圖5A所示的現有技術極不同的是,一體成型的多孔板515可由金屬製成,例如,鋁合金,和保護多孔板表面的增強型塗層535(例如,A-Y2O3),即,在等離子體和/或刻蝕制程中面對等離子體的表面。與習知技術相比,用A-Y2O3塗層535塗覆在多孔板515上形成的氣體噴淋頭可減少產品成本,簡化了氣體噴淋頭的裝配和製造過程,提高了使用壽命。另一優點是其可以利用在一體成型的多孔板515上再次沉積A塗層535,翻新使用過的氣體噴淋頭。多孔板515被陽極化處理,但是未密封。然後,多孔板515插入到PEPVD腔室,多孔板515的底表面和側表面用增強型塗層塗覆。塗覆過塗層的表面然後被執行掩膜,施加密封劑於陽極化處理但未塗覆的多孔板515背面。可選地,在另一具體實施例中,由一體成型 的多孔板515裝配而成的具有傳導板505和背板510的氣體噴淋頭的至少一底部和側面利用A-Y2O3塗覆,同時保持其不面對等離子體的表面被陽極化處理以及密封處理。由於A塗層直接沉積於陽極化處理表面,在A塗層和陽極化處理表面之間沒有介面問題,由於等離子體噴塗Y2O3通常沉積於腔室部件的裸露鋁表面上,介面問題一般存在於等離子體噴塗Y2O3塗層和陽極化處理表面之間。
由於PEPVD塗層是利用原子來建立塗層的,氣體注入孔的內壁也被塗覆了塗層。然而,和現有技術的塗層不同,增強型塗層由原子和分子的凝結而形成,因此可以形成緻密、均勻的並且與氣體注入孔的內壁表面粘附性良好的A塗層,因此提供了平滑的氣體流動並避免了任何顆粒污染的產生。
圖6示出了一個等離子體處理腔室,其中,根據本文公開的任一具體實施例,氣體噴淋頭連接至腔室頂部。腔體600形成了一密封用以保證腔室的排氣。待處理的基片襯底設置於夾盤610之上,在本實施例中射頻功率源被施加於夾盤610中的電極上。氣體噴淋頭630用於往腔室內注入製程氣體,並作用為提供接地路徑或者射頻能量路徑的電極。
需要說明的是,本文中提及的製程和技術並不是固有地與任何特定地裝置有關,其可以用任何合適的部件組合而得到。進一步地,根據本專利的教示和描述,多種類型的通用裝置可以被使用。本發明根據特定例子進行了描述,其只是為了從各方面說明本發明而並不是限制本發明。本領域技術人員應當理解,許多不同的組合適合於實施本發明。
並且,對於熟悉本領域的技術人員而言,根據本專 利所公開的說明書和操作,實施本發明的其它的實施方式將是顯而易見的。上文中具體實施例的不同方面和/或部件可以單一或者組合地應用。需要說明的是,上文所述具體實施例和方式都應僅考慮為例證性的,本發明的真正範圍和精神都應以申請專利範圍為準。
100‧‧‧陽極化處理部件
105‧‧‧塗覆塗層
110‧‧‧對塗覆塗層執行掩膜
115‧‧‧未被塗覆塗層的表面進行密封處理

Claims (22)

  1. 一種用於等離子體處理腔室內部的部件,其中,包括:具有陽極化處理外表面的部件主體;直接形成於所述陽極化處理外表面的一部分上的含氧化釔塗層;以及,形成於所述陽極化處理外表面中沒有覆蓋含氧化釔塗層的部分的密封劑。
  2. 如申請專利範圍第1項所述之部件,其中所述陽極化處理外表面的的粗糙度為4um<Ra<12um。
  3. 如申請專利範圍第1項所述之部件,其中所述塗層包括氧化釔塗層。
  4. 如申請專利範圍第3項所述之部件,其中所述氧化釔塗層具有的表面粗糙度為Ra>1.0um,其孔隙度小於1%,並且為多層材料層結構。
  5. 如申請專利範圍第1項所述之部件,其中所述部件包括一塗覆了氧化釔塗層的氣體噴淋頭,其中,所述氧化釔塗層具有表面粗糙度Ra>1.0um,其孔隙度小於1%,並且具有多層材料層結構。
  6. 一種製造用於等離子體處理腔室的部件的方法,其中所述方法包括如下步驟:製造一由鋁或鋁合金製成的部件;陽極化處理所述部件以在所述部件上形成陽極化處理表面,其中,所述陽極化處理步驟並未執行密封步驟; 塗覆所述陽極化處理表面的一部分;密封所述陽極化處理表面未塗覆塗層的部分。
  7. 如申請專利範圍第6項所述之方法,其中所述塗層利用含釔的材料形成。
  8. 如申請專利範圍第項所述之方法,其中所述塗層包括氧化釔。
  9. 如申請專利範圍第6項所述之方法,其中所述塗層利用原子沉積在真空中形成。
  10. 如申請專利範圍第9項所述之方法,其中所述塗層在施加一偏執電壓於所述部件時被塗覆。
  11. 如申請專利範圍第10項所述之方法,其中利用蒸發含釔的源材料以及保持反應性的和不反應性的氣體組分的等離子體來執行塗覆所述塗層。
  12. 如申請專利範圍第11項所述之方法,其中製得的所述塗層具有的表面粗糙度Ra>1.0um,孔隙度小於1%,並且其為多層材料層結構。
  13. 如申請專利範圍第6項所述之方法,其中所述方法還包括在執行密封之前對所述塗層執行掩膜的步驟。
  14. 如申請專利範圍第6項所述之方法,其中所述方法進一步地包括密封所述陽極化處理表面中未被含氧化釔塗層覆蓋的部分。
  15. 一種製造用於等離子體處理腔室的部件的方法,所述方法包括如下步驟:製造一由鋁或鋁合金製成的部件;陽極化處理所述部件以在該部件表面形成一陽極化處理層,其中,陽極化處理步驟並未執行密封步驟;利用如下步驟塗覆陽極化處理表面的選定區域:執行物理沉積製程以沉積源材料於所述陽極化處理表面的選定區域;以及,執行化學制程以用化學方式改變沉積於所述陽極化處理表面的所述源材料。
  16. 如申請專利範圍第15項所述之方法,其中所述方法進一步地包括:密封所述陽極化處理表面的未塗覆塗層的區域。
  17. 如申請專利範圍第16項所述之方法,其中所述方法進一步地包括:在所述密封步驟之前對所述塗層執行掩膜的步驟。
  18. 如申請專利範圍第15項所述之方法,其中所述化學製程包括:維持等離子體接觸於所述陽極化處理表面的所述選定區域,同時供應反應性或者非反應性氣體於等離子體中。
  19. 如申請專利範圍第18項所述之方法,其中所述物理沉積制程包括:蒸發源材料以及凝結該蒸發的源材料於所述陽極化處理表面的所述選定區域上。
  20. 如申請專利範圍第19項所述之方法,其中所述源材料包括含釔材料。
  21. 如申請專利範圍第20項所述之方法,其中所述非反應性氣體包括氬,所述反應性氣體包括氧氣和氟氣之一。
  22. 如申請專利範圍第21項所述之方法,其中所述塗層具有一表面粗糙度Ra>1.0um,並為多層材料層結構。
TW101144481A 2012-10-29 2012-11-28 用於等離子體處理腔室內部的部件及製造方法 TW201416487A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210421401.5A CN103794458B (zh) 2012-10-29 2012-10-29 用于等离子体处理腔室内部的部件及制造方法

Publications (2)

Publication Number Publication Date
TW201416487A true TW201416487A (zh) 2014-05-01
TWI496939B TWI496939B (zh) 2015-08-21

Family

ID=50547501

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101144481A TW201416487A (zh) 2012-10-29 2012-11-28 用於等離子體處理腔室內部的部件及製造方法

Country Status (3)

Country Link
US (2) US9617633B2 (zh)
CN (1) CN103794458B (zh)
TW (1) TW201416487A (zh)

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20150129660A (ko) 2013-03-14 2015-11-20 어플라이드 머티어리얼스, 인코포레이티드 기판 상의 고순도 알루미늄 탑 코트
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6714978B2 (ja) 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104630746A (zh) * 2015-01-27 2015-05-20 中国科学院微电子研究所 一种内衬、内衬构成的腔室及内衬表面的处理方法
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN107740166A (zh) * 2017-11-24 2018-02-27 南宁可煜能源科技有限公司 一种太阳能板铝边框的微弧氧化方法
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7066868B2 (ja) * 2018-03-08 2022-05-13 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 反応室コンポーネント、作製方法、及び反応室
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI741320B (zh) * 2018-07-18 2021-10-01 日商日本發條股份有限公司 電漿處理裝置用構件
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
WO2021163053A1 (en) * 2020-02-13 2021-08-19 Lam Research Corporation Coating for plasma processing chamber part
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023086165A1 (en) * 2021-11-09 2023-05-19 Lam Research Corporation Coated part for capacitively coupled chamber

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP2004332081A (ja) * 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
TWI308776B (en) * 2006-12-27 2009-04-11 Advanced Micro Fab Equip Inc A plasma confinement apparatus
US8231736B2 (en) * 2007-08-27 2012-07-31 Applied Materials, Inc. Wet clean process for recovery of anodized chamber parts
JP2012507630A (ja) * 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド 半導体用途用の熱スプレーコーティング
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室

Also Published As

Publication number Publication date
TWI496939B (zh) 2015-08-21
CN103794458A (zh) 2014-05-14
US20140120312A1 (en) 2014-05-01
US9951435B2 (en) 2018-04-24
CN103794458B (zh) 2016-12-21
US20170241038A1 (en) 2017-08-24
US9617633B2 (en) 2017-04-11

Similar Documents

Publication Publication Date Title
US9951435B2 (en) Coating packaged chamber parts for semiconductor plasma apparatus
US20190338408A1 (en) Coating for performance enhancement of semiconductor apparatus
TWI545650B (zh) A method for manufacturing a gas sprinkler for a plasma processing chamber and a method for forming the same
TW201417211A (zh) 用於等離子體處理腔室的靜電夾盤元件及製造方法
CN109075084B (zh) 保护性金属氟氧化物涂层
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
CN105408987B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
CN111900084B (zh) 抗等离子体腐蚀的稀土氧化物基薄膜涂层
US20150311043A1 (en) Chamber component with fluorinated thin film coating
TWI633571B (zh) Inductively coupled plasma processing chamber and corrosion resistant insulating window thereof and manufacturing method thereof
CN105990081B (zh) 等离子体处理装置及其制作方法
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
CN103866286B (zh) 用于半导体基片反应室内部的部件及制造方法
KR20170122883A (ko) 그래눌 형태의 세라믹 피막층이 증착된 표면처리 제품
KR101723931B1 (ko) 그래눌 형태의 세라믹 커버링층이 증착된 표면처리 제품
KR20170122696A (ko) 그래눌 형태의 세라믹 피막층이 증착된 표면처리 제품
KR20170043085A (ko) 그래눌 형태의 세라믹 커버링층 형성 방법