CN109075084B - 保护性金属氟氧化物涂层 - Google Patents

保护性金属氟氧化物涂层 Download PDF

Info

Publication number
CN109075084B
CN109075084B CN201780027015.9A CN201780027015A CN109075084B CN 109075084 B CN109075084 B CN 109075084B CN 201780027015 A CN201780027015 A CN 201780027015A CN 109075084 B CN109075084 B CN 109075084B
Authority
CN
China
Prior art keywords
metal
coating
value
fluorine
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780027015.9A
Other languages
English (en)
Other versions
CN109075084A (zh
Inventor
D·芬威克
C·李
J·Y·孙
Y·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310078654.5A priority Critical patent/CN116083864A/zh
Publication of CN109075084A publication Critical patent/CN109075084A/zh
Application granted granted Critical
Publication of CN109075084B publication Critical patent/CN109075084B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/082Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment

Abstract

一种包含具有保护性涂层的主体的物件。此保护性涂层是包含金属氟氧化物的薄膜。此金属氟氧化物具有实验式MxOyFz,其中M是金属,y具有的值为x值的0.1至1.9倍,z具有的值为x值的0.1至3.9倍。此保护性涂层具有1至30微米的厚度与小于0.1%的孔隙度。

Description

保护性金属氟氧化物涂层
技术领域
本发明的实施例大体上关于可被用于半导体处理腔室部件上的保护性金属氟氧化物涂层,以及关于用于制造可被用于半导体处理腔室部件上的保护性金属氟氧化物涂层的方法。
背景技术
半导体晶片制造处理在腔室中执行,在腔室中,腔室部件暴露至高温、高能等离子体、腐蚀气体的混合物、高应力、及其组合。腔室部件通过保护性涂层可屏蔽掉这些极端状况。金属氧化物由于其对于来自等离子体蚀刻化学品的腐蚀的抗性经常被用于涂布腔室部件。在暴露至氟基化学品的晶片处理期间,金属氧化物涂层转化为金属氟化物。金属氧化物转化成金属氟化物经常伴随体积膨胀,增加涂层上的应力。例如,1摩尔的Y2O3(氧化钇)转化成 2摩尔的YF3(氟化钇)具有约60%的理论体积膨胀。由金属氧化物转变成金属氟化物造成的体积膨胀与应力增加会造成腔室部件起泡(blister)和/或脱落颗粒,导致缺陷于经处理晶片。
发明内容
以下描述一种薄膜。此薄膜包括金属氟氧化物(MOF),具有实验式MxOyFz。M代表金属元素;y具有的值为x值的0.1至1.9倍,且z具有的值为x值的0.1至3.9倍。此MOF薄膜具有1至30微米的厚度与小于0.1%的孔隙度。此MOF薄膜的一示例实施例包括用于半导体处理设备的腔室部件的表面上的保护性涂层。
以下亦叙述数种方法。一种方法包括提供MOF源材料,该MOF 源材料具有实验式MxOy_源Fz_源。y_源具有的值为x值的0.1至1.9倍,及z_源具有的值为x值的0.1至3.9倍。MOF源材料通过溅射沉积或蒸镀被沉积在物件上,以在物件上形成MOF涂层。例如,此物件可包括半导体处理腔室部件。类似于MOF源材料,在物件上的MOF涂层具有实验式MxOy_涂层Fz_涂层。y_涂层具有的值为x值的0.1至1.9倍,及z_涂层具有的值为x值的0.1至3.9倍。 MOF涂层的特征包括1至30微米的厚度与小于0.1%的孔隙度。
第二种方法包括提供源材料与通过溅射沉积或蒸镀沉积而沉积源材料于物件上。此物件可包括一或更多的半导体处理腔室部件。在此第二种方法的一示例中,源材料是金属。氧与氟的离子或自由基在沉积期间被引入所溅射或蒸镀的金属,且MOF涂层形成在物件上。在此第二种方法的另一示例中,源材料是金属氧化物(MO),而氟的离子或自由基在沉积期间被引入所溅射或蒸镀的金属氧化物,形成MOF涂层于物件上。在此第二种方法的第三示例中,源材料是金属氟化物(MF),而氧的离子或自由基在沉积期间被引入所溅射或蒸镀的MF,以形成MOF涂层于物件上。此MOF涂层具有实验式MxOy_涂层 Fz_涂层。y_涂层具有的值为x值的0.1至1.9倍,及z_涂层具有的值为x值的0.1 至3.9倍。MOF涂层具有1至30微米的厚度与小于0.1%的孔隙度。
附图说明
本发明的实施例作为示例被绘示于随附附图中,而非作为限制,其中相同的参考符号指示类似组件。应注意到本公开中对“一(an)”或“一(one)”实施例的不同参照并不必然为相同的实施例,且此类指称意味着至少一个实施例。
图1绘示根据本发明的一实施例的半导体制造系统的示例架构。
图2描绘具有根据各种实施例的薄膜保护性涂层的示例物件的剖面图。
图3A–3B绘示根据本发明的各种实施例的示例沉积技术。
图4绘示根据本发明的一实施例在物件上形成金属氟氧化物涂层的示例方法。
图5A–5C绘示根据本发明的各种实施例在物件上形成金属氟氧化物涂层的示例方法。
具体实施方式
如上所述,金属氧化物保护性层可被用在物件上以保护那些物件免于暴露至等离子体。金属氧化物涂层当暴露至氟基化学品时转化为金属氟化物,且此转化伴随着体积膨胀与经处理晶片上的颗粒缺陷。氟基化学品通常用于执行基板的等离子体蚀刻。
本文所述为金属氟氧化物(MOF)保护性涂层的实施例,其抵抗与氟化学品反应。本文实施例中所述的MOF涂层相较于MO涂层显著地降低涂层的氟化。此外,MOF涂层可具有的热膨胀系数相较于MF涂层的热膨胀系数更加接近地匹配于腔室部件的热膨胀系数。这些特征可改善用于半导体制造环境中的物件的涂层的抗腐蚀性,并降低归因于保护性涂层的颗粒缺陷。具有这些特征的薄膜MOF组成物及用于形成MOF涂层的数种方法在之后描述。
薄膜的示例实施例包括具有实验式MxOyFz的MOF,其中M是通常具有正价组态的金属,O是氧,而F是氟。这些下标代表各相应原子相对于其他原子的数目。例如,y的值为x值的0.1至1.9倍,而z的值是x值的0.1至3.9倍。在任何情况下,所有下标的值结合各相应原子的价数可以是平衡的,使得薄膜是电中性的。此外,薄膜的实施例具有1至30微米的厚度与小于0.1%的孔隙度。
数种方法能用于制造具有上述益处的薄膜涂层。例如,一种方法包括提供MOF源材料,该MOF源材料具有与目标涂层相同的实验式。此源材料接着通过溅射沉积或蒸镀沉积被沉积到物件上。在另一种方法中,源材料是金属。此金属在氧与氟的离子或自由基(诸如那些通过等离子体或由离子枪发射所形成的)存在的情况下被溅射或蒸镀于物件上。在任一情况下,当溅射的源材料沉积在物件上时,离子或自由基轰击所溅射的源材料,并与金属结合而形成MOF涂层于物件上。
一种方法包括提供MF源材料。此源材料被溅射或蒸镀于物件上,且此源材料积累在物件上时,被氧的离子或自由基所轰击。因此,在物件上形成MOF涂层。或者,另一种方法包括提供MO源材料并溅射或蒸镀此源材料于物件上。此源材料积累在物件上时,被氟的离子或自由基所轰击,形成 MOF涂层。
通过溅射或蒸镀沉积来沉积涂层的益处是能达到小于0.1%的孔隙度。应认知到达成此益处的其他沉积方法被当作溅射或蒸镀沉积的等效物。
用语“抗等离子体材料”代表抵抗由于暴露至等离子体处理状况造成的侵蚀或腐蚀的材料。此等离子体处理状况包括一种等离子体,由含卤素气体所产生,诸如C2F6、SF6、SiCl4、HBR、NF3、CF4、CHF3、CH2F3、F、 NF3、Cl2、CCl4、BCl3与SiF4、等等,以及其他气体,诸如O2或N2O。此材料对等离子体的抗性是通过“蚀刻速率(ER)”测量的,蚀刻速率(ER)在整个涂布部件的操作与暴露至等离子体的期间可具有单位埃/分钟
Figure BDA0001849013250000041
抗等离子体性亦可通过具有单位纳米/射频小时(nm/RFHr)的腐蚀速率来测量,其中1 RFHr代表在等离子体处理状况下的1小时处理。测量可在不同处理次数后实施。例如,测量可在处理前实施、在50处理小时后实施、在150处理小时后实施、在200处理小时后实施、等等。对于抗等离子体涂层材料,典型的是低于约100nm/RFHr的腐蚀速率。单一抗等离子体材料可具有多种不同的等离子体抗性或腐蚀速率值。例如,抗等离子体材料可具有关于第一种等离子体的第一等离子体抗性或腐蚀速率,与关于第二种等离子体的第二等离子体抗性或腐蚀速率。
当本文中使用用语“约”与“大约”时,其意于表示所呈现的标称值的准确度在±10%内。本文的某些实施例参照安装在用于半导体制造的等离子体蚀刻器中的腔室部件与其他物件进行描述。应理解,此类等离子体蚀刻器亦可被用于制造微机电系统(MEMS)装置。此外,本文所述的物件可以是暴露至等离子体的其他结构。本文所述的物件可以是用于诸如半导体处理腔室之类的处理腔室的腔室部件。例如,此物件可以是用于等离子体蚀刻器、等离子体清洁器、等离子体推进系统、或其他处理腔室的腔室部件。处理腔室可用于处理,其中提供具有等离子体处理状况的腐蚀性等离子体环境。例如,处理腔室可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器、等等的腔室。腔室部件的示例包括基板支撑组件、静电夹盘(ESC)、环(例如处理套件环或单一环)、腔室壁、基底、气体分配板、喷淋头、喷嘴、盖、衬垫、衬垫套件、护罩、等离子体屏蔽件、流量均衡器、冷却基底、腔室观察口、腔室盖、等等。
再者,本文的实施例参照当被用于富含等离子体处理的处理腔室中时造成减少的颗粒污染的陶瓷物件进行描述。应理解到,当被用在用于其他处理的处理腔室中时,本文所述的陶瓷物件亦可提供减少的颗粒污染,用于其他处理的处理腔室诸如非等离子体蚀刻器、非等离子体清洁器、化学气相沉积(CVD)腔室、物理气相沉积(PVD)腔室、等离子体增强化学气相沉积(PECVD) 腔室、等离子体增强物理气相沉积(PEPVD)腔室、等离子体增强原子层沉积 (PEALD)腔室、等等。
图1是根据本发明的实施例的半导体处理腔室100的剖面视图,处理腔室100具有被涂布MOF薄膜保护性层的一或更多腔室部件。处理腔室 100可用于其中提供氟基腐蚀性等离子体环境的处理。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器、等等的腔室,其使用氟基化学品以蚀刻和/或清洁。可包括MOF薄膜保护性层的腔室部件的示例包括基板支撑组件148、静电夹盘(ESC)、环(例如处理套件环或单一环)、腔室壁、基底、气体分配板、喷淋头、衬垫、衬垫套件、护罩、等离子体屏蔽件、流量均衡器、冷却基底、腔室观察口、腔室盖、面板、选择性调控装置(SMD)、等等。此MOF薄膜保护性层(在之后更详细描述)可包括钇氟氧化物或其他金属氟氧化物。
在一实施例中,处理腔室100包括腔室主体102与喷淋头130,其围住内部容积106。或者,喷淋头130在某些实施例中可被盖或喷嘴所取代。腔室主体102可由铝、不锈钢或其他合适材料所制成。腔室主体102通常包括侧壁108与底部110。喷淋头130(或盖和/或喷嘴)、侧壁108和/或底部110的任一者可包括MOF薄膜保护性层。
外部衬垫116可安置成与侧壁108相邻以保护腔室主体102。外部衬垫116可被制造和/或涂布有MOF薄膜保护性层。在一实施例中,外部衬垫116由氧化铝所制成。
排气口126可被限定在腔室主体102中,且可将内部容积106 耦接至泵系统128。泵系统128可包括一或更多泵及节流阀,用于抽空与调节处理腔室100的内部容积106的压力。
喷淋头130可被支撑在侧壁108上和/或腔室主体102的顶上。喷淋头130(或盖)可被打开以允许进入处理腔室100的内部容积106,且当关闭时可提供对处理腔室100的密封。气体控制板158可耦接至处理腔室100以提供处理和/或清洁气体穿过喷淋头130或盖与喷嘴至内部容积106。喷淋头130 被用于进行电介质蚀刻(蚀刻介电材料)的处理腔室。喷淋头130可包括气体分配板(GDP),具有贯穿GDP 133的多个气体传送孔洞132。喷淋头130可包括接合至喷淋头基底的GDP,喷淋头基底可以是铝基底或阳极化铝基底。此GDP 可由Si或SiC所制造,或可以是诸如Y2O3、Al2O3、Y3Al5O12(YAG)、等等的陶瓷。如图所示,喷淋头130包括MOF涂层152于喷淋头130的表面上。
针对用于导体蚀刻(蚀刻导电材料)的处理腔室,可使用盖而非喷淋头。此盖可包括安装到此盖中央孔洞的中央喷嘴。此盖可以是陶瓷,诸如 Al2O3、Y2O3、YAG、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。此喷嘴也可以是陶瓷,诸如Y2O3、YAG、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。此盖、喷淋头130和/或喷嘴可被涂布有MOF薄膜保护性层。
可用于处理在处理腔室100中的基板的氟基处理气体的示例包括含卤素气体,诸如C2F6、SF6、NF3、CF4、CHF3、CH2F3、F、NF3、与SiF4、等等。亦可使用的载气的示例包括N2、He、Ar、与对处理气体为惰性的其他气体(例如非反应性气体)。
在某些实施例中,处理腔室100可包括面板和/或选择性调控装置(SMD),其可定位在喷淋头上方。面板与SMD为用于提供远程等离子体至处理腔室100的部件。面板与SMD可由铝(例如铝6061)或其他金属所制造。在某些示例中,面板与SMD具有等离子体喷涂的保护性涂层,诸如用于腐蚀保护的Y2O3涂层。额外地或可替换地,面板与SMD可具有用于腐蚀保护的MOF薄膜涂层。这些部件可被用于例如当处理腔室是远程等离子体腔室(例如选择性移除产品(SRP)腔室)时。在操作中,面板与SMD之间有低强度等离子体,而自由基会穿过它们到达晶片以进行选择性蚀刻。此SMD调整等离子体的选择性。
基板支撑组件148安置在处理腔室100的内部容积106中,于喷淋头130或盖的下方。基板支撑组件148包括陶瓷静电夹盘,其在处理期间固持基板144,而冷却基板耦接至此静电夹盘。内部衬垫(未图示)可被涂布在基板支撑组件148的外围上。内部衬垫可以是抗含卤素气体的材料,诸如那些参照外部衬垫116所论述的材料。在一实施例中,内部衬垫可由与外部衬垫116 相同的材料所制造。此外,内部衬垫可被涂布有MOF薄膜保护性层。
图2绘示被抗等离子体的MOF薄膜保护性层所覆盖的物件(例如腔室部件)的剖面侧视图。物件200的基底或主体205的至少一部分被MOF 薄膜保护性层208所涂布。物件200可以是腔室部件,诸如基板支撑组件、静电夹盘(ESC)、环(例如处理套件环或单一环)、腔室壁、基底、气体分配板或喷淋头、衬垫、衬垫套件、护罩、等离子体屏蔽件、流量均衡器、冷却基底、腔室观察口、腔室盖、面板、SMD、等等。物件200的主体205可以是金属、陶瓷、金属-陶瓷复合物、聚合物、或聚合物-陶瓷复合物。在一实施例中,物件 200的主体205是铝合金(例如6061铝)或不锈钢。在另一实施例中,物件200 的主体205是陶瓷材料,诸如Al2O3、Y2O3、AlN、SiO2、等等。在另一实施例中,物件200的主体205是聚合物基材料,诸如
Figure BDA0001849013250000071
等等。
各种腔室部件由不同材料所构成。例如,静电夹盘可由陶瓷所构成,诸如Al2O3(氧化铝)、AlN(氮化铝)、TiO(氧化钛)、TiN(氮化钛)或SiC (碳化硅),接合至阳极化铝基底。Al2O3、AlN与阳极化铝具有不佳的等离子体腐蚀抵抗性。当暴露至具有氟化学品的等离子体环境时,在约50射频小时(RFHr)的处理之后,静电夹盘的静电圆盘会表现出降级的晶片夹持、增加的 He泄漏率、晶片前侧与背侧的粒子产生及晶片上的金属污染。1射频小时是1 小时的处理。
用于导体蚀刻处理的等离子体蚀刻器的盖可以是烧结陶瓷,诸如Al2O3,因为Al2O3具有高挠曲强度与高热传导性。暴露至氟化学品的Al2O3形成AlF粒子及铝金属污染于晶片上。
用于执行电介质蚀刻处理的蚀刻器的喷淋头通常是由接合至 SiC面板的阳极化铝所制成。当此喷淋头暴露至包括氟的等离子体化学品时,由于等离子体与阳极化铝基底的相互作用可形成AlF。此外,阳极化铝基底的高腐蚀速率可导致电弧及极端地降低清洁喷淋头之间的平均时间。
某些腔室盖与其他腔室部件具有厚膜保护性层于面向等离子体侧上,以最小化粒子产生及金属污染以及延长盖的寿命。等离子体喷涂与其他热喷涂技术可用于成厚膜保护性层。多数的厚膜涂布技术具有长生产周期。此外,对于多数的厚膜涂布技术,执行特别表面准备,以准备将被涂布的物件(例如盖)用于接收涂层。这种长生产周期与涂布准备步骤会增加成本及降低产量,以及阻碍翻新(refurbishment)。此外,多数的厚膜涂层具有固有裂痕及孔,其会降级晶片上缺陷表现。
诸如等离子体喷涂Y2O3之类的许多厚膜氧化物涂层的一个缺点是这些涂层会与氟基化学品反应。例如,Y2O3(氧化钇)等离子体喷涂保护性涂层可被用于保护腔室部件不受由氟基化学品造成的侵蚀。氟会与氧化钇反应,形成氟化钇于等离子体喷涂保护性涂层的表面。换言之,此等离子体喷涂保护性涂层会吸收一定比例的氟。此等离子体喷涂保护性涂层中的氟吸收降低可用于蚀刻反应的氟的量。此会降低蚀刻处理的蚀刻速率。氟亦可之后从此保护性涂层被溅射出来,这会增加未来蚀刻处理可用的氟的量。因此,使用氟化学品的蚀刻处理的蚀刻速率的稳定性会降低。
此外,氧化物涂层的氟化(例如氧化钇转变为氟化钇)伴随着体积膨胀。此体积膨胀造成应力于涂层的表面,及会额外地造成起泡于该表面。此应力和/或起泡造成颗粒从涂层脱落,并造成经处理晶片上的颗粒污染。
上述所提供的示例只是一小部分腔室部件,其性能通过使用本文所述实施例的MOF薄膜保护性层可被改善。
回头参照图2,物件200的主体205可包括一或更多表面特征,诸如台面206。就静电夹盘而言,表面特征可包括台面、密封带、气体通道、氦孔洞、等等。就喷淋头而言,表面特征可包括接合管线、用于气体分布的数百或数千个孔洞、围绕气体分配孔洞的凹部或凸部、等等。其他腔室部件可具有其他表面特征。
形成在主体205上的MOF薄膜保护性层208可与主体205的表面特征共形。如图示,MOF薄膜保护性层208维持主体205的上表面的相对形状(例如浮印台面的形状)。此外,MOF薄膜保护性层208可以足够薄,不致于堵塞喷淋头中的孔洞或静电夹盘中的He孔洞。在一实施例中,MOF薄膜保护性层208具有低于约200微米的厚度。在进一步实施例中,MOF薄膜保护性层208具有小于50微米的厚度。在一实施例中,MOF薄膜保护性层208具有1–30微米的厚度。在一实施例中,MOF薄膜保护性层208具有1–15微米的厚度。
MOF薄膜保护性层208是沉积的陶瓷层,其可使用离子辅助沉积(IAD)处理或物理气相沉积(PVD)处理而被形成在物件200的主体205上。例如,可通过溅射沉积或蒸镀沉积来沉积MOF薄膜保护性层208。此外,离子或自由基可在溅射或蒸镀沉积期间通过离子枪或通过等离子体被注入。IAD或 PVD沉积的MOF薄膜保护性层208可具有相对低的膜应力(例如与通过等离子体喷涂所造成的膜应力相比)。IAD或PVD沉积的MOF薄膜保护性层208可额外地具有小于1%的孔隙度,及在某些实施例中小于约0.1%。IAD或PVD 沉积的MOF薄膜保护性层208是致密结构,其可具有应用于腔室部件上的性能益处。此外,MOF薄膜保护性层208于沉积时及持续使用后可以是无裂痕的。
IAD或PVD沉积的MOF薄膜保护性层208可被沉积,而不需要首先粗糙化主体205的上表面或执行其他耗时的表面准备步骤。由于粗糙化主体会降低主体205的击穿电压,不需要首先粗糙化主体205而能够施加MOF 薄膜保护性层208对于某些应用(例如对于静电夹盘而言)是有益的。再者,MOF 薄膜保护性层208是非常平滑的并且降低对于经处理晶片而言的粒子缺陷与金属污染两者。
MOF薄膜保护性层208抵抗与氟基化学品的反应。此外,氟基等离子体中的氟浓度在蚀刻与清洁处理期间可维持接近不变。因此,在蚀刻与清洁处理期间亦可维持稳定的蚀刻速率。
MOF薄膜保护性层208包括一或更多种金属、氧、及氟。大体上,此金属为其金属氟化物相对于对应的MO有着体积增加的一种金属。换言之,如果MOF的体积大于MO的体积,则此金属适合用于MOF薄膜保护性层208中。合适的金属包括钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱与锶。下方表1显示一小部分合适金属的MF相较于MO的体积增加。
Figure BDA0001849013250000091
Figure BDA0001849013250000101
表1:MF相较于MO的体积膨胀
MOF薄膜保护性层208可包括一或更多种合适金属。因此, MOF薄膜保护性层208可包括一或更多种MOF。例如,在一实施例中,MOF 薄膜保护性层208是钇氟氧化物。在另一实施例中,MOF薄膜保护性层208 是钇氟氧化物与锆氟氧化物的组合。在此类实施例中,MOF薄膜保护性层208 的金属可包括大约20–30%的锆。
MOF薄膜保护性层208具有实验式MxOyFz,此实验式代表一分子单位的MOF薄膜保护性层208。这些下标代表各组成金属、氧或氟原子的相对数量。因此,x代表每一分子单位MOF薄膜保护性层208的金属原子的数目,y代表每一分子单位MOF薄膜保护性层208的氧原子的数目,及z 代表每一分子单位MOF薄膜保护性层208的氟原子的数目。
此实验式取决于金属的价数,或金属可从氧或氟原子接受的电子的数目。每一个氧分子通常会贡献两个电子,而每一个氟原子通常会贡献一个电子。取决于种类,金属可接受高达四个电子。因此,取决于金属,y的值(即氧原子的数目)可在x值的0.1与1.9倍之间的范围。类似地,z的值(即氟原子的数目)可在x值的0.1与3.9倍之间的范围。MOF薄膜保护性层208的一实施例为钇氟氧化物,其具有实验式YOF(附注:当值为1时忽略下标)。MOF薄膜保护性层208的另一实施例为具有低氟化物浓度的钇氟氧化物。此MOF薄膜可具有实验式例如为YO1.4F0.2。在此类组态中,平均每个钇原子有1.4个氧原子以及每个钇原子有0.2个氟原子。相反地,MOF薄膜保护性层208的一实施例为具有高氟化物浓度的钇氟氧化物。此MOF薄膜可具有实验式例如为 YO0.1F2.8。在此类组态中,平均每个钇原子有0.1个氧原子以及每个钇原子有 2.8个氟原子。
在MOF薄膜中的金属对氧及氟的比例亦可被表示为原子百分比的形式。例如,对于具有+3价的金属,最小氧含量为10原子百分比,对应于最大氟浓度为63原子百分比。相反地,对于具有+3价的相同金属,最小氟含量为10原子百分比,对应于最大氧浓度为52原子百分比。因此,对于具有 +3价的金属,MOF薄膜可具有大约27–38原子%的金属(或多种金属)、10– 52原子百分比(原子%)的氧与大约10–63原子%的氟。在一实施例中,MOF 薄膜具有32–34%的金属(或多种金属)、30–36原子%的氧与30–38原子%的氟。
对于具有+2价的金属,MOF薄膜可具有大约37–48原子%的金属、10–43原子%的氧与大约10–53原子%的氟。在一实施例中,MOF薄膜具有40–45原子%的金属(或多种金属)、20–35原子%的氧与20–40原子%的氟。
对于具有+4价的金属,MOF薄膜可具有大约22–32原子%的金属、大约10–58原子%的氧与大约10–68原子%的氟。在一实施例中,MOF 薄膜具有26–28原子%的金属(或多种金属)、30–42原子%的氧与30–44原子%的氟。
MOF薄膜保护性层208的反射率的范围从在某些实施例中为透明至在其他实施例中为不透明。MOF薄膜保护性层208具有从大约摄氏15度至摄氏500度的有效操作温度范围。10微米的MOF薄膜保护性层的击穿电压在实施例中大约是500伏特。MOF薄膜保护性层208亦从相较于对应的MF 的高硬度而得益。例如,YF3具有范围从2.74至3.15十亿帕斯卡(GPa)的维氏硬度。YOF具有大于3.15GPa的硬度。
诸如氧化钇(钇氧化物)之类的MO在结构上可以是结晶,而对应的MF,即氟化钇,可以是非晶态。取决于沉积中所使用的方法与温度,MOF 薄膜保护性层208在某些实施例中可以是非晶态,而在其他实施例中可以是至少部分结晶。尽管各种晶格结构可用在不同实施例中,MOF薄膜保护性层208 的形态大体上是一致的。
图3A与3B大体上描绘沉积机制,适用于各种沉积技术,诸如 IAD或PVD。示例IAD方法包括沉积处理,其合并离子轰击,诸如在离子轰击存在下的蒸镀(例如活性反应蒸镀(ARE)或电子束离子辅助沉积(EB-IAD))与溅射(例如离子束溅射离子辅助沉积(IBS-IAD)),以形成如本文所述的抗等离子体涂层。EB-IAD可通过蒸镀执行。IBS-IAD可通过溅射固态靶材材料(例如固态金属靶材)执行。这些IAD方法的任一者可在反应气体物种(诸如O2、N2、卤素、等等)存在下执行。或者,可在没有离子辅助下执行PVD。
如图示,MOF薄膜保护性层315在高能粒子303(诸如离子或自由基)的存在下通过沉积材料302的积累而形成。沉积材料302包括原子、离子、自由基、或其混合物。例如,沉积材料302在某些实施例中包括被溅射或蒸镀的原子与分子。当MOF薄膜保护性层315形成时,高能粒子303可冲击并致密MOF薄膜保护性层315。此外,高能粒子303可与沉积材料相互作用以形成MOF薄膜保护性层315。
图3B描绘IAD沉积设备的示意图。如图示,材料源352提供沉积材料302的通量的同时,高能粒子源355提供高能粒子303的通量,此两者在整个IAD处理中冲击于物件350上。高能粒子源355可以是氧、氟和/或其他材料源,诸如氩。此外,可使用多种不同高能粒子源。例如,可使用氧高能粒子源与氟高能粒子源。用于提供沉积材料302的材料源(例如靶材主体)352 可以是块体烧结陶瓷,对应于将构成薄膜保护性层315的相同陶瓷。例如,材料源352可以是块体烧结YOF。在某些实施例中,材料源352可提供一部分的材料用于MOF薄膜保护性层315。例如,材料源352可单独由用于MOF薄膜保护性层315的金属所组成。若MOF薄膜保护性层315将包括多种金属(例如钇与锆),则材料源352可以是金属合金。或者,可使用两种不同金属材料源。在此类实施例中,粒子源355提供用于MOF薄膜保护性层315的氧与氟原子。在另一个示例,材料源352可由MF所组成,诸如块体烧结YF3。在此类实施例中,粒子源355提供用于MOF薄膜保护性层315的氧原子。若MOF薄膜保护性层315将包括多种金属,则MF材料源352可以是包括两者金属的氟化物。或者,可使用两种不同MF材料源。在又另一个示例,材料源352可由 MO所组成,诸如Y2O3。在此类实施例中,粒子源355提供用于MOF薄膜保护性层315的氟原子。若MOF薄膜保护性层315将包括多种金属,则MO材料源352可以是包括两者金属的氧化物。或者,可使用两种不同MO材料源。
IAD可利用一或更多种等离子体或束以提供材料与高能离子源。在沉积抗等离子体涂层期间亦可提供反应性物种。在一实施例中,高能粒子303 包括非反应性物种(例如Ar)或反应性物种(例如O、F)中的至少一者。在进一步实施例中,在形成抗等离子体涂层期间,诸如CO与卤素(Cl、F、Br、等等) 之类的反应性物种亦可被引入以进一步增强选择性移除最弱地接合至薄膜保护性层315的沉积材料的倾向。
利用IAD处理,高能粒子303可通过高能离子(或其他粒子)源 355而独立于其他沉积参数被控制。根据高能离子通量的能量(例如速度)、密度与入射角,薄膜保护性层的组成、结构、结晶定向与晶粒尺寸可被操控。可调整的额外参数为沉积期间的物件温度与沉积持续时间。
离子辅助能量用于致密化涂层与加速材料沉积于基板表面上。可使用离子源的电压与电流改变离子辅助能量。可调整电压与电流以达到高与低的涂层密度,以操控涂层的应力以及涂层的结晶度。离子辅助能量的范围可为大约50–800V与大约1–50安培(A)。离子辅助能量亦可用于有意地改变涂层的化学计量。例如,在沉积期间可使用金属靶材,并将其转化为金属氟氧化物。
通过使用加热器以加热沉积腔室和/或物件与通过调整沉积速率,可控制涂布温度。沉积期间的物件温度可粗略地分为低温(在一实施例中大约70–150℃)与高温(在一实施例中大于150℃)。沉积温度可用于调整膜应力、结晶度、及其他涂层性质。
工作距离是电子束(或离子束)枪与物件之间的距离。可改变工作距离以达到具有最高均匀度的涂层。另外,工作距离可影响沉积速率与涂层密度。
沉积角度是电子束(或离子束)与物件之间的角度。通过改变基板的定位和/或定向可改变沉积角度。通过优化沉积角度,可达成在三维几何中的均匀涂层。
EB-IAD与IBS-IAD沉积可实行于广泛的表面条件上。抛光表面于达成均匀涂层覆盖性上可以是有优势的。各种固定件可用于在IAD沉积期间固持基板。
图4描绘用于形成MOF薄膜保护性涂层的方法400的一示例实施例。在框410,提供MOF源材料。此MOF源材料具有实验式MxOy_源Fz_源。 y_源具有的值为x值的0.1至1.9倍而z_源具有的值为x值的0.1至3.9倍。例如,在一实施例中,源材料是YOF。在其他实施例中,使用其他MOF源材料。在每个实施例中,MOF展现出相对于对应MO的体积增加。换言之,若MOF 的体积大于MO的体积,则此金属适合用于MOF薄膜保护性层208中。合适的金属包括钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱、及锶。
在框420,MOF源材料通过溅射或蒸镀沉积而沉积以形成MOF 涂层于物件上。MOF涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x值的0.1至1.9倍而z_涂层具有的值为x值的0.1至3.9倍。在某些实施例中, MxOy_源Fz_源与MxOy_涂层Fz_涂层是相同的,使得y_源等于y_涂层且z_源等于z_涂层。在其他实施例中,通过在框420的沉积期间引入氧或氟的离子或自由基的一者或更多者,MxOy_涂层Fz_涂层可相对于MxOy_源Fz_源被调整。
可使用描绘于图3B的设备完成沉积。此物件可以是参照图1 所论述的任何半导体处理腔室部件。例如,此物件可以是由应用材料公司所制造的Applied CentrisTM Sym3TM蚀刻系统的任何部件,或亦由应用材料公司所制造的Applied
Figure BDA0001849013250000141
蚀刻系统的任何部件。更一般而言,此物件可以是暴露至可能造成腐蚀与降低物件功能性的高能量等离子体或反应性气体环境的任何物件。
在框420的沉积可进一步包括加热物件到15至150℃。在某些实施例中,此物件在沉积之前被加热,MOF涂层形成在物件上,随后物件与 MOF涂层被冷却。此外,在某些实施例中,在框420的沉积在真空腔室中执行。真空腔室内的压力可一开始被抽低至1mTorr的一小部分,诸如至0.1mTorr。当用于沉积的气体被引入腔室时,腔室压力维持在从0.1至100mTorr。在某些实施例中,生成的MOF薄膜保护性涂层具有从1至30微米的厚度及小于0.1%的孔隙度。在某些实施例中,MOF薄膜保护性涂层具有小于20微米的厚度。在其他实施例中,MOF薄膜保护性涂层具有大约10微米的厚度。
在方法400的特定实施例中,在框410提供块体烧结YOF源材料与半导体处理腔室部件于真空腔室中。在框420,真空腔室被密封且真空腔室内部的压力被降到大约0.1mTorr。半导体处理腔室部件被加热至大约150 ℃。在框420,YOF源材料被蒸镀至半导体处理腔室部件上,形成薄膜保护性层。或者,YOF源材料可被溅射到半导体处理腔室部件上。一旦达到薄膜的目标厚度,冷却半导体处理腔室部件与YOF薄膜保护性层。
图5A–5C描绘用于形成MOF薄膜保护性涂层的方法500、520、 540。通常,方法500、520、540涉及提供含金属的源材料与在反应性离子与自由基存在下溅射或蒸镀的源材料,以形成MOF薄膜保护涂层于物件上。方法500、520、540可在真空腔室中执行。在某些实施例中,真空腔室被抽低至 1mTorr的一小部分,而在沉积期间,腔室维持在从0.1至100mTorr。此外,在某些实施例中,物件被加热达到15至150℃,而在沉积MOF薄膜保护性涂层之后被接着冷却。
图5A描绘方法500。在框505,提供金属源材料。此金属源材料可以是适用于形成MOF薄膜保护性涂层的任何金属,如上参照图1所述的。例如,合适的金属包括钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱、及锶、或其合金或组合。在框510,金属源材料被溅射或蒸镀,诸如能够通过图3B所描绘的设备所完成。在框515,氧与氟的离子或自由基被引入所溅射或蒸镀的金属源材料,以形成MOF薄膜保护性涂层于物件上,该物件诸如半导体处理腔室部件。在某些实施例中,引入氧与氟的离子或自由基进一步包含以氧与氟的离子或自由基轰击所溅射或蒸镀的金属,诸如通过离子枪轰击、或通过相邻于物件的表面形成高能量等离子体,此表面处在沉积MOF薄膜保护性涂层。生成的MOF薄膜保护性涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x值的0.1至1.9倍而z_涂层具有的值为x值的0.1至3.9倍。此外,此涂层具有1至30微米的厚度和小于0.1%的孔隙度。
图5B描绘方法520。在框525,提供金属氟化物源材料,该金属氟化物源材料具有实验式MxFz_源。z_源具有的值为x值的0.1至4倍。此金属可以是参照方法500所述的任何金属。在一实施例中,源材料是YF3。在框 530,金属氟化物源材料被溅射或蒸镀,诸如能够通过图3B所描绘的设备所完成。在框535,氧的离子或自由基被引入所溅射或蒸镀的金属氟化物源材料,以形成MOF薄膜保护性涂层于物件上,该物件诸如半导体处理腔室部件。在某些实施例中,引入氧的离子或自由基进一步包含以氧的离子或自由基轰击所溅射或蒸镀的金属氟化物,诸如通过离子枪轰击、或通过相邻于物件的表面形成高能量等离子体,其中此表面处在沉积MOF薄膜保护性涂层。生成的MOF 薄膜保护性涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x值的0.1 至1.9倍而z_涂层具有的值为x值的0.1至3.9倍。此外,此涂层具有1至30 微米的厚度和小于0.1%的孔隙度。
图5C描绘方法540。在框545,提供金属氧化物源材料,该金属氧化物源材料具有实验式MxOy_源。y_源具有的值为x值的0.1至2倍。此金属可以是参照方法500所述的任何金属。在一实施例中,源材料是Y2O3。在框550,金属氧化物源材料被溅射或蒸镀,诸如能够通过图3B所描绘的设备所完成。在框555,氟的离子或自由基被引入所溅射或蒸镀的金属氧化物源材料,以形成MOF薄膜保护性涂层于物件上,该物件诸如半导体处理腔室部件。在某些实施例中,引入氟的离子或自由基进一步包含以氟的离子或自由基轰击所溅射或蒸镀的金属氧化物,诸如通过离子枪轰击、或通过相邻于物件的表面形成高能量等离子体,其中此表面处在沉积MOF薄膜保护性涂层。生成的 MOF薄膜保护性涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x值的0.1至1.9倍而z_涂层具有的值为x值的0.1至3.9倍。此外,此涂层具有1至30微米的厚度和小于0.1%的孔隙度。
前述说明书说明许多特定细节,诸如特定系统、部件、方法、等等的示例,以提供良好理解本发明的数个实施例。在没有这些特定细节下可实行本发明的至少某些实施例对于本领域技术人员而言会是显而易见的。在其他示例中,众所周知的部件或方法并未被详述或是以简单框图的形式呈现,以避免不必要地模糊本发明。因此,说明的特定细节仅为示例。特别实施例可从这些示例细节变化且仍被认为落在本发明的范围中。
说明书中提及“一实施例(one embodiment)”或“一实施例(an embodiment)”表示关于此实施例所叙述的特定特征、结构或性质是包括在至少一个实施例中。因此,在说明书中许多地方出现的用语“在一实施例中(in one embodiment)”或“在一实施例中(in anembodiment)”并不必然全部指示为相同的实施例。此外,用语“或”旨在意为包含性的“或”而非排他性的“或”。当本文中使用用语“约”或“大约”时,其意于表示所呈现的标称值的准确度在±10%内。
尽管是以特定次序显示与叙述本文中的方法的操作,各方法的操作顺序可以改变,使得特定操作可以反向的方式执行,或使得特定操作可以至少部分地与其他操作同时地执行。在另一实施例中,不同操作的指示或子操作可以是周期性和/或交替的方式。
应理解到上述说明意为示例性的,而非限制性的。在阅读与理解上述说明后,许多其他实施例对于本领域技术人员而言是显而易见的。本发明的范围应参照随附权利要求以及此权利要求赋予的等效物的全部范围而确定。

Claims (20)

1.一种薄膜,包含:
金属氟氧化物,具有实验式MxOyFz,其中M是金属,y具有的值为x的值的0.1至1.9倍,及z具有的值为x的所述值的0.1至3.9倍,所述金属为其金属氟化物相对于对应的MO有着体积增加的一种金属;
其中所述薄膜具有1至30微米的厚度与小于0.1%的孔隙度。
2.如权利要求1所述的薄膜,其中所述金属包含钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱、或锶中的至少一者。
3.如权利要求1所述的薄膜,其中所述薄膜涂布腔室部件的至少一表面,所述腔室部件用于半导体处理设备。
4.如权利要求1所述的薄膜,其中所述金属具有的原子价为2,且所述金属氟氧化物包含约37–48原子%的所述金属、约10–43原子%的氧及约10–53原子%的氟。
5.如权利要求1所述的薄膜,其中所述金属具有的原子价为3,且所述金属氟氧化物包含约27–38原子%的所述金属、约10–52原子%的氧及约10–63原子%的氟。
6.如权利要求1所述的薄膜,其中所述金属具有的原子价为4,且所述金属氟氧化物包含约22–32原子%的所述金属、约10–58原子%的氧及约10–68原子%的氟。
7.一种用于形成涂层的方法,包含以下步骤:
提供金属氟氧化物源材料,所述金属氟氧化物源材料具有实验式MxOy_源Fz_源,其中y_源具有的值为x的值的0.1至1.9倍,及z_源具有的值为x的所述值的0.1至3.9倍;及
执行所述金属氟氧化物源材料的溅射沉积或蒸镀沉积中的一者,以在物件上形成金属氟氧化物涂层,所述金属氟氧化物涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x的所述值的0.1至1.9倍,及z_涂层具有的值为x的所述值的0.1至3.9倍,其中M是金属并且所述金属为其金属氟化物相对于对应的MO有着体积增加的一种金属,及其中所述金属氟氧化物涂层具有1至30微米的厚度与小于0.1%的孔隙度。
8.如权利要求7所述的方法,其中所述金属包含钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱、或锶中的至少一者。
9.如权利要求7所述的方法,其中所述物件包含半导体处理腔室部件。
10.如权利要求7所述的方法,进一步包含以下步骤:加热所述物件至摄氏15至150度。
11.如权利要求7所述的方法,其中所述溅射沉积或所述蒸镀沉积在具有0.1至100mTorr压力的腔室中执行。
12.一种用于形成涂层的方法,包含以下步骤:
提供包含金属的源材料;及
执行所述源材料的溅射沉积或蒸镀沉积中的一者,以在物件上形成金属氟氧化物涂层,包含:
在所述溅射沉积或所述蒸镀沉积期间将氧的离子或自由基或者氟的离子或自由基的至少一者引入所溅射或蒸镀的源材料,其中形成在所述物件上的所述金属氟氧化物涂层具有实验式MxOy_涂层Fz_涂层,其中y_涂层具有的值为x的值的0.1至1.9倍,及z_涂层具有的值为x的所述值的0.1至3.9倍,其中M是金属并且所述金属为其金属氟化物相对于对应的MO有着体积增加的一种金属;
其中所述涂层具有1至30微米的厚度与小于0.1%的孔隙度。
13.如权利要求12所述的方法,其中所述源材料包含金属氧化物,所述金属氧化物具有实验式MxOy_源,其中y_源具有的值为x的所述值的0.1至2倍。
14.如权利要求12所述的方法,其中所述源材料包含金属氟化物,所述金属氟化物具有实验式MxFz_源,其中z_源具有的值为x的所述值的0.1至4倍。
15.如权利要求12所述的方法,其中所述金属包含钇、钆、铝、铈、镝、锆、钙、镁、铒、镧、钕、镱、或锶中的至少一者。
16.如权利要求12所述的方法,其中将所述氧的离子或自由基或者所述氟的离子或自由基的至少一者引入所述溅射或蒸镀的源材料的步骤包含以下步骤:以所述氧的离子或自由基或者所述氟的离子或自由基的至少一者轰击所述物件或所述溅射或蒸镀的源材料的至少一者。
17.如权利要求16所述的方法,其中所述轰击的步骤包含以下步骤:相邻于所述物件形成等离子体,其中所述等离子体包含所述氧的离子和自由基或者所述氟的离子和自由基。
18.如权利要求12所述的方法,进一步包含以下步骤:加热所述物件在从摄氏15至150度的范围。
19.如权利要求12所述的方法,其中所述溅射沉积或所述蒸镀沉积在具有0.1至100mTorr压力的腔室中执行。
20.如权利要求12所述的方法,其特征在于以下的至少一者:
a)所述金属具有的原子价为2,且所述金属氟氧化物包含约37–48原子%的所述金属、约10–43原子%的氧及约10–53原子%的氟;
b)所述具有的原子价为3,且所述金属氟氧化物包含约27–38原子%的所述金属、约10–52原子%的氧及约10–63原子%的氟;或
c)所述金属具有的原子价为4,且所述金属氟氧化物包含约22–32原子%的所述金属、约10–58原子%的氧及约10–68原子%的氟。
CN201780027015.9A 2016-05-03 2017-05-02 保护性金属氟氧化物涂层 Active CN109075084B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310078654.5A CN116083864A (zh) 2016-05-03 2017-05-02 保护性金属氟氧化物涂层

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662331326P 2016-05-03 2016-05-03
US62/331,326 2016-05-03
US15/498,383 2017-04-26
US15/498,383 US11572617B2 (en) 2016-05-03 2017-04-26 Protective metal oxy-fluoride coatings
PCT/US2017/030690 WO2017192622A1 (en) 2016-05-03 2017-05-02 Protective metal oxy-fluoride coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310078654.5A Division CN116083864A (zh) 2016-05-03 2017-05-02 保护性金属氟氧化物涂层

Publications (2)

Publication Number Publication Date
CN109075084A CN109075084A (zh) 2018-12-21
CN109075084B true CN109075084B (zh) 2023-02-14

Family

ID=60203266

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780027015.9A Active CN109075084B (zh) 2016-05-03 2017-05-02 保护性金属氟氧化物涂层
CN202310078654.5A Pending CN116083864A (zh) 2016-05-03 2017-05-02 保护性金属氟氧化物涂层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310078654.5A Pending CN116083864A (zh) 2016-05-03 2017-05-02 保护性金属氟氧化物涂层

Country Status (6)

Country Link
US (2) US11572617B2 (zh)
JP (2) JP7046005B2 (zh)
KR (2) KR20230011489A (zh)
CN (2) CN109075084B (zh)
TW (3) TWI789688B (zh)
WO (1) WO2017192622A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10923328B2 (en) * 2017-06-21 2021-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7122854B2 (ja) * 2018-04-20 2022-08-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置用部材、またはプラズマ処理装置の製造方法およびプラズマ処理装置用部材の製造方法
KR20210062712A (ko) * 2018-10-19 2021-05-31 램 리써치 코포레이션 반도체 프로세싱을 위한 챔버 컴포넌트들의 인 시츄 (in situ) 보호 코팅
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
CN113924387A (zh) * 2019-05-22 2022-01-11 应用材料公司 用于高温腐蚀环境的基板支承件盖
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR102277819B1 (ko) * 2019-07-08 2021-07-15 세메스 주식회사 반도체 공정 부품, 상기 반도체 공정 부품을 코팅하는 장치 및 방법
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
US20210071300A1 (en) * 2019-09-09 2021-03-11 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
EP4136272A1 (en) * 2020-04-14 2023-02-22 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
CN114068274A (zh) * 2020-08-03 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及耐腐蚀涂层形成方法
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
CN112725762A (zh) * 2020-12-18 2021-04-30 华虹半导体(无锡)有限公司 薄膜沉积工艺腔的腔内沉积薄膜清洁方法
US11702744B2 (en) 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
US11837448B2 (en) 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
CN113652673B (zh) * 2021-09-15 2023-11-24 福建华佳彩有限公司 一种化学气相沉积台板结构及其控制方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08134637A (ja) * 1994-11-14 1996-05-28 Olympus Optical Co Ltd 光学薄膜の製造方法
CN102084020A (zh) * 2008-02-26 2011-06-01 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
CN102296263A (zh) * 2010-06-25 2011-12-28 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
CN103794460A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4936653A (en) * 1988-06-02 1990-06-26 Santa Barbara Research Center Cerium oxyfluoride antireflection coating for group II-VI photodetectors and process for forming same
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
JP3941289B2 (ja) 1998-06-30 2007-07-04 三菱マテリアル株式会社 Pdp又はpalc用保護膜及びその製造方法並びにこれを用いたpdp又はpalc
TW469465B (en) * 1998-12-10 2001-12-21 Mitsubishi Materials Corp Protective film for FPD and manufacture thereof, and FPD using the same
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6756160B2 (en) 2001-04-19 2004-06-29 E.I. Du Pont De Nemours. And Company Ion-beam deposition process for manufacturing attenuated phase shift photomask blanks
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
KR101016913B1 (ko) 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2007063070A (ja) * 2005-08-31 2007-03-15 Toshiba Ceramics Co Ltd 耐プラズマ性イットリア焼結体の製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7674751B2 (en) 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US7655328B2 (en) * 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
JP4905697B2 (ja) * 2006-04-20 2012-03-28 信越化学工業株式会社 導電性耐プラズマ部材
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
EP1992430A1 (en) 2007-05-15 2008-11-19 Treibacher Industrie AG Yttria-based refractory composition
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20100035036A1 (en) 2008-08-08 2010-02-11 Mccloy John S Durable antireflective multispectral infrared coatings
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US20140154510A1 (en) * 2011-07-11 2014-06-05 Panasonic Corporation Film structure and method for producing same
JP2013082954A (ja) 2011-10-06 2013-05-09 National Central Univ 純金属ターゲットで反応性スパッタリング方法を用いて作製されたフッ化物及びフッ素をドープした酸化物薄膜
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9402697B2 (en) 2012-04-19 2016-08-02 Research Triangle Institute Modification of ceramic surfaces
JP5396672B2 (ja) 2012-06-27 2014-01-22 日本イットリウム株式会社 溶射材料及びその製造方法
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US20140147594A1 (en) 2012-11-27 2014-05-29 Intermolecular Inc. Magnesium Fluoride and Magnesium Oxyfluoride based Anti-Reflection Coatings via Chemical Solution Deposition Processes
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6366263B2 (ja) 2013-12-13 2018-08-01 キヤノン株式会社 光学多層膜、光学レンズ及び光学多層膜の製造方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
KR101867322B1 (ko) 2015-03-05 2018-06-15 닛폰 이트륨 가부시키가이샤 소결용 재료 및 소결용 재료를 제조하기 위한 분말
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08134637A (ja) * 1994-11-14 1996-05-28 Olympus Optical Co Ltd 光学薄膜の製造方法
CN102084020A (zh) * 2008-02-26 2011-06-01 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
CN102296263A (zh) * 2010-06-25 2011-12-28 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
CN103794460A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层

Also Published As

Publication number Publication date
TWI797079B (zh) 2023-04-01
KR20230011489A (ko) 2023-01-20
JP7046005B2 (ja) 2022-04-01
US20170323772A1 (en) 2017-11-09
TW202246549A (zh) 2022-12-01
US11572617B2 (en) 2023-02-07
JP2019515139A (ja) 2019-06-06
TW202132593A (zh) 2021-09-01
TWI789688B (zh) 2023-01-11
WO2017192622A1 (en) 2017-11-09
JP2022084788A (ja) 2022-06-07
KR20180132949A (ko) 2018-12-12
CN116083864A (zh) 2023-05-09
TW201807223A (zh) 2018-03-01
US20230141782A1 (en) 2023-05-11
CN109075084A (zh) 2018-12-21
KR102487562B1 (ko) 2023-01-10

Similar Documents

Publication Publication Date Title
CN109075084B (zh) 保护性金属氟氧化物涂层
US20210317563A1 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
CN105408987B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
US20150311043A1 (en) Chamber component with fluorinated thin film coating
KR20230148142A (ko) 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
US20230348290A1 (en) Yttrium oxide based coating and bulk compositions
KR20170021103A (ko) 반도체 제조용 챔버의 코팅막 및 그 제조 방법
US11920234B2 (en) Yttrium oxide based coating composition
TW202344316A (zh) 用於製造設備部件之抗電漿防電弧塗層

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant