CN102084020A - 可抵抗还原等离子体的含钇陶瓷涂层 - Google Patents

可抵抗还原等离子体的含钇陶瓷涂层 Download PDF

Info

Publication number
CN102084020A
CN102084020A CN2009801064826A CN200980106482A CN102084020A CN 102084020 A CN102084020 A CN 102084020A CN 2009801064826 A CN2009801064826 A CN 2009801064826A CN 200980106482 A CN200980106482 A CN 200980106482A CN 102084020 A CN102084020 A CN 102084020A
Authority
CN
China
Prior art keywords
yttrium
goods
plasma
spraying
stupalith
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801064826A
Other languages
English (en)
Other versions
CN102084020B (zh
Inventor
詹尼弗·Y·孙
贺小明
肯尼思·S·柯林斯
托马斯·格瑞斯
赛恩·撒奇
元洁
徐理
段仁官
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102084020A publication Critical patent/CN102084020A/zh
Application granted granted Critical
Publication of CN102084020B publication Critical patent/CN102084020B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

在高腐蚀性等离子体环境中进行半导体元件处理,常会出现微粒产生的问题。当上述等离子体为还原等离子体时,此问题更显严重。实验资料显示,在形成一种等离子体喷涂的含钇陶瓷(如氧化钇、Y2O3-ZrO2固溶体、YAG及YF3)时,当用于喷涂陶瓷的粉体进料的平均有效粒径范围介于约22μm至约0.1μm时,可提供一种低孔隙率的涂层,其具有平滑且紧实的表面。这些经喷涂的材料可降低在腐蚀性还原等离子体环境中的微粒产生。

Description

可抵抗还原等离子体的含钇陶瓷涂层
本申请和另外两件与半导体处理部件相关的申请案有关,上述半导体处理部件使用了经喷涂的含钇陶瓷材料。上述经喷涂的含钇陶瓷材料通常可施用于铝或铝合金基板上。上述相关申请案为Sun等人的美国专利申请案10/075,967,申请日为2002年2月14日,标题为“Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chamber”,上述申请案于2004年8月17日获得美国专利号6,776,873号;以及Sun等人的美国专利申请案10/898,113,申请日为2004年7月22日,标题为“Clean Dense Yttrium Oxide Containing Protecting Semiconductor Apparatus”,上述申请案于2005年2月17日公开,公开号为US 2005/0037193A1,目前仍在审查中。在此将上述引用的专利及申请案的主题通过引用纳入本说明书。
技术领域
本发明的具体实施例有关于一种等离子体或火焰喷涂的含钇涂层,其可作为在半导体处理环境中的处理表面上的保护涂层。在还原等离子体中,上述等离子体或火焰喷涂的含钇涂层可格外有效地防止处理中的基板的微粒污染。
背景技术
本部分描述与本发明的具体实施例相关的背景技术。并未明示或暗示地认为本部分所述的背景技术合法地构成了现有技术。
抗腐蚀(包括侵蚀)性对于用在具有腐蚀性环境的半导体处理腔室中的设备部件及衬里而言是重要特性之一。虽然在大多数的半导体处理环境中,包括等离子体增强化学气相沉积(PECVD)及物理气相沉积(PVD),都会存在腐蚀性等离子体,但是最具腐蚀性的等离子体环境为用以清洁处理设备以及蚀刻半导体基板的环境。当存在高能量等离子体并结合化学反应性以作用于位于该环境中的部件表面上时,上述情形更为明显。当高能量等离子体为还原等离子体时,例如含氢物种等离子体时,可观察到处理腔室中出现微粒形成的问题。上述微粒常会污染在半导体处理腔中进行处理的基板中所含元件的表面。
在用以制造电子元件及微机电系统(MEMS)的处理腔室中所用的处理腔室衬里及部件设备通常是由铝及铝合金所制成。通常会将这些(存在于腔室内的)处理腔室及部件设备的表面阳极化(anodized),以便在上述腐蚀性环境中提供一定程度的保护。然而,铝或铝合金中的杂质可能会损及上述阳极化层的完整性,使得腐蚀提早发生而缩短了上述保护涂层的寿命。与某些其他陶瓷材料相比,氧化铝的抗等离子体性质并不特别突出。因此,业已开发出多种组成的陶瓷涂层来取代上述氧化铝层;而且,在某些例子中,可将陶瓷涂层用于铝合金基板上的阳极化层的表面上,以提升对下方铝基材料的保护。
氧化钇是一种陶瓷材料,可保护暴露于用来制造半导体元件的含卤素等离子体中的铝及铝合金表面。可在高纯度的铝合金处理腔室表面或处理部件表面的阳极化表面上形成喷涂的氧化钇涂层,以提供优异的腐蚀保护性(如,上述Sun等人的美国专利6,777,873号)。
设备部件的腔室壁或衬里的基板基底材料可以是一种陶瓷材料(Al2O3、SiO2、AlN等)、可以是铝或不锈钢或可以是另一种金属或金属合金。可在上述任一者的基底材料上形成一喷涂薄膜。上述薄膜可由元素周期表中一种III-B族元素的化合物(如Y2O3)所制成。上述薄膜可实质包含Al2O3与Y2O3。亦有人提出钇铝石榴石(yttrium-aluminum-garnet,YAG)的喷涂薄膜。举例来说,喷涂薄膜厚度的实施例介于约50μm至约300μm。
藉由喷涂含氧化钇薄膜,以使铝及铝合金具备抗腐蚀及抗侵蚀性会产生一些问题。特别是在积体电路(IC)制造作业中所产生的微粒及污染会降低合格元件的产率,这也是45纳米及32纳米技术节点(以及将来的技术节点)中IC蚀刻所面临的严重考验之一。
在半导体产业中,极需降低在制造IC部件时进行等离子体处理过程中产生的微粒及污染量,特别是当是上述等离子体为还原等离子体时。
发明内容
目前已知在高腐蚀性等离子体环境下进行半导体元件处理过程中会出现微粒问题。上述微粒会影响半导体元件的产率。实验资料显示,用来保护腔室内的半导体处理腔室表面及设备部件的陶瓷保护涂层是微粒的主要来源。实验资料指出,在使用涂覆有陶瓷的设备之前,先磨光上述涂有陶瓷的处理腔室衬里或设备部件的表面,可降低微粒产生的数量。然而,所产生的微粒量仍会显著地影响半导体产率。
当等离子体处理腔室中的环境为还原气体时,上述微粒产生的问题会更形严重。有多种等离子体处理会利用反应性物种,特别是氢,并且在此种还原环境中产生的微粒多于其他不含氢的环境中产生的微粒。进行了大量的研发计划,而得到本发明的具体实施例,其有关于形成一种改良的保护性陶瓷涂层,此种涂层在还原环境中可产生较少的微粒。上述研发计画以含钇陶瓷为基础。含钇陶瓷包括氧化钇(Y2O3)、Y2O3-ZrO2固溶体(solid solution)、YAG及YF3,此外还设计更为特殊的陶瓷涂层组成,以提供特殊的机械、物理或电气性质。
利用等离子体喷涂技术领域中已知的技术在铝基板上涂覆氧化钇涂层,由上述经涂覆的基板切下样本的显微照片显示,在暴露于含有还原物种的等离子体中之后,其孔隙率以及表面粗糙度都会显著增加。由实验结果可知,在形成上述涂层时,当馈送至等离子体喷涂设备的氧化钇粉末的平均粒径较小时,可实质上降低所喷涂的氧化钇表面的孔隙率及表面粗糙度。本发明具体实施例中,使用了平均粒径比现有技术要小的Y2O3、Y2O3-ZrO2固溶体、YAG及YF3粉末来喷涂基板。举例来说,在本发明之前,现有技术用以馈送至等离子体喷涂设备的氧化钇粉末的有效粒径约为25μm或更大。当将馈送至等离子体喷涂设备的有效粒径降低至小于约22μm,通常小于约15μm时,其中有效粒径的范围通常介于约15μm至约5μm时,对还原等离子体的抗腐蚀/侵蚀性,可得到意料的外的改善效果。亦可使用有效粒径更小(小至0.1μm)的粉末,只要喷涂系统可使用此种大小的颗粒。以粒径较小的粉末进行喷涂的基板可相应显著地且不可预期地(unecpected)降低涂层的平均孔隙率。在将Y2O3、Y2O3-ZrO2固溶体、YAG及YF3沉积于铝合金基板表面上的涂层实施例中,可观察到上述涂层平均孔隙率降低的情形。举例来说,以厚度约200μm的涂层而言,利用使用有效粉末粒径约25μm或以上的氧化钇的现有喷涂技术时,所得到氧化钇涂层的平均孔隙率介在约大于1.5%至约4%(利用Image-Pro PlusTMVersion 6.0软件并配合SEM显微照片所测得)。相较之下,本发明具体实施例的等离子体喷涂所得到的氧化钇涂层的平均孔隙率介于小于约1.5%至约0.15%范围,其中馈送至等离子体喷涂设备的平均有效粉末粒径介于约22μm至约5μm。作为示例,当所用有效粉末粒径约15μm时,所得到的氧化钇涂层的平均孔隙率约0.47%。此外,有效粉末粒径约25μm时,所得氧化钇涂层的平均表面粗糙度为约200微英寸Ra(5.0μm Ra);相较之下,当馈送至雷射喷涂设备的氧化钇粉末的粒径约15μm时,所得氧化钇涂层的平均表面粗糙度仅有51.2微英寸Ra(1.28μm Ra)。一般而言,在本发明多个具体实施例中,平均表面粗糙度可介于约3μm Ra至约0.6μm Ra。
将上述200μm厚的氧化钇涂层进行标准氯化氢气泡试验(详见后述)的结果显示,利用氧化钇粉末有效粒径25μm所得的氧化钇涂层表现良好的持续时间约7.5至8小时;而利用粉末粒径15μm(或以下)所得的氧化钇涂层表现良好的持续时间超过10小时。此外,利用粉末粒径25μm所得的氧化钇涂层的击穿电压(VBD)为750V/mil;而利用粉末粒径15μm所得的氧化钇涂层的击穿电压为至少875V/mil。
本领域技术人员可在进行最少试验的情形下,选择本领域中常用的任何等离子体喷涂设备来喷涂含钇涂层,并得到相似的相关结果。
利用有效粒径较小的含钇粉末对于基板涂布的效率较差,因为对沉积的涂层而言,单位厚度所消耗的粉末较多。由于含钇粉末价格高昂,因此未曾进行利用粒径较小的含钇粉末来喷涂的研发。根据本发明具体实施例,利用介在小于约22μm至约0.1μm的有效粉末粒径得到上述涂层所产生不可预期的相对优点,不仅证实了使用较小有效粉末粒径的可行性。举例来说,喷涂所得的涂层的厚度约300μm或以下时,表现出的孔隙率介于约0.15%至小于约1.5%(利用上述Image-Pro PlusTM软件以上述方式测得)。实验资料显示,根据本发明具体实施例利用改良的喷涂技术与有效粒径较小的粉末所得到经喷涂的氧化钇处理部件,与同质氧化钇部件相较之下,前者在还原等离子体中的抗侵蚀性较佳,且产生的微粒较少。目前推论,这是因为固态氧化钇部件需要使用烧结添加剂以产生粒间玻璃相,而上述粒间玻璃态是微粒形成的来源之一。
在尝试改善氧化钇涂层在还原等离子体中的效能的同时,发现到攻击氧化钇表面的机制是透过形成氢氧化钇(Y(OH)3)。当存在如氢或氢及氧的反应性等离子体物种时,会形成Y(OH)3化合物。当存在如氢、氟及氧的反应性等离子体物种时,会形成Y(OH)3化合物以及YE3化合物,其中基于热力学的考量,YF3会优先形成。
在还原气体中,于氧化钇表面上形成Y(OH)3是形成微粒的主要原因之一。发现了此机制之后,进一步的研究表明,根据本发明具体实施例,可利用多种例示性的方法来降低微粒形成量:(1)继续使用氧化钇涂层,但产生更致密且更平滑的Y2O3等离子体喷涂涂层,使得还原物种对其攻击率降低。此可通过将喷涂成型所用的粉末有效粒径降低至约22μm至约0.1μm来达成。(2)等离子体喷涂设备中改用YAG(钇铝石榴石,常用的形式为Y3Al5O12)、或Y2O3-ZrO2固溶体或YF3组成(或上述的组合),来形成YAG、或Y2O3-ZrO2固溶体或YF3(或上述的组合)涂层。这些材料可分别降低或避免Y(OH)3的形成。以及(3)改用YAG、或Y2O3-ZrO2固溶体或YE3(或上述的组合)材料来取代Y2O3,并将送入等离子体喷涂设备中的YAG、或Y2O3-ZrO2固溶体或YE3(或上述的组合)粉末有效粒径降低至介于约22μm至0.1μm。更具体而言,所用的粉末粒径介于约15μm至5μm。所产生的涂层的厚度介于5μm至400μm。一般而言,涂层厚度介于约25μm至约300μm。
附图说明
为使达成本发明示范性具体实施例的方式更为明显易懂,申请人参照上述发明内容及示范性具体实施例的实施方式提出相关图示。当可理解,仅针对了解本发明所必须的情况下才提出图示,且其中并未示出熟知的处理及设备,以免混淆本发明申请保护标的的发明本质。
图1为概要剖面图100,绘示可用以涂覆本发明涂层的已知等离子体喷涂系统其中一类型。
图2A、2B及2C为比较性显微照片200、210及220,示出了已知的等离子体喷涂原样氧化钇涂层的表面,放大倍率分别为300X、1000X及5000X。
图2D、2E及2F为显微照片230、240及250,示出了将图2A至2C所示的等离子体喷涂原样氧化钇涂层暴露于还原化学等离子体后的表面。图2D的放大倍率为300X,图2E的放大倍率为1000X,以及图2F的放大倍率为5000X。
图3A、3B及3C为显微照片300、310及320,示出了等离子体喷涂原样氧化钇涂层于研磨(磨光)后的表面,其放大倍率分别为300X、1000X及5000X。
图3D、3E及3F为显微照片330,340及350,示出了将图3A至3C所示已研磨(磨光)的喷涂氧化钇涂层表面暴露于还原化学等离子体后的表面。图3D的放大倍率为300X,图3E的放大倍率为1000X,以及图3F的放大倍率为5000X。
图4A为对比例,示出了基于中心线410沿着利用本发明之前的可用技术所得到的等离子体喷涂原样氧化钇涂层表面,以微米为单位,所绘示的表面粗糙度图400。
图4B为基于中心线430沿着利用本发明具体实施例的技术所得到的等离子体喷涂原样氧化钇涂层的表面,以微米为单位,所绘示的表面粗糙度图420。
图5A及5B为对比显微照片510及520,示出了利用本发明之前的等离子体喷涂技术得到的等离子体喷涂氧化钇涂层的形貌的顶视图,其放大倍率分别为200X及1000X。
图5C及5D为显微照片530及540,示出了利用本发明的具体实施例的等离子体喷涂技术得到的等离子体喷涂氧化钇涂层的形貌的顶视图,其放大倍率分别为200X及1000X。
图6A为显微照片600,示出了铝合金基板602的剖面侧视图,上述铝合金基板602的表面604上沉积了氧化钇涂层606。此为对比显微照片,示出了利用本发明之前的等离子体喷涂技术所得到的结构的特性,放大倍率为200X。
图6B为显微照片610,示出了铝合金基板612剖面侧视图,上述铝合金基板612的表面614上沉积了氧化钇涂层616。此显微照片示出了利用根据本发明的具体实施例的等离子体喷涂技术所得到的结构的特性,放大倍率为200X。
图7A为柱状图700,比较了利用现有喷涂技术的等离子体喷涂氧化钇涂层(于铝合金基板上)的侵蚀速率704、氧化钇块材的侵蚀速率706以及利用本发明具体实施例形成的等离子体喷涂氧化钇涂层(于铝基板上)的侵蚀速率708,其中每一种基材的测试样本均暴露于相同的含还原物种等离子体中。
图7B为柱状图720,比较了一系列块材与烧结材料的侵蚀速率。每一种基材的测试样本均暴露于相同的含还原物种等离子体中。
图8为表格800,列出图7B中用以探究侵蚀速率的各种块材的组成。
图9为相图900,示出了表格800中所列的大多数材料。
具体实施方式
在正式进入详细说明之前,应先指出,除非上下文另有明确的指示,否则在本说明书及权利要求书中,单数形式的“一”、“一种”以及“该”包含其复数指代物。
在本文中,当使用“约”一词时,所指的意思是所示额定数值的精确度在±10%的范围内。
为了有助于理解,尽可能使用相同的标号来指称在各视图间相同的元件。当可想见,可将一具体实施例的元件及特征纳入其他具体实施例中,而不需进一步详述。亦应注意到,在视图将特别有助于理解本发明的实施例的情况下,附图用于仅仅图示本发明的具体实施例。并非所有的具体实施例都需要附图才能理解,且因此不应将附图视为本发明范围的限制,因为本发明亦可涵盖其他等效的具体实施例。
如上所述,已观察到微粒是在高腐蚀性等离子体环境中进行半导体元件处理过程中常会发生的问题。实验资料显示,用以保护腔室内各种半导体设备处理表面的陶瓷保护涂层是大量微粒的来源。此外,当比较各种半导体处理等离子体的侵蚀速率时,可以明显发现当等离子体为含有还原物种(特别是氢)的还原等离子体时,所产生的微粒会变多。
当元件尺寸变小时,每一生产工艺的元件产率就会变低,而且在该半导体基板表面上出现微粒对于元件功能的影响也更大。因此启动了一项计划,试图减少保护半导体处理设备表面的涂层所产生的微粒。
上述研发计划以含钇陶瓷为基础。上述含钇陶瓷包括氧化钇、Y2O3-ZrO2固溶体、YAG及YF3,此外还设计更特殊的含钇陶瓷材料,以提供特定的机械、物理或电气特性。
图1为概要剖面图100,示出了可用以施用本发明涂层的一种等离子体喷涂系统。图1所示的具体设备为APS 7000系列Aeroplasma Spraying System(可购自日本东京的Aeroplasma K.K.公司)。设备100包括下列部件:第一DC主电极102;第一辅助电极104;第一氩源106;第一空气源108;喷涂材料粉末源110;阴极炬(cathode torch)112;加速喷嘴114;等离子体弧116;第二DC主电极118;第二辅助电极120;阳极炬122;喷涂基底材料源124;第二氩源126;第二空气源(等离子体修整)128(128A及128B);喷涂薄膜130;等离子体喷流(plasma jet)132;熔融粉末源134;第三氩源136;以及双阳极α炬138。
双阳极α炬138由两个阳极炬所组成,其中每一阳极炬分担了一半的热负载。利用双阳极α炬138,可在相对低的电流下得到高电压,使得每一阳极炬的热负载较低。上述阳极炬的每一喷嘴及电极棒分别由水冷冷却,且电弧起点与终点受到惰性气体的保护,而能够确保稳定作业时间为200小时以上,以延长耗材的使用年限并降低维修成本。
在阴极炬112及阳极炬122的间形成了稳定的高温电弧,且可将喷涂材料直接馈送至电弧中。电弧柱的高温可将喷涂材料完全熔融。上述电弧起点与终点受到惰性气体的保护,使得可利用空气或氧气作为等离子体气体并由加速喷嘴114将之引入系统中。
可将等离子体修整(plasma trimming)功能128用于双阳极α。等离子体修整可修整掉等离子体喷流的热量中对于喷涂材料的熔融没有帮助的部分,且可降低基板材料及薄膜上的热负载,而能进行近距离喷涂。
虽然图1示出了一种等离子体喷涂设备,但是本领域技术人员当可理解亦可利用其他种类的涂布设备来实施本发明。当理解了下文所述的信息后,等离子体喷涂及火焰喷涂领域中的普通技术人员可在最少实验的情形下,利用不同的涂布沉积设备来实施本发明。
图2A、2B及2C示出了利用本发明之前的技术沉积的等离子体喷涂原样氧化钇涂层的上表面的对比显微照片200、210及220,该涂层的厚度约200μm。上述显微照片的放大倍率分别为300X、1000X及5000X。在上述放大倍率下,皆可明显地看到可能导致直接微粒形成的片状表面结构,在5000X的放大倍率下特别明显。
图2D、2E及2F为显微照片230、240及250,示出了将图2A至2C所示的等离子体喷涂原样氧化钇涂层在暴露于还原化学等离子体之后的表面。图2D的放大倍率为300X,图2E的放大倍率为1000X,以及图2F的放大倍率为5000X。关于图2、3及7B中的数据,所用的还原等离子体的相关参数如表1所示,并且是在300mm eMaxTM CT+腔室(可从美国加州圣克拉拉市的应用材料公司获得)中进行。进行评估的基板的测试样本放置于晶圆上,且之后放置于处理腔室内的静电卡盘(ESC)位置上。很清楚地,当暴露于还原等离子体中之后,图2A、2B及2C中所示的大量片状形貌被移除掉。这些被移除的材料很有可能成为出现在经过还原化学等离子体处理的含元件半导体结构表面上的微粒。
Figure BPA00001211702100101
比较图2C与图2F,可清楚发现,当暴露于等离子体中,氧化钇涂层表面大量的片状形貌(flaky topography)会被移除。上述现象加上在已处理的半导体元件表面上发现的微粒的化学组成,可以证实大多数的微粒是由氧化钇涂层所产生。
进一步检视喷涂的氧化钇层,发现在整个涂层的厚度方向上,随着涂层深度的增加,氧化钇的整体晶体结构与氧化钇涂层的孔隙率相对恒定。然而,比较图2A至2C以及图2D至2F可以发现,当欲将一种新涂布的设备引入处理腔室时,可在使用该设备生产半导体元件之前,先移除该已涂布设备的片状上表面,藉以避免初期的大量微粒产生期。
如参考图2D至2F所描述的,暴露于非常还原性的等离子体,可以移除上述片状上表面。然而,这可能需要将其暴露于上述等离子体中约50小时,所以这是不切实际的作法。另一种替代方法是利用陶瓷材料研磨领域中公知的研磨技术来研磨经过氧化钇等离子体喷涂的设备的表面。图3A、3B及3C为显微照片300、310及320,示出了等离子体喷涂原样氧化钇涂层于研磨(磨光)后的表面,其放大倍率分别为300X、1000X及5000X。很明显地,可发现片状材料已被从涂层的上表面移除。
图3D、3E及3F为显微照片330,340及350,示出了将图3A至3C图所示的已研磨(磨光)等离子体喷涂氧化钇涂层表面暴露于还原化学等离子体之后的表面。图3D的放大倍率为300X,图3E的放大倍率为1000X,以及图3F的放大倍率为5000X。产生上述还原等离子体的方式如表1所示。暴露时间为50小时。如通过比较图3C与图3F可见的,当暴露于等离子体后,已从氧化钇涂层表面移除上述片状形貌。然而,在图3F中可以发现,在腐蚀性环境中,随着处理时间延长,露出的涂层表面(由于氧化钇保护层受到逐渐侵蚀所致)仍相对容易产生微粒,这是因为喷涂陶瓷材料的表面中以及整体晶粒结构中的裂隙所致。因此需要进一步改善喷涂氧化钇,以提供致密且低孔隙率的本体结构以及平滑且紧实的涂层表面,将有助于减少微粒产生。
本发明的另一具体实施例是有关于改良喷涂技术,以得到更为致密的喷涂层,而较不易受到还原等离子体攻击的影响。在历经大量试验并审视了非常多种的等离子体喷涂处理变化后,发现通过馈送粒子尺寸较小的氧化钇粉末至用以在铝合金基板上施以涂层的等离子体喷涂设备,能够显著且出乎预期地降低所喷涂的氧化钇表面的孔隙率及表面粗糙度。
举例来说,在本发明之前,馈送至等离子体喷涂设备中的氧化钇粉末的传统平均有效粒径为直径大于25μm。所得到的实验资料表明,将平均粉末粒径减小至约22μm或以下(通常介于约15μm至约0.1μm之间)时,可显著降低在铝合金基板表面上产生的氧化钇涂层的孔隙率。
下表2示出了等离子体喷涂氧化钇涂层的改良物理性质,上述性质是根据本发明一具体实施例,以上述方式改变馈送至等离子体喷涂设备的氧化钇粉末的大小所达成的。
表2
Figure BPA00001211702100121
*气泡试验是根据半导体产业熟知的Applied Materials Technical Specification,Part No.0250-39691来进行。目前,此试验的失效标准为持续地每秒出现4个气泡。
**氧化钇涂层的体积孔隙率的测量方式是将Image-Pro PlusTM Version6.0软件(得自Media Cybernetics,Bethesda,MD)应用于涂层表面的显微照片所测得。
***硬度是利用维氏硬度(Vickers Hardness,Hv)试验来测量,并根据ASTM E92-82来计算HV值。
如表2所示,对于厚度200μm的涂层,利用常规的粒径25μm的氧化钇粉末所得的氧化钇涂层的平均孔隙率介于约1.5%至约4%之间;而利用等效粒径尺寸较小的氧化钇粉末所得的氧化钇涂层的平均孔隙率介于小于1.5%至约0.15%之间。作为例示,等效粒径15μm的粉末制得的涂层的孔隙率约为0.47%。孔隙率变小的重要性在于可作为含还原物种的等离子体攻击的难易度指标。此外,利用常规的粒径25μm的氧化钇粉末所得的氧化钇涂层的平均表面粗糙度Ra为约200微英寸(μ-inch)Ra(5.0μmRa),相较之下,将尺寸较小的15μm的氧化钇粉末馈送至等离子体喷涂设备所得的氧化钇涂层的平均表面粗糙度仅有51.2μ-inch Ra(1.28μmRa)。在标准氯化氢(HCl)气泡试验中,利用常规的粒径25μm的氧化钇粉末获得厚度约200μm的氧化钇涂层表现良好的持续时间约7.5至8小时;而利用尺寸较小的15μm的氧化钇粉末获得厚度约200μm的氧化钇涂层表现良好的持续时间可超过10小时。此外,利用常规的粒径25μm的氧化钇粉末获得的氧化钇涂层的击穿电压(VBD)仅有750V/mil;而利用尺寸较小的15μm的氧化钇粉末获得的氧化钇涂层的击穿电压高于875V/mil。本领域技术人员可选择相关产业常用的任何设备来进行含钇涂层喷涂,并可在最少实验的情形下得到类似的相关结果。
图4A为对比例,示出了基于中心线410沿着利用本发明之前的可用技术得到的等离子体喷涂原样氧化钇涂层的表面的表面粗糙度范围的图表400,以微米为单位。轴402上以毫米为单位标示沿着上述表面行进的距离;而轴404上以微米为单位标示距离中心线的上方高度或下方深度的范围。基于该范围的中心线的表面距离范围从约+23微米至约-17微米。
图4B示出了图表420,其为基于中心线430沿着等离子体喷涂原样氧化钇涂层的表面的表面粗糙度范围,表面粗糙度以微米为单位。此等离子体喷涂涂层是利用本发明具体实施例所制得,其中馈送至等离子体喷涂设备的粉末的有效粒径较小。轴422上以毫米为单位标示沿着表面行进的距离;而轴424上以微米为单位标示距离中心线的上方的高度或下方的深度。基于该范围的中心线的表面距离范围从约+6微米至约-4.5微米。此种在表面高度及深度范围变化上的显著改变可实质上降低暴露于腐蚀性还原等离子体中的保护涂层表面积。
图5A及5B为对比显微照片510及520,示出了利用在本发明之前的等离子体喷涂技术得到的等离子体喷涂氧化钇涂层的形貌的顶视图,其放大倍率分别为200X及1000X。图5C及5D为显微照片530及540,示出了利用本发明的实施例所得的等离子体喷涂氧化钇涂层的形貌的顶视图,其中馈送至等离子体喷涂设备中的粉末的有效粒径较小。图5C及5D的放大倍率分别为200X及1000X。将图5A及5B和图5C及5D进行比较,可以发现受到等离子体攻击的表面积变少了。图5A及5B中所示的表面形貌较易受到还原等离子体的攻击(与图5C及5D中所示的表面形貌相比),这是因为在表面的高度与深度的垂直变化以及延伸于涂层表面上的球状结构导致表面积增加,而使得在二维方向上露出的区域变多。
图6A为对比显微照片600,示出了铝合金基板602的剖面侧视图,上述铝合金基板602的表面604上沉积了氧化钇涂层606。此对比显微照片示出了利用在本发明之前的等离子体喷涂技术所得到厚度约200μm的氧化钇涂层结构的特性。此显微照片的放大倍率为200X。在显微照片600中,测试样本的铝合金基板602位于照片的底部。照片中清楚地界定出了铝合金表面604的粗糙度。亦可清楚观察到喷涂氧化钇606的整体孔隙率以及涂层表面608的粗糙度,上述涂层是利用现有技术将常规的平均有效粒径25μm的氧化钇粉末馈送至该等离子体喷涂器经等离子体喷涂所制得。
图6B为显微照片610,示出了当馈送至等离子体喷涂器的氧化钇粉末的有效粒径尺寸变小时,对于等离子体喷涂的氧化钇涂层的改善效果。图6B示出了铝合金基板612的剖面侧视图,上述铝合金基板612的表面614上沉积了氧化钇涂层616。同样地,其放大倍率为200X。在显微照片610中,测试样本的铝合金基板612位于照片的底部。与图6A相似,照片中清楚地界定出铝合金表面614的粗糙度。喷涂的氧化钇涂层616的整体孔隙率明显小于利用现有技术制得的涂层的孔隙率(如图6A所示)。利用本发明具体实施例制得的涂层表面618的粗糙度远比利用现有等离子体喷涂技术制得的更平滑。显微照片600及610可进一步支持上表2中所列的数据。
图7A为柱状图700,比较了各种含氧化钇基板的侵蚀速率。在柱状图700的轴702上,以μm/hr为单位来表示每一种含氧化钇基板的侵蚀速率。长条704示出了利用现有喷涂技术涂覆的等离子体喷涂氧化钇涂层(于铝合金基板上)的侵蚀速率,其中馈送至等离子体喷涂器的氧化钇颗粒的平均有效粒径为25μm或以上。长条706示出了氧化钇块材样本(属于相关领域已知的种类)的侵蚀速率。长条708示出了利用本发明具体实施例,将粒径较小的氧化钇粉末馈送至等离子体喷涂设备所得的等离子体喷涂氧化钇涂层(于铝基板上)的侵蚀速率。上述各种基材的测试样本均暴露于相同的含还原物种等离子体中。用以得到图7A所示的数据的等离子体处理参数如表3所示。随着处理步骤不同,处理过程中的平均温度介于约20℃至90℃。暴露于等离子体中的时间为87小时。出乎意料地发现到,将较小的氧化钇粉末馈送至等离子体喷涂设备,所得到喷涂氧化钇的处理部件产生的微粒少于同质(solid)氧化钇部件产生的微粒,这可能是因为同质氧化钇部件必须使用烧结添加剂所造成的。使用烧结添加剂会产生粒间玻璃相(intergranular glassy phase),这是微粒形成的来源之一。
表3
Figure BPA00001211702100151
*NSTU:中性物种微调单元(Neutral Species Tuning Unit),以比值(ratio)表示。
**CSTU:带电物种微调单元(Charged Species Tuning Unit),以安培(Ampere)表示。
***氦冷却剂,馈送至基板支撑平台并进入支撑平台表面处的内部流体循环环以及外部流体循环环。
图7B为柱状图720,其比较了具有不同化学组成的一系列块材的侵蚀速率。所有上述基材的测试样本均在300mm eMax CT+处理腔室中暴露于相同的含还原物种等离子体,其工艺参数如表1所示。YAG块材的表现证实了前述避免在还原等离子体中产生Y(OH)3能够提升抗腐蚀性的理论。长条724代表HF01基板;长条726代表NB04基板;长条728代表Y-ZrO2基板;长条730代表NB01基板;长条732代表HPM基板;长条734代表YA3070基板;长条736代表Y2O3基板;长条738代表YZ20基板;及长条740代表YAG基板。其中较引起注意的是长条736、738及740,因为它们分别代表了Y2O3块材、含有原子百分比20%的ZrO2的Y2O3-ZrO2固溶体块材以及YAG块材。已经证实当根据本发明具体实施例利用等离子体喷涂来涂覆这三种材料时,其对于还原等离子体有良好的抵抗性。
图8为表格800,列出图7B中用以探究侵蚀速率的各种块材的不同起始粉末的化学组成。
图9为相图900,示出了表格800中所列材料的起始粉末的化学组成以及在最终形成材料中的相态。
在尝试改良氧化钇涂层性能时,发现到攻击氧化钇表面的机制是通过形成氢氧化钇(Y(OH)3)来进行。当存在氢及氧的反应性等离子体物种时,会形成Y(OH)3化合物。当存在氢、氟及氧的反应性等离子体物种时,会形成Y(OH)3化合物。在理论上,通过分析各种化合物的热力学数据(Gibbs生成自由能),能够决定形成Y(OH)3化合物的可能性。在实验上,可利用高分辨率XPS来侦测Y(OH)3的生成。实验结果亦表明利用钇铝石榴石(常见形式为Y3Al5O12),以及使用Y2O3-ZrO2固溶体可避免Y(OH)3的形成。此外,进一步的研究指出,YF3在热力学上是稳定的,且可抗Y(OH)3的形成,这也使得此一材料可用作在含有还原活性物种的等离子体环境中的保护涂层。因此,YAG、Y2O3-ZrO2固溶体或YE3或其组合为可作为用于含有还原活性物种的等离子体环境中的良好保护性涂层材料。为了提供范围在0.5%或以下的较佳孔隙率以及约875V/mil或更高击穿电压(VBD),用以沉积等离子体喷涂的YAG、Y2O3-ZrO2固溶体或YE3涂层的粉末平均(等效粒径)颗粒大小介于约22μm至约5μm。此外,亦可利用等效粒径小至约0.1μm的颗粒大小,只要所用的喷涂设备能够处理这种大小的颗粒。使用上述尺寸较小的粉末可降低等离子体喷涂涂层的孔隙率,并可提供更为致密的结构,如同在喷涂含Y2O3涂层中使用具有较小尺寸的粉末所观察到的结构一样。
虽然上文叙述有关于本发明数个具体实施例,从本说明书还可再不致悖离本发明基本范围的情况下,做出本发明的其他及进一步具体实施例,本发明的范围取决于附随申请专利范围。

Claims (17)

1.一种可抵抗化学活性还原等离子体的腐蚀或侵蚀的制品,该制品包含金属或金属合金基板,该基板表面上具有喷涂的含钇陶瓷材料,其中该陶瓷涂层的孔隙率小于1.5%。
2.如权利要求1所述的制品,其中该孔隙率介于小于1.5%至约0.1%的范围。
3.如权利要求2所述的制品,其中该孔隙率介于约1%至约0.1%的范围。
4.如权利要求1所述的制品,其中该喷涂的含钇陶瓷材料的暴露表面的表面粗糙度小于约3μm Ra。
5.如权利要求3所述的制品,其中该表面粗糙度介于小于约1.5μm Ra至约0.6μm Ra的范围。
6.如权利要求1所述的制品,其中该喷涂的含钇陶瓷材料的击穿电压为至少高于650V/mil。
7.如权利要求6所述的制品,其中该击穿电压介于约650V/mil至大于900V/mil的范围。
8.如权利要求1或4或6所述的制品,其中该喷涂的含钇陶瓷材料的厚度介于约5μm至约400μm的范围。
9.如权利要求8所述的制品,其中该材料厚度介于约25μm至约300μm的范围。
10.如权利要求8所述的制品,其中该含钇陶瓷材料选自于由Y2O3、Y2O3-ZrO2固溶体、YAG、YF3及其组合物所组成的组。
11.如权利要求2所述的制品,其中该经喷涂的含钇陶瓷材料通过持续时间为至少8小时的氯化氢气泡试验。
12.如权利要求3所述的制品,其中该经喷涂的含钇陶瓷材料通过持续时间为至少10小时的氯化氢气泡试验。
13.一种制造可抵抗化学活性还原等离子体的腐蚀或侵蚀的制品的方法,包括:利用含钇陶瓷材料来等离子体喷涂金属或金属合金基板以制造该制品,其中该含钇陶瓷材料为粉末形式,该粉末的平均等效粒径范围介于约22μm至约0.1μm。
14.如权利要求13所述的制造制品的方法,其中该粉末的平均等效粒径范围介于约15μm至约5μm。
15.如权利要求13或14所述的制造制品的方法,其中该含钇材料选自由Y2O3、Y2O3-ZrO2固溶体、YAG、YF3及其组合物所组成的组。
16.如权利要求13所述的方法,其中该含钇材料选自由Y2O3-ZrO2固溶体、YAG、YF3及其组合物所组成的组。
17.一种制造可抵抗化学活性还原等离子体的腐蚀或侵蚀的制品的方法,包括:利用含钇陶瓷材料来等离子体喷涂金属或金属合金基板以制造该制品,其中该含钇陶瓷材料选自由Y2O3-ZrO2固溶体、YF3及其组合物所组成的组。
CN200980106482.6A 2008-02-26 2009-02-13 可抵抗还原等离子体的含钇陶瓷涂层 Active CN102084020B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/072,530 US20090214825A1 (en) 2008-02-26 2008-02-26 Ceramic coating comprising yttrium which is resistant to a reducing plasma
US12/072,530 2008-02-26
PCT/US2009/000949 WO2009108275A2 (en) 2008-02-26 2009-02-13 Ceramic coating comprising yttrium which is resistant to a reducing plasma

Publications (2)

Publication Number Publication Date
CN102084020A true CN102084020A (zh) 2011-06-01
CN102084020B CN102084020B (zh) 2014-07-09

Family

ID=40998600

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980106482.6A Active CN102084020B (zh) 2008-02-26 2009-02-13 可抵抗还原等离子体的含钇陶瓷涂层

Country Status (7)

Country Link
US (1) US20090214825A1 (zh)
JP (1) JP5674479B2 (zh)
KR (1) KR20100118994A (zh)
CN (1) CN102084020B (zh)
SG (2) SG187415A1 (zh)
TW (1) TWI455820B (zh)
WO (1) WO2009108275A2 (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103021773A (zh) * 2012-12-31 2013-04-03 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
CN103794459A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN104080940A (zh) * 2012-02-03 2014-10-01 东华隆株式会社 白色氟化物喷涂覆膜的黑化方法以及在表面具有黑色层的氟化物喷涂覆膜覆盖部件
CN105378900A (zh) * 2013-07-19 2016-03-02 应用材料公司 用于工艺环上的稀土氧化物基薄膜涂层的离子辅助沉积
CN105431232A (zh) * 2013-09-18 2016-03-23 应用材料公司 使用等离子体火焰热处理的等离子体喷涂增强
CN105474363A (zh) * 2014-05-07 2016-04-06 应用材料公司 抗等离子体的陶瓷涂层的浆料等离子体喷涂
TWI600797B (zh) * 2014-11-11 2017-10-01 台灣高美可科技股份有限公司 電漿處理設備之內部構件以及其製造方法
CN107287545A (zh) * 2016-04-12 2017-10-24 信越化学工业株式会社 氟化钇喷涂涂层、用于其的喷涂材料以及包括喷涂涂层的抗腐蚀涂层
CN107546136A (zh) * 2013-06-05 2018-01-05 应用材料公司 制品及用于制造腔室的腔室组件
CN108368598A (zh) * 2015-12-28 2018-08-03 日本钇股份有限公司 成膜用材料
CN108866509A (zh) * 2017-05-10 2018-11-23 应用材料公司 用于腔室部件的金属氧氟化物膜
CN109075084A (zh) * 2016-05-03 2018-12-21 应用材料公司 保护性金属氟氧化物涂层
CN109312442A (zh) * 2016-06-08 2019-02-05 三菱重工业株式会社 热障涂层、涡轮构件及燃气轮机
CN109477199A (zh) * 2016-07-14 2019-03-15 信越化学工业株式会社 悬浮等离子体热喷涂用浆料、稀土类氧氟化物热喷涂膜的形成方法和热喷涂构件
CN109920715A (zh) * 2017-12-12 2019-06-21 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀反应器
CN110016645A (zh) * 2013-07-20 2019-07-16 应用材料公司 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN111640643A (zh) * 2014-04-25 2020-09-08 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
CN113620710A (zh) * 2014-05-16 2021-11-09 应用材料公司 使用相与应力控制的等离子体喷涂涂覆设计
US11946147B2 (en) 2018-03-26 2024-04-02 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, turbine member, gas turbine, and method for producing thermal barrier coating

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8854451B2 (en) 2011-10-19 2014-10-07 Lam Research Corporation Automated bubble detection apparatus and method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101932429B1 (ko) * 2012-05-04 2018-12-26 (주)코미코 내 플라즈마 코팅막, 이의 제조 방법 및 내 플라즈마성 부품
WO2013191224A1 (ja) * 2012-06-20 2013-12-27 東京エレクトロン株式会社 シーズニング方法、プラズマ処理装置及び製造方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
KR102370665B1 (ko) 2014-03-05 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9999907B2 (en) * 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11535550B2 (en) 2016-11-02 2022-12-27 Morgan Advanced Ceramics, Inc. Yttrium aluminum silicate glass ceramic coating for semiconductor chamber apparatus
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20170024592A (ko) * 2017-02-15 2017-03-07 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR102395660B1 (ko) * 2017-12-19 2022-05-10 (주)코미코 용사 재료 및 그 용사 재료로 제조된 용사 피막
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP6939853B2 (ja) * 2018-08-15 2021-09-22 信越化学工業株式会社 溶射皮膜、溶射皮膜の製造方法、及び溶射部材
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP6699701B2 (ja) * 2018-10-16 2020-05-27 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、該溶射皮膜を形成するための溶射材料、該溶射皮膜の形成方法、及び該溶射皮膜を含む耐食性皮膜
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11613624B2 (en) * 2019-11-07 2023-03-28 The Boeing Company Ceramic coated iron particles and methods for making ceramic coated particles
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102290498B1 (ko) 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5413877A (en) * 1992-09-22 1995-05-09 Moller International, Inc. Combination thermal barrier and wear coating for internal combustion engines
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
WO1998021382A2 (de) * 1996-11-13 1998-05-22 Ewald Dörken Ag Verfahren zum aufbringen einer anorganischen beschichtung auf einen elektrisch leitfähigen körper
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
TW488010B (en) * 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
TWI262905B (en) * 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP2004332081A (ja) * 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
EP1589567B1 (en) * 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP4051351B2 (ja) * 2004-03-12 2008-02-20 トーカロ株式会社 熱放射性および耐損傷性に優れるy2o3溶射皮膜被覆部材およびその製造方法
JP5137304B2 (ja) * 2004-10-18 2013-02-06 株式会社日本セラテック 耐食性部材およびその製造方法
US7494723B2 (en) * 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
KR20070087219A (ko) * 2007-07-25 2007-08-27 도카로 가부시키가이샤 산화이트륨 용사 피막 피복 부재 및 그 제조 방법

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104080940A (zh) * 2012-02-03 2014-10-01 东华隆株式会社 白色氟化物喷涂覆膜的黑化方法以及在表面具有黑色层的氟化物喷涂覆膜覆盖部件
CN104080940B (zh) * 2012-02-03 2016-10-26 东华隆株式会社 白色氟化物喷涂覆膜的黑化方法以及在表面具有黑色层的氟化物喷涂覆膜覆盖部件
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN103794459A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN103021773A (zh) * 2012-12-31 2013-04-03 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
CN107546136B (zh) * 2013-06-05 2020-12-04 应用材料公司 制品及用于制造腔室的腔室组件
CN107546136A (zh) * 2013-06-05 2018-01-05 应用材料公司 制品及用于制造腔室的腔室组件
CN105378900A (zh) * 2013-07-19 2016-03-02 应用材料公司 用于工艺环上的稀土氧化物基薄膜涂层的离子辅助沉积
CN110016645A (zh) * 2013-07-20 2019-07-16 应用材料公司 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN105431232A (zh) * 2013-09-18 2016-03-23 应用材料公司 使用等离子体火焰热处理的等离子体喷涂增强
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
CN111640643A (zh) * 2014-04-25 2020-09-08 应用材料公司 稀土氧化物的顶部涂层的离子辅助沉积
CN105474363A (zh) * 2014-05-07 2016-04-06 应用材料公司 抗等离子体的陶瓷涂层的浆料等离子体喷涂
US11578398B2 (en) 2014-05-16 2023-02-14 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN113620710B (zh) * 2014-05-16 2022-11-11 应用材料公司 使用相与应力控制的等离子体喷涂涂覆设计
CN113620710A (zh) * 2014-05-16 2021-11-09 应用材料公司 使用相与应力控制的等离子体喷涂涂覆设计
TWI600797B (zh) * 2014-11-11 2017-10-01 台灣高美可科技股份有限公司 電漿處理設備之內部構件以及其製造方法
CN108368598A (zh) * 2015-12-28 2018-08-03 日本钇股份有限公司 成膜用材料
CN108368598B (zh) * 2015-12-28 2021-02-02 日本钇股份有限公司 成膜用材料
CN112779488A (zh) * 2016-04-12 2021-05-11 信越化学工业株式会社 氟化钇喷涂涂层、用于其的喷涂材料以及包括喷涂涂层的抗腐蚀涂层
CN107287545A (zh) * 2016-04-12 2017-10-24 信越化学工业株式会社 氟化钇喷涂涂层、用于其的喷涂材料以及包括喷涂涂层的抗腐蚀涂层
CN109075084A (zh) * 2016-05-03 2018-12-21 应用材料公司 保护性金属氟氧化物涂层
CN109075084B (zh) * 2016-05-03 2023-02-14 应用材料公司 保护性金属氟氧化物涂层
US10808308B2 (en) 2016-06-08 2020-10-20 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, turbine member, and gas turbine
CN109312442A (zh) * 2016-06-08 2019-02-05 三菱重工业株式会社 热障涂层、涡轮构件及燃气轮机
CN109477199A (zh) * 2016-07-14 2019-03-15 信越化学工业株式会社 悬浮等离子体热喷涂用浆料、稀土类氧氟化物热喷涂膜的形成方法和热喷涂构件
CN108866509A (zh) * 2017-05-10 2018-11-23 应用材料公司 用于腔室部件的金属氧氟化物膜
CN109920715A (zh) * 2017-12-12 2019-06-21 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀反应器
US11946147B2 (en) 2018-03-26 2024-04-02 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, turbine member, gas turbine, and method for producing thermal barrier coating

Also Published As

Publication number Publication date
JP2011514933A (ja) 2011-05-12
TWI455820B (zh) 2014-10-11
WO2009108275A3 (en) 2009-11-05
TW200946331A (en) 2009-11-16
WO2009108275A2 (en) 2009-09-03
JP5674479B2 (ja) 2015-02-25
SG187415A1 (en) 2013-02-28
WO2009108275A9 (en) 2011-02-03
SG10201710059XA (en) 2018-01-30
US20090214825A1 (en) 2009-08-27
CN102084020B (zh) 2014-07-09
KR20100118994A (ko) 2010-11-08

Similar Documents

Publication Publication Date Title
CN102084020B (zh) 可抵抗还原等离子体的含钇陶瓷涂层
JP4643478B2 (ja) 半導体加工装置用セラミック被覆部材の製造方法
CN101772589B (zh) 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法
US7850864B2 (en) Plasma treating apparatus and plasma treating method
KR100939403B1 (ko) 반도체 가공 장치용 세라믹 피복 부재
US7648782B2 (en) Ceramic coating member for semiconductor processing apparatus
TW201209957A (en) Substrate supports for semiconductor applications
US20090080136A1 (en) Electrostatic chuck member
US20120196139A1 (en) Thermal spray composite coatings for semiconductor applications
JP6082345B2 (ja) 半導体用途のための溶射コーティング
US20100272982A1 (en) Thermal spray coatings for semiconductor applications
WO2007108549A1 (ja) プラズマ処理装置およびプラズマ処理方法
CN101357846A (zh) 具有可控电阻率的耐等离子体腐蚀陶瓷
KR100940812B1 (ko) 반도체 제조 장비용 열용사 코팅막의 제조방법
JP4728306B2 (ja) 静電チャック部材およびその製造方法
Zhao et al. Phase composition, structural, and plasma erosion properties of ceramic coating prepared by suspension plasma spraying
KR101101910B1 (ko) 반도체 제조 장비용 다성분계 열용사 코팅물질, 그 제조방법 및 코팅방법
WO2015080134A1 (ja) プラズマ装置用部品およびその製造方法
JP2011047052A (ja) 半導体加工装置用部材の製造方法
Friedrich et al. Thermally sprayed multilayer coatings as electrodes and dielectrics in high efficiency ozonizer tubes
JP2012129549A (ja) 静電チャック部材

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant