JP5674479B2 - 還元プラズマに耐性のイットリウム含有セラミックコーティング - Google Patents

還元プラズマに耐性のイットリウム含有セラミックコーティング Download PDF

Info

Publication number
JP5674479B2
JP5674479B2 JP2010547620A JP2010547620A JP5674479B2 JP 5674479 B2 JP5674479 B2 JP 5674479B2 JP 2010547620 A JP2010547620 A JP 2010547620A JP 2010547620 A JP2010547620 A JP 2010547620A JP 5674479 B2 JP5674479 B2 JP 5674479B2
Authority
JP
Japan
Prior art keywords
plasma
yttrium oxide
coating
yttrium
spray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010547620A
Other languages
English (en)
Other versions
JP2011514933A (ja
JP2011514933A5 (ja
Inventor
ジェニファー ワイ サン
ジェニファー ワイ サン
シャオミング ヒー
シャオミング ヒー
ケネス エス コリンズ
ケネス エス コリンズ
トーマス グラベス
トーマス グラベス
セン サチ
セン サチ
ユアン ジエ
ジエ ユアン
リー シュー
リー シュー
レングアン グアン
レングアン グアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011514933A publication Critical patent/JP2011514933A/ja
Publication of JP2011514933A5 publication Critical patent/JP2011514933A5/ja
Application granted granted Critical
Publication of JP5674479B2 publication Critical patent/JP5674479B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/24997Of metal-containing material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Description

関連出願
本願は、溶射被覆されたイットリウム含有セラミック材料を利用した半導体処理部品に関する2つの別の出願に関連する。溶射被覆されたイットリウム含有セラミック材料は、アルミニウム又はアルミニウム合金基体上に塗布されることが多い。関連する出願は、2002年2月14日に出願されたサン(Sun)らによる米国特許出願第10/075967号「Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers」(2004年8月17日に米国特許第6776873号として発行)及び2004年7月22日に出願された米国特許出願第10/898113号「Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus」(2005年2月17日にUS2005/0037193A1として公開、現在係属中)である。参照した特許及び出願の主題は、参照により本明細書に組み込まれる。
背景
1.分野
本発明の実施形態は、半導体処理環境における処理表面上の保護コーティングとして有用な、プラズマ又は火炎溶射されたイットリウム含有コーティングに関する。プラズマ又は火炎溶射されたイットリウム含有コーティングは特に還元プラズマにおいて有用であり、処理対象である基板の微粒子による汚染を防止する。
2.背景
この項では、本発明で開示の実施形態に関連した背景となる主題について説明する。この項で論じる背景技術が先行技術を法的に構成すると表明又は含意する意図はない。
コロージョン(エロージョンを含む)耐性は、腐食性の環境が存在する半導体処理チャンバで使用される装置部品及びライナにとって欠くことのできない性質である。腐食性のプラズマは、プラズマ化学気相蒸着(PECVD)及び物理気相蒸着(PVD)を含む大多数の半導体処理環境に存在しているが、最も腐食性が高いプラズマ環境は、処理装置の清浄化に使用されるもの及び半導体基板のエッチングに使用されるものである。これは高エネルギーのプラズマが存在し、そこにその環境内の部品の表面に作用する化学反応性が加わる場合に特に当てはまる。この高エネルギーのプラズマが還元プラズマの場合(水素種含有プラズマ等)、処理チャンバにおける微粒子の発生が問題であった。発生した微粒子は、半導体処理チャンバ内で処理される基板中のデバイスの表面を汚染することが多い。
電子デバイス及び微小電子機械システム(MEMS)の製造に使用される処理チャンバ内に存在する処理チャンバライナ及び部品装置は、アルミニウム及びアルミニウム合金から構成されることが多い。処理チャンバ及び(チャンバ内に存在する)部品装置の表面を陽極酸化させることにより、腐食性の環境からある程度保護することが多い。しかしながら、陽極酸化層の完全性はアルミニウム又はアルミニウム合金中の不純物によって低下する場合があるため、コロージョンが早期に始まり、保護コーティングの寿命は短くなる。酸化アルミニウムのプラズマ耐性は、他のセラミック材料と比較すると確実なものではない。このため、様々な組成のセラミックコーティングが、上記の酸化アルミニウム層の代わりに使用されており、場合によっては、アルミニウム合金基体を覆う陽極酸化層の表面上に使用することにより、その下のアルミニウム系材料をより高く保護する。
酸化イットリウムは、半導体デバイスの製造に使用される類のハロゲン含有プラズマに曝露されるアルミニウム及びアルミニウム合金表面の保護において極めて将来性が高いと判明しているセラミック材料である。溶射被覆酸化イットリウムコーティングを、高純度アルミニウム合金処理チャンバ表面又は処理部品表面の陽極酸化表面に塗布することにより、優れたコロージョン耐性が得られる(例えば、上記のサンらによる米国特許第6777873号)
装置部品のチャンバ壁又はライナの基体母材は、セラミック材料(Al、SiO、AIN等)、アルミニウム、ステンレススチールであってもよく、或いは別の金属又は合金であってもよい。そのいずれもが、母材上に溶射膜を有し得る。この膜は、周期表のIII−B族元素の化合物(Y等)から形成することができる。この膜は実質的にAl及びYから構成することができる。イットリウム・アルミニウム・ガーネット(YAG)の溶射膜も以前に言及されている。溶射膜の厚さの例は50μm〜300μmである。
コロージョン及びエロージョン耐性を付与するために酸化イットリウム含有膜で溶射被覆したアルミニウム及びアルミニウム合金には問題があった。特に、技術ノード45nm及び32nm(並びにこれからの技術ノード)の集積回路(IC)のエッチングにおける厳しい課題の一部として、IC製造作業中に発生する微粒子及び汚染物質は、基準を満たすデバイスの歩留まりを低下させてきた。
IC部品の製造の一環としてのプラズマ処理中(特に、プラズマが還元プラズマの場合)に発生する微粒子及び汚染の量を削減することが半導体業界において求められている。
説明
腐食性が高いプラズマ環境において半導体デバイスを処理する間、微粒子が問題となることが判明していた。微粒子は、半導体デバイスの歩留まりに影響を及ぼした。実験データは、半導体処理チャンバ表面及びチャンバ内の装置部品を保護するために使用したセラミック保護コーティングが、大量の微粒子の発生源であることを示していた。実験データは、セラミックで被覆した処理チャンバライナ又は装置部品の表面をその使用に先立って研磨することによって、微粒子発生の程度を軽減できることを示していた。しかしながら依然として、発生した微粒子の量は、半導体の歩留まりに大きな影響を与えた。
微粒子発生問題は、プラズマ処理チャンバ内の環境が還元性雰囲気の場合に特に悪かった。多くのプラズマ処理において、反応種の中でもとりわけ水素が利用されており、この還元性環境では、水素が存在しない場合より多くの微粒子が発生する。大規模な開発プロジェクトが行われ、還元性環境での微粒子の発生が少ない、改良された保護セラミックコーティングの形成に関する本発明の実施形態が得られた。開発プログラムは、イットリウム含有セラミックに基づくものであった。これらのイットリウム含有セラミックには、酸化イットリウム(Y)、Y−ZrO固溶体、YAG及びYFが、特定の機械的、物理的又は電気的性質を付与するように設計されたもっと特殊なセラミックコーティング組成物に加えて含まれた。
酸化イットリウムコーティング(当該分野で既知のプラズマ溶射被覆技法を用いて塗布)で被覆したアルミニウム基体から切り出した試料の顕微鏡写真は、還元種を含有するプラズマへの曝露後、多孔率及び表面粗さが大きく上昇したことを示した。溶射被覆した酸化イットリウム表面の多孔率及び表面粗さにおける大きな低下が、より小さい平均粒径の酸化イットリウム粉末原料を、コーティングの塗布に使用するプラズマ溶射被覆装置に使用することによって得られることが、実験によって判明した。本発明の実施形態は、(慣用のものより)小さい平均粒径のY、Y−ZrO固溶体、YAG及びYF粉末をの基体の溶射被覆に使用する。例えば、本発明以前のプラズマ溶射被覆装置に供給される酸化イットリウム粉末の従来の有効粒径は約25μm以上であった。プラズマ溶射被覆装置に供給する粉末の有効粒径を約22μm未満、典型的には約15μm未満に縮小すると、還元プラズマに対するコロージョン/エロージョン耐性が思いがけなく向上した。有効粒径は、多くの場合、約15μm〜約5μmであった。0.1μmもの小さい有効粒径の粉末も、このような粒子に対応できるよう溶射被覆システムを構成可能な場合は使用できる。より小さい粒径の粉末を使用して溶射被覆された基体は、これに対応して、イットリウム含有溶射被覆の平均多孔率において大幅且つ思いがけない低下を見せる。平均多孔率におけるこの低下は、アルミニウム合金基体表面上に堆積されたY、Y−ZrO固溶体、YAG及びYFのコーティング実施形態において観察されている。例えば、厚さ200μmのコーティングの場合、本発明以前の溶射被覆技法(酸化イットリウムについて25μm以上の有効粒径を採用)を利用して形成される酸化イットリウムコーティングの平均多孔率は、イメージプロ・プラス(Image−Pro Plus、登録商標)、バージョン6.0のソフトウェアをSEM微細構造画像と組み合わせた測定で1.5%より高く〜約4%である。これに対して、プラズマ溶射装置に供給する粉末の平均有効粒径が約22μm〜約5μmの本発明のプラズマ溶射被覆実施形態を利用して形成した酸化イットリウムコーティングの場合、平均多孔率は1.5%未満〜約0.15%であった。例えば、平均多孔率約0.47%を有する酸化イットリウムコーティングが、有効粒径15μmを採用して達成された。加えて、有効粒径が25μmの粉末を使用して形成した酸化イットリウムコーティングの平均表面粗さは約200μ−インチRa(5.0μmRa)であり、これに対してレーザー溶射被覆装置に粒径15μmの粉末酸化イットリウム原料を使用して形成した酸化イットリウムコーティングの場合、平均表面粗さは51.2μ−インチRa(1.28μmRa)にすぎなかった。本発明の実施形態全般において、平均表面粗さは、約3μmRa〜約0.6μmRaになる。
上記の厚さ200μmの酸化イットリウムコーティングの場合、続いて以下で説明する標準HClバブルテストによると、有効粒径25μmの酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングは約7.5〜8時間にわたって良好に機能し、粒径15μm(又は15μm以下)の粉末を使用して形成した酸化イットリウムコーティングは10時間を超えて良好に機能した。更に、粒径25μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧(VBD)は750V/milであり、粒径15μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧は、少なくとも875V/milであった。
当業者は、イットリウム含有コーティングの溶射被覆に業界で一般に使用されるいずれのプラズマ溶射被覆装置も選択することができ、また同様の相対的な結果を最小限の実験で得られる。
より小さい有効粒径のイットリウム含有粉末の使用により、基体の被覆の効率は低下し、堆積するコーティングの厚さが必要とする粉末量が多くなる。イットリウム含有粉末は高価なため、溶射被覆に粒径が小さいイットリウム含有粉末の利用を拡大しようという試みは行われなかった。本発明の実施形態に従って有効粒径約22μm〜約0.1μmを採用すると、より小さい有効粒径の採用を正当化するより多くの予期せぬ相対的な利点が、コーティングの性能特性において得られた。例えば、厚さ300μm以下を有する溶射コーティングは、上述のやり方でイメージプロ・プラス(商標名)のソフトウェアを使用して測定すると、約0.15%〜約1.5%未満の多孔率を示す。実験データは、本発明の実施形態に従ってより小さい有効粒径の粉末を使用する改良された溶射被覆技法を利用して形成された溶射被覆酸化イットリウム処理部品の還元プラズマにおけるエロージョン耐性が一層高く、また純粋な酸化イットリウム部品より発生する微粒子が少ないことを示した。これは、純粋な酸化イットリウム部品では、微粒子発生の原因となる粒子間ガラス相を形成する焼結添加剤の使用を必要としたことに起因すると考えられた。
還元プラズマ中での酸化イットリウムコーティングの性能の改善に取り組む間に、発明者は、酸化イットリウム表面の侵食のメカニズムが、水酸化イットリウムY(OH)の生成を通したものであることを発見した。水素又は水素と酸素の反応性プラズマ種が存在する場合、Y(OH)化合物が生成される。水素、フッ素及び酸素の反応性プラズマ種が存在する場合、Y(OH)化合物が生成され、YF化合物も同じく生成され、熱力学的な条件によってはYFが優先的に生成される。
酸化イットリウム表面上に還元雰囲気中で生成されるY(OH)が、微粒子発生の主な原因である。ひとたびこの発見がなされると、発生する微粒子の量を削減するのに利用可能な、本発明の実施形態に沿った例示的な方法が幾つかあることが実験により判明した。(1)酸化イットリウムコーティングの使用を継続するが、還元種による侵食速度がより緩やかな、より高密度で滑らかなYプラズマ溶射コーティングを形成する。これは、溶射コーティング形成用の粉末の有効粒径を約22μm〜約0.1μmに縮小することによって達成される。(2)プラズマ溶射コーティング装置に供給するYAG(YAl12形態で一般に使用されるイットリウムアルミニウムガーネット)、Y−ZrO固溶体又はYF組成物(又はこれらの組み合わせ)での代用によりYAG、Y−ZrO固溶体又はYF(又はこれらの組み合わせ)コーティングを形成する。これらの材料によって、各々、Y(OH)の生成が減少する又は回避される。(3)YをYAG、Y−ZrO固溶体又はYF(又はこれらの組み合わせ)材料で代用し、プラズマ溶射コーティング装置に供給するYAG、Y−ZrO固溶体又はYF(又はこれらの組み合わせ)有効粒径粉末のサイズを約22μm〜0.1μmに縮小する。より実際的には、約15μm〜約5μmの粒径を採用する。5μm〜400μmのコーティング厚さが得られる。より一般的には、約25μm〜約300μmのコーティング厚さが採用される。
上記の具体的な説明及び例示的な実施形態の詳細な説明を参照しながら本発明の例示的な実施形態を成し遂げるやり方が明快となり且つ詳細に理解できるように、出願人は図面を用意した。これらの図面が、本発明を理解するのに必要な場合にだけ提供され、また開示の主題の独創的な特徴が曖昧にならないように一部の周知の処理及び装置を本願では説明しないことに留意すべきである。
本発明のコーティングの塗布に使用し得る、当該分野で既知の類のプラズマ溶射システムの1タイプの概略断面図100である。 従来型の被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の比較顕微鏡写真200、210、220であり、倍率は各々300倍、1000倍、5000倍である。 還元化学プラズマに曝露後の、図2A〜2Cの被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真230、240、250である。図2Dの倍率は300倍、図2Eの倍率は1000倍、図2Fの倍率は5000倍である。 ラップ仕上げ(研磨)後の被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真300、310、320であり、倍率は各々300倍、1000倍、5000倍である。 還元化学プラズマに曝露後の、図3A〜3Cのラップ仕上げ(研磨)後の溶射酸化イットリウムコーティングの表面の顕微鏡写真330、340、350である。図3Dの倍率は300倍、図3Eの倍率は1000倍、図3Fの倍率は5000倍である。 比較例であり、本発明以前の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線410からの表面粗さ(ミクロン)範囲のグラフ400である。 本発明の実施形態の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線430からの表面粗さ(ミクロン)範囲のグラフ420である。 比較顕微鏡写真510、520であり、本発明以前のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。 顕微鏡写真530、540であり、本発明の実施形態のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。 アルミニウム合金基体602の側部断面の顕微鏡写真600であり、アルミニウム合金基体602の表面604上に酸化イットリウムコーティング606が堆積されている。これは比較顕微鏡写真であり、本発明以前のプラズマ溶射技術を利用して形成したこの構造の特徴を示し、倍率は200倍である。 アルミニウム合金基体612の側部断面の顕微鏡写真610であり、アルミニウム合金基体612の表面614上に酸化イットリウムコーティング616が堆積されている。この顕微鏡写真は、本発明の実施形態であるプラズマ溶射技術を利用して形成したこの構造の特徴を示し、倍率は200倍である。 従来の溶射コーティング技術を利用して(アルミニウム合金基体上に)塗布したプラズマ溶射酸化イットリウムコーティングの場合の目盛702に示されるエロージョン速度704を、酸化イットリウムのバルク基体の場合のエロージョン速度706、本発明の実施形態を利用して(アルミニウム基体上に)塗布したプラズマ溶射酸化イットリウムコーティングの場合のエロージョン速度708と比較したブロック図700である。これらの試料基体の各々は、同じ還元種含有プラズマに曝露された。 一連のバルク焼結材料の目盛722に示されるエロージョン速度を比較したブロック図720である。各試料基体は、同じ還元種含有プラズマに曝露された。 図7Bでエロージョン速度を示した様々なバルク材料の組成を記載した表800である。 表800で挙げた材料の大多数を示す相図900である。
例示的実施形態の詳細な説明
詳細な説明の序文として、本明細書及び添付の特許請求の範囲において使用の単数形の冠詞は、そうではないとの明確な記載がない限り複数の場合も含むことに留意すべきである。
本願において「約」という語を使用する場合、これは記載の公称値が±10%以内で正確であることを意味する。
円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を用いて表した。一実施形態における要素及び構成を、特に記載することなくその他の実施形態で便宜上利用することも考えられる。添付の図面は本発明の例示的な実施形態しか図示していないことに留意されたい。図面は、実施形態の理解に特に有用である。全ての実施形態がその理解のために図面を必要とするわけではなく、本発明はその他の同等に効果的な実施形態も認め得ることから、図面が本発明の範囲を限定するとはみなされない。
上述したように、腐食性の高いプラズマ環境における半導体デバイスの処理中、微粒子が問題となることが判明していた。実験データは、チャンバ内の様々な半導体装置処理表面を保護するために使用するセラミック保護コーティングが、大量の微粒子の発生源であることを示した。加えて、様々な半導体処理プラズマについてエロージョン速度を比較したところ、プラズマが還元種(特に、水素)を含有する還元プラズマの場合、微粒子の発生が増大することが明らかとなった。
デバイスのサイズが小さくなるにつれ製造工程あたりのデバイスの歩留まりは低下し、半導体基板表面上の微粒子の存在は、デバイスの機能にとってより重要なものとなり、半導体処理装置表面の保護に使用されるコーティングによる微粒子の発生を軽減するためのプログラムが始動した。
この開発プログラムは、イットリウム含有セラミックに基づくものであった。これらのイットリウム含有セラミックには、酸化イットリウム、Y−ZrO固溶体、YAG及びYFが、特定の機械的又は電気的性質を付与するように設計されたもっと特殊なイットリウム含有セラミック材料に加えて含まれた。
図1は、本発明のコーティングの塗布に有用なプラズマ溶射システムの1タイプの概略断面図100である。図1に図示の特定の装置は、エアロプラズマK.K.(Aeroplasma K.K.、東京、日本)社から入手可能なAPS7000シリーズのエアロプラズマ溶射システム(Aeroplasma Spraying System)である。装置100は、以下の部品:第1DC主電極102、第1補助電極104、第1アルゴン供給源106、第1空気供給源108、溶射材料粉末供給源110、カソードトーチ112、アクセレレータノズル114、プラズマアーク116、第2DC主電極118、第2補助電極120、第1アノードトーチ122A及び第2アノードトーチ122B、溶射母材供給源124、第2アルゴン供給源126、第2空気供給源(プラズマトリミング)128(128A、128B)、溶射膜130、プラズマジェット132、溶融粉末供給源134、第3アルゴン供給源136及びツインアノードαトーチ138を含む。
ツインアノードαトーチ138は2つのアノードトーチから成ることから、各アノードトーチは、熱負荷の半分を負担する。ツインアノードトーチα138を使用し、比較的低い電流で高い電圧を得ることが可能なため、各トーチの熱負荷は低くなる。トーチの各ノズル及び電極ロッドは別々に水冷され、アーク開始点及び終了点は不活性ガスで保護されるため、200時間以上の安定した動作が保証され、消耗部品の耐用寿命が延び、メンテナンス費用が削減される。
安定した高温のアークが、カソードトーチ112とアノードトーチ122との間に形成され、溶射材料をこのアークに直接、供給可能である。溶射材料は、高温のアーク柱によって完全に溶融する。アークの開始点及び終了点は不活性ガスによって保護されるため、空気又は酸素を、アクセレレータノズル114を通して導入されるプラズマガスに使用可能である。
プラズマトリミング機能128をツインアノードαに使用する。プラズマトリミングにより、溶射材料の溶融に利用されないプラズマジェットの熱をトリムし、基体材料及び膜への熱負荷を軽減して近距離での溶射を可能にする。
プラズマ溶射被覆装置の一種を図1に示すが、当業者なら、その他の種類の被覆装置も本発明の実行に使用可能であることに思い至るであろう。続いて記載の情報を理解することによって、プラズマ溶射被覆及び火炎溶射被覆の分野の当業者なら、最小限の実験によって、様々なコーティング堆積設備を使用して本発明を実行可能である。
図2A、2B、2Cは、厚さ約200μmを有する、被覆したままのプラズマ溶射酸化イットリウムコーティングの上面の比較顕微鏡写真200、210、220であり、コーティングは、本発明以前の技術を利用して堆積された。これらの顕微鏡写真の倍率は各々300倍、1000倍、5000倍である。全ての倍率で、特に5000倍の写真で、微粒子発生に直結する薄片状の表面組織が容易に見て取れる。
図2D、2E、2Fは、還元化学プラズマに曝露後の、図2A〜2Cの被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真230、240、250である。図2Dの倍率は300倍であり、図2Eの倍率は1000倍であり、図2Fの倍率は5000倍である。還元プラズマの成分は表1のものであり、表1に示す通りであり、成分表は図2、3、7Bについての、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な類の300mmイーマックス(eMax)CT+チャンバ(登録商標)におけるものである。評価する試料基体はウェハ上に置かれ、次に処理チャンバ内のESC位置に置かれた。図2A、2B、2Cに映っていた大量の薄片状のトポグラフィが、還元プラズマへの曝露中に消失したことは明白である。除去された材料が、還元化学プラズマを使用して処理した、デバイスを備えた半導体構造体の表面上に出現する微粒子の原因とみられる。
Figure 0005674479
プラズマへの曝露中に、薄片状のトポグラフィが酸化イットリウムコーティング表面から消失したことが容易に見て取れ、図2Cと図2Fの比較により示されるとおりである。これは、処理後の半導体デバイス表面上に見られた微粒子の化学組成と共に、微粒子の大部分が、酸化イットリウムコーティングから発生したことを裏付けた。
コーティング内部に向かって深くなるにつれ、溶射被覆酸化イットリウム層を検査した研究によって、コーティングの厚み全体を通して酸化イットリウムの全体的な結晶構造及び酸化イットリウムコーティングの多孔率が比較的一定していることが判明した。しかしながら、図2A〜2Cと図2D〜2Fとの比較によってわかるように、新しく被覆した装置を処理チャンバに導入する場合、その装置を半導体デバイスの製造に使用する前に、被覆したままのその装置の薄片状の表面を除去することによって、初期の大きな粒子の発生を回避することができる。
薄片状の上面は、図2D〜2Fに関連して説明した還元性の高いプラズマへの曝露によって除去することができた。しかしながら、この場合、プラズマへの約50時間にわたる曝露を必要とし、実際的ではなかった。その代わりに、酸化イットリウムでプラズマ溶射被覆した装置の表面を、セラミック材料を研磨するための当該分野で周知のラップ技法を使用して研磨した。図3A、3B、3Cは、ラップ仕上げ(研磨)をした、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真300、310、320であり、倍率は各々300倍、1000倍、5000倍である。薄片状の材料が、コーティングの上面から除去されてしまっていることが容易に見て取れる。
図3D、3E、3Fは、還元化学プラズマに曝露後の、図3A〜3Cに映っているラップ仕上げ(研磨)されたプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真330、340、350である。図3Dの倍率は300倍であり、図3Eの倍率は1000倍であり、図3Fの倍率は5000倍である。還元プラズマは、表1で説明したやり方で生成された。曝露時間は50時間であった。図3Cと図3Fとの比較によってわかるように、薄片状のトポグラフィが、プラズマへの曝露中に酸化イットリウムコーティング表面から消失したことは明白である。しかしながら、図3Fから見てとれるように、処理時間にわたって腐食性の環境に曝露されたコーティング表面は(酸化イットリウムの保護層の段階的なエロージョンが生じるにつれ)、依然として比較的微粒子を発生しやすく、これは溶射被覆されたセラミック材料の表面の亀裂及び全体的な結晶粒構造によるものである。高密度で多孔率の低い構造及び滑らかで緻密なコーティング表面を得るための、溶射被覆酸化イットリウムおける更なる改良が、微粒子発生を軽減するにあたって有用である。
本発明の別の実施形態は、還元プラズマによって侵食されにくいより高密度の溶射コーティングを得るための溶射被覆技法の改良に関する。多数の実験を重ね、プラズマ溶射被覆処理の様々な変数を試した後、アルミニウム合金基体にコーティングを塗布するのに使用するプラズマ溶射被覆装置に粒径がより小さい酸化イットリウム粉末原料を使用することによって、溶射被覆された酸化イットリウム表面の多孔率及び表面粗さが思いがけなく大幅に低下することが判明した。
例えば、本発明以前のプラズマ溶射被覆装置に供給される酸化イットリウム粉末の慣用の平均有効粒径は、直径25μmより大きかった。この平均粒径を約22μm以下、典型的には約15μm〜約0.1μmに縮小することによって、アルミニウム合金基体表面上に形成される酸化イットリウムコーティングの多孔率が大幅に低下することを示す実験データが得られた。
以下の表2は、プラズマ溶射された酸化イットリウムコーティングの物理的性質における改善を示し、これらの性質は、プラズマ溶射被覆装置への酸化イットリウム粉末原料のサイズを上述のやり方で変更した本発明の実施形態を利用して達成された。
Figure 0005674479
表2に示されるように、厚さ200μmのコーティングの場合、慣用の粒径25μmの酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングの平均多孔率は約1.5%〜約4%であり、より小さい等価直径の酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングの平均多孔率は、1.5%未満〜約0.15%であった。例えば、等価直径が15μmの粉末によって、多孔率約0.47%を有するコーティングが形成された。多孔率のこの低下は、還元種を含有するプラズマによる侵食しやすさの指標として特に重要である。加えて、慣用の粒径25μmの粉末を使用して形成した酸化イットリウムコーティングの平均表面粗さRaは約200μインチRa(5.0μmRa)であるのに対して、プラズマ溶射被覆装置により小さい粒径15μmの酸化イットリウム粉末原料を使用して形成した酸化イットリウムコーティングの場合の平均表面粗さはたったの51.2μインチRa(1.28μmRa)であった。標準HClバブルテストを使用すると、慣用の等価直径25μmの粉末を使用して形成した厚さ200μmの酸化イットリウムコーティングは約7.5〜8時間にわたって良好に機能し、より小さい粒径15μmの粉末を使用して形成した厚さ200μmの酸化イットリウムコーティングは、10時間を超えて良好に機能した。更に、慣用の等価直径25μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧(VBD)は750V/milにすぎず、より小さい等価直径15μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧は、875V/milより高かった。当業者は、イットリウム含有コーティングの溶射被覆に業界で一般に使用される装置のいずれも選択可能であり、また同様の相対的な結果を最小限の実験で得られる。
図4Aは比較例であり、本発明以前の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線410からの表面粗さ(ミクロン)範囲のグラフ400である。表面に沿った動程の距離が軸402上にミリメートル単位で示され、範囲の中心線の上の高さ又は下の深さがミクロン単位で軸404上に示される。範囲の中心線からの表面距離の値域は、約+23ミクロン(点406において)〜約−17ミクロン(点408において)であった。
図4Bは被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線430からの表面粗さ(ミクロン)範囲のグラフ420である。プラズマ溶射コーティングは、より小さい有効粒径の粉末をプラズマ溶射装置に供給する本発明の実施形態を利用して形成された。表面に沿った動程の距離が軸422上にミリメートル単位で示され、範囲の中心線の上の高さ又は下の深さがミクロン単位で軸424上に示される。範囲の中心線からの表面距離の値域は、約+6ミクロン(点426において)〜約−4.5ミクロン(点428において)であった。表面の凹凸の高さ及び深さの範囲におけるこの大きな変化によって、腐食性の還元プラズマに曝露される保護コーティングの表面積が実質的に減少する。



図5A及び5Bは比較顕微鏡写真510、520であり、本発明以前のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。図5C及び5Dは顕微鏡写真530、540であり、より小さい有効粒径の粉末をプラズマ溶射装置に供給する本発明の実施形態を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図である。図5C及び5Dの倍率は各々200倍、1000倍である。図5A及び図5Dと図5C及び図5Dとを比較すると、プラズマによる侵食に曝される表面積が減少することがわかる。図5A及び図5Bにおける表面モルホロジーは、(図5C及び図5Dにおける表面モルホロジーと比較して)還元プラズマによる侵食に対する高い脆弱性を示し、これは表面の高さ及び深さにおける縦方向の変化による二次元方向での曝露量の増加、またコーティング表面から上に延びる球状構造体による表面積の増大によるものである。
図6Aは、アルミニウム合金基体602の側部断面を示す比較顕微鏡写真600であり、アルミニウム合金基体602の表面604上に酸化イットリウムコーティング606が堆積されている。この比較顕微鏡写真は、厚さ約200μmを有する酸化イットリウムコーティングを形成するために本発明以前のプラズマ溶射技術を利用して形成したこの構造の特徴を示している。この顕微鏡写真の倍率は200倍である。試料のアルミニウム合金基体602は、顕微鏡写真600の底部に映っている。アルミニウム合金の表面604の粗さが、はっきりと映っている。溶射被覆された酸化イットリウム606の全体的な多孔性も、慣用の平均有効粒径25μmの酸化イットリウム粉末をプラズマ溶射コータに供給する従来のプラズマ溶射技術を利用して形成したコーティングの表面608の粗さと同様に明らかである。
図6Bは、より小さい有効粒径の酸化イットリウムをプラズマ噴霧器に供給した場合に得られる、プラズマ溶射被覆された酸化イットリウムコーティングにおける改善を示す顕微鏡写真610である。図6Bはアルミニウム合金基体612の側部断面図であり、アルミニウム合金基体の表面614上には酸化イットリウムコーティング616が堆積されている。ここでもまた、倍率は200倍である。試料のアルミニウム合金基体612は、顕微鏡写真610の底部に映っている。アルミニウム合金の表面614の粗さがはっきりと映っており、図6Aのものと同様である。図6Aに映っている従来法を利用して形成したコーティングのものより、溶射被覆された酸化イットリウム616の全体的な多孔性はずっと低い。本発明の実施形態を利用して形成されたコーティングの表面618粗さのほうが、従来のプラズマ溶射技術を利用して形成したものよりずっと滑らかである。顕微鏡写真600及び610は更に、上の表2のデータを裏付ける。
図7Aは、様々な酸化イットリウム含有基体のエロージョン速度を比較したブロック図700である。各酸化イットリウム含有基体のエロージョン速度を、ブロック図700の軸702上に、μm/時間で示す。ブロック704は、従来の溶射被覆法を利用して(アルミニウム合金基体上に)塗布したプラズマ溶射酸化イットリウムコーティングのエロージョン速度を示し、従来法では、平均有効粒径25μm以上を有する酸化イットリウム粒子原料をプラズマ噴霧器に使用した。ブロック706は、酸化イットリウムの(当該分野で従来から知られている類の)バルク試料基体706のエロージョン速度を示す。ブロック708は、(アルミニウム基体上の)プラズマ溶射された酸化イットリウムコーティングのエロージョン速度を示し、この酸化イットリウムコーティングは、より小さいサイズの粉末酸化イットリウム原料をプラズマ溶射装置に使用する本発明の実施形態を利用して塗布された。これらの試験用基体の各々は、同じ還元種含有プラズマに曝露された。図7Aのデータを得るのに使用したプラズマ処理の諸条件を、以下の表3に示す。処理中の平均温度は、処理工程に応じて約20℃〜90℃であった。プラズマへの曝露時間は87時間であった。予期せずして、より小さい粒径の粉末酸化イットリウム原料をプラズマ溶射装置に使用して形成した溶射被覆酸化イットリウム処理部品では、純粋な酸化イットリウム部品より微粒子の発生が少ないことが判明した。これは、純粋な酸化イットリウム部品では焼結添加剤の使用を必要としたからと考えられる。粒子間ガラス相を形成する焼結添加剤の使用が、微粒子発生の原因である。
Figure 0005674479
図7Bは、一連のバルク材料のエロージョン速度を比較したブロック図720であり、各材料は異なる化学組成を有する。これらの試料の各々は、表1に記載の諸条件に従って同じ還元種含有プラズマに、300mmイーマックスCT+処理チャンバ内で曝露された。YAGバルク材料の性能によって、エロージョン耐性を改善する方法としての還元プラズマにおけるY(OH)の生成の回避についての理論が裏付けされた。ブロック724はHF01基体を表し、ブロック726はNB04基体を表し、ブロック728はY−ZrO2基体を表し、ブロック730はNB01基体を表し、ブロック732はHPM基体を表し、ブロック734はYA3070基体を表し、ブロック736はY2O3基体を表し、ブロック738はYZ20基体を表し、ブロック740はYAG基体を表す。最も関心があるのはブロック736、738、740であるが、これはこれらのブロックが各々、Y、20原子%のZrOを含有するY−ZrO固溶体及びYAGについてのバルク基体を表すからである。これら3種類の材料は、本発明の一実施形態に従ったプラズマ溶射被覆で塗布した場合に、還元プラズマに特に耐性が高いことが判明している。
図8は、図7Bでエロージョン速度を示した様々なバルク材料の様々な出発粉末の化学組成を記載した表800である。
図9は相図900であり、出発粉末の化学組成及び生成された最終物質における相を示し、材料は表800に挙げられる。
酸化イットリウムコーティングの性能を改善しようと取り組む間に、酸化イットリウム表面の侵食のメカニズムが、水酸化イットリウムY(OH)の生成を通したものであるとの発見がなされた。水素及び酸素の反応性プラズマ種が存在する場合、Y(OH)化合物が生成される。水素、フッ素及び酸素の反応性プラズマ種が存在する場合、Y(OH)化合物が生成される。理論上は、様々な化合物の熱力学的データ(ギブス生成自由エネルギー)を見ることによって、Y(OH)化合物が生成される確率を求めることが可能である。実験では、高分解能XPSを使用して、Y(OH)の生成を検知した。一般にYAl12形態の(イットリウムアルミニウムガーネット)の使用及びY−ZrO固溶体の使用によってY(OH)の生成が回避されることを実験は示した。加えて、更なる研究によって、YFは熱力学的に安定し且つY(OH)の生成に耐性であることから、還元活性種を含有するプラズマ環境における保護コーティングとしての使用に望ましいことが示された。従って、YAG、Y−ZrO固溶体、YF又はこれらの組み合わせが、還元活性種を含有するプラズマ環境における保護コーティングとしての使用に最良の材料である。約0.5%以下の有利な多孔率及び降伏電圧(VBD)875以上を得るためには、プラズマ溶射YAG、Y−ZrO固溶体又はYFコーティングの堆積に使用する粉末の平均(等価直径)粒径が約22μm〜約5μmである。ここでもまた、等価直径粒径約0.1μmも、このサイズの粒子に対応できるよう溶射被覆装置を構成可能なら、使用できる。この小さいサイズの粉末の使用によってプラズマ溶射コーティングの多孔率は低下し、またプラズマ溶射Y含有コーティングにおけるサイズの小さい粉末の使用で観察されるものと同じやり方でより高密度な構造が得られる。
上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態も本開示に基づいてその基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。

Claims (10)

  1. 化学的に活性である還元プラズマによるコロージョン又はエロージョンに耐性のある半導体処理部品装置として使用される物品であって、金属又は合金の基体を備え、基体はその表面上に溶射被覆されたイットリウム含有セラミックコーティングを有し、前記セラミックコーティングは、22μm〜0.1μmの平均有効粒径を有する開始材料から形成され、前記粒子は、Y −ZrO 固溶体、Y −Al 固溶体、Y −Al −ZrO 固溶体、YAG、YF 及びこれらの組み合わせから成る群から選択される少なくとも1つの成分を含み、前記セラミックコーティングの多孔率が1.5%未満であり、前記セラミックコーティングの表面粗さが3μmRa未満であり、水素、酸素、又はフッ素を含む還元プラズマ内でのエロージョン速度が0.08μm/時間未満である物品。
  2. 前記多孔率が1.5%未満〜0.1%である請求項1記載の物品。
  3. 前記多孔率が1%〜0.1%である請求項2記載の物品。
  4. 前記表面粗さが1.5μmRa未満〜0.6μmRaである請求項3記載の物品。
  5. 前記溶射被覆されたイットリウム含有セラミックコーティング材料の降伏電圧が少なくとも650V/milより高い請求項1記載の物品。
  6. 前記降伏電圧が650V/milから900V/milである請求項5記載の物品。
  7. 前記溶射被覆されたイットリウム含有セラミック材料が5μm〜400μmの厚さを有する請求項1又は5記載の物品。
  8. 前記材料の厚さが25μm〜300μmである請求項7記載の物品。
  9. 前記溶射被覆されたイットリウム含有セラミック材料が、少なくとも8時間にわたってHClバブルテストを通過する請求項2記載の物品。
  10. 前記溶射被覆されたイットリウム含有セラミック材料が、少なくとも10時間にわたってHClバブルテストを通過する請求項3記載の物品。
JP2010547620A 2008-02-26 2009-02-13 還元プラズマに耐性のイットリウム含有セラミックコーティング Active JP5674479B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/072,530 US20090214825A1 (en) 2008-02-26 2008-02-26 Ceramic coating comprising yttrium which is resistant to a reducing plasma
US12/072,530 2008-02-26
PCT/US2009/000949 WO2009108275A2 (en) 2008-02-26 2009-02-13 Ceramic coating comprising yttrium which is resistant to a reducing plasma

Publications (3)

Publication Number Publication Date
JP2011514933A JP2011514933A (ja) 2011-05-12
JP2011514933A5 JP2011514933A5 (ja) 2013-01-17
JP5674479B2 true JP5674479B2 (ja) 2015-02-25

Family

ID=40998600

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010547620A Active JP5674479B2 (ja) 2008-02-26 2009-02-13 還元プラズマに耐性のイットリウム含有セラミックコーティング

Country Status (7)

Country Link
US (1) US20090214825A1 (ja)
JP (1) JP5674479B2 (ja)
KR (1) KR20100118994A (ja)
CN (1) CN102084020B (ja)
SG (2) SG187415A1 (ja)
TW (1) TWI455820B (ja)
WO (1) WO2009108275A2 (ja)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110135915A1 (en) * 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8854451B2 (en) 2011-10-19 2014-10-07 Lam Research Corporation Automated bubble detection apparatus and method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104080940B (zh) * 2012-02-03 2016-10-26 东华隆株式会社 白色氟化物喷涂覆膜的黑化方法以及在表面具有黑色层的氟化物喷涂覆膜覆盖部件
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
KR101932429B1 (ko) * 2012-05-04 2018-12-26 (주)코미코 내 플라즈마 코팅막, 이의 제조 방법 및 내 플라즈마성 부품
JP6235471B2 (ja) * 2012-06-20 2017-11-22 東京エレクトロン株式会社 シーズニング方法、プラズマ処理装置及び製造方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103021773B (zh) * 2012-12-31 2016-03-16 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) * 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102182690B1 (ko) * 2014-11-11 2020-11-25 (주) 코미코 플라즈마 처리 장치용 내부재 및 이의 제조 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
WO2017115662A1 (ja) * 2015-12-28 2017-07-06 日本イットリウム株式会社 成膜用材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9999907B2 (en) * 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6908973B2 (ja) 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6315151B1 (ja) * 2016-07-14 2018-04-25 信越化学工業株式会社 サスペンションプラズマ溶射用スラリー、及び希土類酸フッ化物溶射膜の形成方法
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11535550B2 (en) 2016-11-02 2022-12-27 Morgan Advanced Ceramics, Inc. Yttrium aluminum silicate glass ceramic coating for semiconductor chamber apparatus
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20170024592A (ko) * 2017-02-15 2017-03-07 주식회사 펨빅스 가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
CN116092909A (zh) * 2017-12-12 2023-05-09 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀反应器
KR102395660B1 (ko) * 2017-12-19 2022-05-10 (주)코미코 용사 재료 및 그 용사 재료로 제조된 용사 피막
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7169077B2 (ja) 2018-03-26 2022-11-10 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン及び遮熱コーティングの製造方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP6939853B2 (ja) * 2018-08-15 2021-09-22 信越化学工業株式会社 溶射皮膜、溶射皮膜の製造方法、及び溶射部材
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP6699701B2 (ja) * 2018-10-16 2020-05-27 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、該溶射皮膜を形成するための溶射材料、該溶射皮膜の形成方法、及び該溶射皮膜を含む耐食性皮膜
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11613624B2 (en) * 2019-11-07 2023-03-28 The Boeing Company Ceramic coated iron particles and methods for making ceramic coated particles
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR102290498B1 (ko) 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102496A (en) * 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5413877A (en) * 1992-09-22 1995-05-09 Moller International, Inc. Combination thermal barrier and wear coating for internal combustion engines
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US6143432A (en) * 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
ES2176806T3 (es) * 1996-11-13 2002-12-01 Doerken Ewald Ag Procedimiento para aplicar un recubrimiento inorganico sobre un cuerpoelectrico conductor.
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW488010B (en) * 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
TWI262905B (en) * 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP2004332081A (ja) * 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
AU2003266517A1 (en) * 2003-09-16 2005-04-11 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP4051351B2 (ja) * 2004-03-12 2008-02-20 トーカロ株式会社 熱放射性および耐損傷性に優れるy2o3溶射皮膜被覆部材およびその製造方法
JP5137304B2 (ja) * 2004-10-18 2013-02-06 株式会社日本セラテック 耐食性部材およびその製造方法
EP2071049A1 (en) * 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
KR20070087219A (ko) * 2007-07-25 2007-08-27 도카로 가부시키가이샤 산화이트륨 용사 피막 피복 부재 및 그 제조 방법

Also Published As

Publication number Publication date
KR20100118994A (ko) 2010-11-08
JP2011514933A (ja) 2011-05-12
WO2009108275A3 (en) 2009-11-05
CN102084020A (zh) 2011-06-01
US20090214825A1 (en) 2009-08-27
CN102084020B (zh) 2014-07-09
SG187415A1 (en) 2013-02-28
WO2009108275A9 (en) 2011-02-03
WO2009108275A2 (en) 2009-09-03
TWI455820B (zh) 2014-10-11
TW200946331A (en) 2009-11-16
SG10201710059XA (en) 2018-01-30

Similar Documents

Publication Publication Date Title
JP5674479B2 (ja) 還元プラズマに耐性のイットリウム含有セラミックコーティング
TWI724150B (zh) 經氟化釔噴塗的塗層、用於彼之噴塗材料、及含該噴塗塗層的耐腐蝕性塗層
JP5978236B2 (ja) イットリウム含有保護皮膜による半導体処理装置の被覆方法
JP4643478B2 (ja) 半導体加工装置用セラミック被覆部材の製造方法
TWI654158B (zh) 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
JP5324029B2 (ja) 半導体加工装置用セラミック被覆部材
JP2009081223A (ja) 静電チャック部材
JP2013063904A (ja) 制御された電気抵抗率を備えた耐プラズマ性セラミック
JP2005240171A (ja) 耐食性部材およびその製造方法
JP5047741B2 (ja) 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) 耐プラズマ性セラミックス溶射膜
KR20220116489A (ko) 불화이트륨계 용사 피막, 용사 부재, 및 불화이트륨계 용사 피막의 제조 방법
WO2015080134A1 (ja) プラズマ装置用部品およびその製造方法
JP2007321183A (ja) 耐プラズマ部材
TWI791410B (zh) 抗電漿塗布膜、其製造方法及由其製造的抗電漿構件
JP2013076142A (ja) 耐食性部材及びその製造方法
JP2017014569A (ja) セラミックス被膜及びその製造方法。
JP2009234877A (ja) プラズマ処理装置用部材
JP2012129549A (ja) 静電チャック部材
JP2012036053A (ja) 耐食性部材

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121113

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131229

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140329

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141125

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141222

R150 Certificate of patent or registration of utility model

Ref document number: 5674479

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250