JP2013063904A - 制御された電気抵抗率を備えた耐プラズマ性セラミック - Google Patents

制御された電気抵抗率を備えた耐プラズマ性セラミック Download PDF

Info

Publication number
JP2013063904A
JP2013063904A JP2012250961A JP2012250961A JP2013063904A JP 2013063904 A JP2013063904 A JP 2013063904A JP 2012250961 A JP2012250961 A JP 2012250961A JP 2012250961 A JP2012250961 A JP 2012250961A JP 2013063904 A JP2013063904 A JP 2013063904A
Authority
JP
Japan
Prior art keywords
oxide
mol
plasma
ceramic
ceramic material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012250961A
Other languages
English (en)
Inventor
Jennifer Y Sun
ワイ サン ジェニファー
Kenneth S Collins
エス コリンズ ケニス
Ren-Guan Duan
デュアン レングアン
Senh Thach
サッチ センハ
Thomas Graves
グレーブス トーマス
Xiaoming He
ヒー シャオミング
Jie Yuan
ユアン ジエ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013063904A publication Critical patent/JP2013063904A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/495Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on vanadium, niobium, tantalum, molybdenum or tungsten oxides or solid solutions thereof with other oxides, e.g. vanadates, niobates, tantalates, molybdates or tungstates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • C04B2235/3222Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • C04B2235/3255Niobates or tantalates, e.g. silver niobate
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/40Metallic constituents or additives not added as binding phase
    • C04B2235/404Refractory metals
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/76Crystal structural characteristics, e.g. symmetry
    • C04B2235/762Cubic symmetry, e.g. beta-SiC
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • C04B2235/81Materials characterised by the absence of phases other than the main phase, i.e. single phase materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31Surface property or characteristic of web, sheet or block

Abstract

【課題】腐食性/浸食性プラズマを用いる半導体処理条件で耐食性/耐浸食性のある特殊なセラミック材料を提供する。
【解決手段】酸化イットリウムが約55〜80モル%、酸化ジルコニウムが約5〜25モル%、酸化ハフニウム、酸化ニオブ、又はこれらの組み合わせが約5〜25モル%を含む固溶体を含む表面を有するセラミック含有物品である。特殊なセラミック材料は修正されて、制御された電気抵抗率を与えて、プラズマアーク放電の可能性を低減する。
【選択図】図1

Description

関連出願
本出願は、本出願の共通の発明者の要件を有する一連の出願に関連している。下に挙げた出願は全て、酸化イットリウム含有セラミックを用いて、半導体処理装置に有用な耐プラズマ性表面を提供することに関連している。関連出願としては、2007年4月27日出願で現在放棄されたサンら(Sun et al.)による米国特許出願第11/796,210号、「ハロゲン含有プラズマに露出される半導体処理装置の浸食速度を減じる方法(Method of Reducing The Erosion Rate Of Semiconductor Processing Apparatus Exposed To Halogen−Containing Plasmas)」、2007年4月27日出願で2010年4月13日に米国特許第7,696,117号として発行されたサンら(Sun et al.)による米国特許出願第11/796,211号、「ハロゲン含有プラズマに露出される半導体処理装置の浸食速度を減じる方法及び装置(Method And Apparatus Which Rece The Erosion Rate Of Semiconductor Processing Apparatus Exposed To Halogen−Containing Plasmas)」、2004年7月22日出願で現在係属中のサンら(Sun et al.)による米国特許出願第10/898,113号、「半導体装置を保護するクリーンな緻密酸化イットリウム皮膜(Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus)」、2004年8月13日出願で2009年1月20日に米国特許第7,479,304号として発行されたサンら(Sun et al.)による米国特許出願第10/918,232号、「固体酸化イットリウム含有基板から製造されたガス分配板(Gas Distribution Plate Fabricated From A Solid Yttrium Oxide−Comprising Substrate)」及び2002年2月14日出願で、2004年8月17日米国特許第6,776,873号として発行された、サンら(Sun et al.)による米国特許出願第10/075、967号、「半導体IC処理真空チャンバ用の酸化イットリウムベースの表面皮膜(Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers)」が挙げられる。上に挙げた出願の分割及び継続出願である、出願済みの更なる関連出願としては、現在放棄された、米国特許出願第10/898,113号の分割出願であるワンら(Wang et al.)による米国特許出願第11/595,484号、「III族金属を含む酸化物又はフッ化物の表面から汚染物質を除去するのに用いるクリーニング方法(Cleaning Method Used In Removing Contaminants From The Surface Of An Oxide or Fluoride Comprising a Group III Metal)」及び現在係属中で、米国特許出願第10/918,232号の分割出願であるワンら(Wang et al.)による米国特許出願第11/592,905号、「固体酸化イットリウム含有基板から汚染物質を除去するのに用いるクリーニング方法(Cleaning Method Used In Removing Contaminants From A Solid Yttrium Oxide−Containing Substrate)が挙げられる。これらの特許及び出願の主題は全て引用により本明細書に一体化される。
背景
(分野)
本発明の実施形態は、半導体処理装置に存在する種類のプラズマに極めて抵抗性のある固溶体セラミックを主に含む特定の酸化イットリウム含有セラミックに関する。
(背景技術)
この節には、本発明の開示された実施形態に関連する背景の主題を記載する。この節に明白か暗黙かのいずれかにより述べられた背景技術が、法的な先行技術を構成することは意図されていない。
耐食性(耐浸食性を含む)は、腐食環境が存在する半導体処理チャンバに用いられる装置コンポーネント及びライナにとって重要な特性である。腐食性プラズマは、プラズマエンハンスド化学蒸着(PECVD)及び物理蒸着(PVD)をはじめとする半導体処理環境の大半に存在しているが、最も腐食性のプラズマ環境は、処理装置のクリーニングに用いられるもの、及び半導体基板のエッチングに用いられるものである。これは、高エネルギープラズマが存在し、その環境に存在するコンポーネントの表面で作用する化学反応性と組み合わさる場合に特に当てはまる。プラズマがなくても、腐食性のガスが処理装置表面と接触する時、装置コンポーネント表面又は処理チャンバライナ表面の減少した化学反応性は、重要な特性である。
電子装置及びマイクロ−エレクトロ−メカニカルシステム(MEMS)を製造するのに用いられる処理チャンバ内に存在するプロセスチャンバライナ及びコンポーネント装置は、アルミニウム及びアルミニウム合金から構築されることが多い。プロセスチャンバ及びコンポーネント装置(チャンバ内に存在する)の表面は、陽極酸化されて、腐食性環境からのある程度の保護を与えられることが多い。しかしながら、陽極酸化の完全性は、アルミニウム又はアルミニウム合金内の不純物により劣化して、腐食が早期に生じ始め、保護皮膜の寿命が短くなる。酸化アルミニウムの耐プラズマ性は、他のセラミック材料と比較すると、好ましいものではない。その結果、様々な組成のセラミック皮膜が、上述した酸化アルミニウムの代わりに用いられてきた。場合によっては、陽極酸化層の表面全体に用いられて、下にあるアルミニウムベースの材料の保護が改善されてきた。
酸化イットリウムは、半導体装置の製造に用いられる種類のハロゲン含有プラズマに露出されるアルミニウム及びアルミニウム合金の保護においてかなり有望なことが示されているセラミック材料である。酸化イットリウム皮膜は、高純度アルミニウム合金プロセスチャンバ表面の陽極酸化表面又はプロセスコンポーネント表面に用いられ、適用されて、良好な腐食保護を行ってきた(例えば、上述した米国特許第6,777,873号(サンら(Sun et al.))。保護皮膜は、一例として、溶射皮膜形成、物理蒸着(PVD)、化学蒸着(CVD)等の方法を用いて適用される。
Al又はAlとYのフィルムは、処理チャンバの内壁、及び高耐食性及び絶縁特性を必要とするチャンバ内の部材の露出表面に形成されてきた。一例の用途において、チャンバの母材は、セラミック材料(Al、SiO、AIN等)、アルミニウム、ステンレス鋼、或いは他の金属又は金属合金であり、母材の上に溶射膜がある。膜は、Y等の周期表のIII−B族元素の化合物で作製されている。膜は、AlO3とYを実質的に含む。イットリウム−アルミニウム−ガーネット(YAG)の溶射膜についても既に述べられている。溶射膜の厚さは、例えば、50μm〜300μmである。
概要
ハロゲン含有プラズマを用いる半導体処理条件下で耐食性のある特殊な焼結セラミック材料が開発されている。この特殊な材料は修正されて、半導体処理装置に以前用いられていた焼結セラミック材料に比べて、改善された耐プラズマ性及び適合した機械的特性を有している。焼結セラミック材料の電気的特性を調節して、材料の電気抵抗率特性(プラズマ処理チャンバに影響する)が、重要なチャンバコンポーネントの要件に適合するようにする。電気抵抗率特性要件には、これまでは、低い耐プラズマ性を示す材料のみが適合していた。本発明の特殊な材料(耐プラズマ性、機械的特性及び電気抵抗率特性の様々な組み合わせを提供する)は、以前から用いられている半導体処理装置のものと十分に同じである。同様の電気的特性の1つの利点は、半導体装置製造に現在用いられているプロセス手法又は一般処理条件を変える必要がないことである。
半導体処理チャンバコンポーネントを製造するのに用いる特殊な焼結セラミック材料は、例えば、溶射/フレーム溶射又はプラズマ溶射、物理蒸着(特殊な焼結セラミック材料から構成されたターゲットからのスパッタリング等)又は化学蒸着を用いて、下にある材料に適用してもよい。変形として、焼結セラミック材料を用いて、例えば、これが、皮膜を用いるのに好ましい時は、鋳造プロセスを用いて固体成分を製造してもよい。
当該焼結セラミック材料は、酸化イットリウムベースの固溶体を含む。一実施形態においては、焼結酸化イットリウム含有材料の電気抵抗率を変える。一例の実施形態の技術においては、他の酸化物を酸化イットリウムに添加し、混合物を焼結する。他の酸化物の正
イオンは、Y3+イオンとは異なる価数を有していて、Y価を形成し、電気抵抗率の減少を導く。かかる他の酸化物としては、CeO、TiO、ZrO、HfO、Nbが例示されるが、これらに限られるものではない。変形例の実施形態技術においては、他の酸化物を、酸化イットリウムに添加し、混合物を焼結する。他の酸化物の正イオンは、Y3+イオンと同じ価数を示すが、Y3+イオンとは大きく異なるイオン半径を有し
ている。前駆体混合物は還元雰囲気中で焼結される。その結果、O価数となって、同じく、電気抵抗率を減少する。Y3+イオンと同じ価数を示すが、大きく異なるイオン半径を有する酸化物としては、Nd、Sm、Sc、Yb、Er、Ho、Dyが例示されるが、これらに限られるものではない。
イットリウム含有焼結セラミックについて典型的なものよりも、低い抵抗率を必要とする半導体処理チャンバにおける主要コンポーネントの1つは、静電チャックである。静電チャック設計者は、半導体処理条件下で、静電チャックの誘電性表面の抵抗率が、約10〜1011Ω・cmの範囲内にあって、静電チャックでのプラズマアーク放電の可能性を減じることを推奨している。この抵抗率範囲は、約10−9〜10−7S/mの範囲内の導電率に相当する。これは、例えば、導電率10−13S/mを示すバルクSiよりかなり低い抵抗率である。プラズマアーク放電が問題となる、リフトピン等の他の耐食性表面について、静電チャックに必要とされる範囲の抵抗率が有用である。プロセスチ
ャンバライナ等の耐食性表面については、抵抗率はこれより高く、約1014Ω・cmと高い、又はこれを超えるものであっても許容される。
少なくとも1種類の固溶体は、電気的に修正された耐食性材料として有用な焼結セラミック材料の大部分のモル%を形成する。固溶体を形成するのに用いる酸化物が2種類ある時は、これらの酸化物は、一般的に、他の酸化物と組み合わせた酸化イットリウムを含み、一般的に、酸化ジルコニウム、酸化セリウム、酸化ハフニウム、酸化ニオブ及びこれらの組み合わせからなる群より選択される。酸化スカンジウム、酸化ネオジム、酸化サマリウム、酸化イッテルビウム、酸化エルビウム及び酸化セリウム(又は他のランタノイド系列元素酸化物)等の他の酸化物の使用が、場合によっては許容されるものと考えられる。
1種類以上の固溶体を形成するのに用いる3種類以上の酸化物がある時は、これらの酸化物は、一般的に、酸化イットリウムと、酸化ジルコニウムと、酸化ハフニウム、酸化スカンジウム、酸化ネオジム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせからなる群より一般的に選択される少なくとも1種類の他の酸化物とを含む。他のランタノイド系列元素の使用も、特定の場合に置いては可能である。焼結セラミックは、一般的に、2相又は3相の固溶体多相を含む。少なくとも1つの固溶体相に加えて、化合物又は元素金属である他の相が焼結セラミック内にあってもよい。
一例としてであり、限定されるものではないが、2種類の前駆体酸化物を利用する焼結セラミックに関して、実験によって、酸化イットリウムが約40モル%から100モル%未満の範囲にわたって存在し、酸化ジルコニウムが0モル%から約60モル%の範囲にわたって存在する固溶体を含む焼結セラミックが、室温で約10〜約1015Ω・cmの範囲の抵抗率を有する焼結酸化物を生成することが確認された。同じ範囲にわたる抵抗率は、酸化イットリウムが0モル%を超え、100モル%未満の範囲にわたって存在し、酸化セリウムが0モル%を超え、10モル%未満までの範囲にわたって存在する前駆体酸化物の組み合わせから得られるものと考えられる。約10〜約1011Ω・cmの範囲にわたる抵抗率も、酸化イットリウムが0モル%を超え、100モル%未満の範囲にわたって存在し、酸化ハフニウムが0モル%を超え、100モル%未満までの範囲にわたって存在する前駆体酸化物の組み合わせから得られるものと考えられる。約10〜約10 11 Ω・cmの範囲にわたる抵抗率を示す焼結セラミックも、酸化イットリウムが48モル%から100モル%未満の範囲にわたって存在し、酸化ニオブが0モル%を超え、約52モル%までの範囲にわたって存在する前駆体酸化物の組み合わせから得られるものと考えられる。
一例としてであり、限定されるものではないが、2種類以上の前駆体酸化物を利用する焼結セラミックに関して、一実施形態において、焼結セラミックが固溶体を含む時、焼結セラミックは、約10〜約1015Ω・cmの範囲の抵抗率を示す。この焼結セラミック材料は、酸化イットリウムが約40モル%から100モル%未満の範囲で存在し、酸化ジルコニウムが0モル%を超え、約50モル%の範囲で存在し、酸化スカンジウムが、約0モル%を超え、100モル%未満までの範囲で存在する酸化物から形成されている。
他の実施形態において、焼結セラミックが固溶体を含む時、焼結セラミックは、約10〜約1015Ω・cmの範囲の電気抵抗率を示す。この焼結セラミック材料は、酸化イットリウムが約40モル%から10モル%未満の範囲で存在し、酸化ジルコニウムが0モル%を超え、約50モル%の範囲で存在し、酸化ハフニウムが、約0モル%を超え、100モル%未満までの範囲で存在する酸化物から製造されている。
更に他の実施形態において、焼結セラミックが固溶体を含む時、焼結セラミックは、約10〜約1015Ω・cmの範囲の抵抗率を示す。この焼結セラミック材料は、酸化イットリウムが約40モル%から100モル%未満の範囲で存在し、酸化ジルコニウムが0モル%から約45モル%の範囲で存在し、酸化ニオブが、約0モル%を超え、約80モル%までの範囲で存在する酸化物から製造されている。
一実施形態において、焼結セラミック材料は、3相を含有している。すなわち、焼結セラミック材料の約60モル%から約90モル%を構成するY−ZrO−Nbを含む固溶体の第1の相と、焼結セラミック材料の約5モル%から約30モル%を構成するYNbOの固溶体の第2の相と、焼結セラミック材料の約1モル%から約10モル%を構成する元素の形態にあるNbの第3の相である。
3相を含有する焼結セラミック材料の他の実施形態において、酸化イットリウムは、約60モル%から約75モル%の範囲で存在しており、酸化ジルコニウムは、約15モル%から約25モル%の範囲で存在しており、酸化ニオブは、約5モル%から約15モル%の範囲で存在している。
上述した種類のY−ZrO−M材料から形成された焼結セラミック試験試料において、Mがスカンジウム、ハフニウム、ニオブ又はネオジムである実施形態において、CF/CHFプラズマに76時間露出した後の浸食速度は、0.16μm/時以下であることが示された。Mが、セリウム、サマリウム、エルビウム又は他のランタノイド系列の元素の時、同様の浸食速度が予測される。プラズマは、アプライドマテリアルズ社(Applied Materials,Inc.)より入手可能なイネーブラフォアトレンチエッチ(Enabler for Trench Etch)プラズマ処理チャンバで形成された。プラズマ電源は2000Wまでであり、プロセスチャンバ圧は10〜500ミリトルであり、基板温度は40℃であった。0.16μm/時以下のこの浸食速度は、純粋なYの浸食速度に相当する。このように、焼結セラミックの浸食速度は、低抵抗率焼結セラミックを提供するために焼結セラミックを修正することに影響されていない。
上述したセラミック材料は、業界に公知の焼結技術を用いて、酸化物から形成された焼結セラミックであったが、他の実施形態において、上述した出発材料組成を用いて、塗膜技術により、これらに限られるものではないが、アルミニウム、アルミニウム合金、ステンレス鋼、アルミナ、窒化アルミニウム及び石英をはじめとする様々な金属及びセラミック基板の表面に、セラミック皮膜を形成してもよい。かかる塗膜技術の一例としては、プラズマ溶射、溶射/フレーム溶射、酸化物を焼結することにより形成されるスパッタリングターゲットからの物理蒸着、化学蒸着が挙げられるが、これらに限られるものではない。
上記の実施形態の理解を助けるために、上述した特定の実施形態を添付図面を参照してより詳細に説明する。しかしながら、添付図面は本発明の代表的な実施形態を例示するだけであり、本明細書に記載された本発明の範囲を限定するとは解釈されないことに留意すべきである。本発明は他の同様に有効な実施形態も含む。
大気環境で印加電圧が1000Vであった場合の、様々な材料について、温度の関数としての電気抵抗率を示すグラフ100である。 −ZrO−Alの相平衡状態図である。この相平衡状態図は、いくつかある組成の中で特に、参照の目的で、本明細書で、相平衡状態図の領域「A」として特定される特殊な材料の組成を示している。タイプ「A」のセラミック材料は、ハロゲンプラズマによる浸食に対して良好な抵抗を示すセラミック組成物である。 −ZrO−Nbの相平衡状態図である。この相平衡状態図は、いくつかある組成の中で特に、参照の目的で、本明細書で、相平衡状態図の領域「B」として特定される特殊な材料の組成を示している。タイプ「B」のセラミック材料は、ハロゲンプラズマによる浸食に対して抵抗を示すばかりでなく、例えば、タイプ「A」のセラミック材料よりも低い制御された電気抵抗率も示すセラミック組成物である。 測定を、室温(約27℃)で大気環境にて行った場合の、様々な材料について、印加された電圧の関数としての電気抵抗率を示すグラフ400である。 CF及びCHFソースガスから生成されたプラズマに露出された様々な焼結セラミック材料について、純粋な酸化イットリウムの浸食速度に対して正規化された、平均の例示の浸食速度を示す棒グラフ500である。
実施形態の詳細な説明
発明を実施するための最良の形態の前置きとして、本明細書及び添付の特許請求の範囲で用いている単数形は、特に断りのない限り、複数も含むものとする。
「約」という語を本明細書で用いる時、示された公称値が、正確に±10%以内であることを意味するものとする。
本明細書に記載されているのは、ハロゲン含有プラズマを用いる半導体装置処理条件下で腐食に耐えるために開発された特殊なセラミック材料である。ある実施形態において、プラズマ耐食性を与えるために以前開発された同様のセラミック材料と比べると、この特殊な材料は変性されて、電気抵抗率を減じている。減じた電気抵抗率は、半導体処理チャンバ内の様々なコンポーネントでプラズマアーク放電が生じる可能性を減じるのに役立つ。限定されるものではないが、例えば、プラズマアーク放電がより問題となる静電チャックや基板リフトピンの表面が最も顕著である。以前は、コンポーネント又は少なくともコンポーネントの表面は、ドープされて電気的特性を与える窒化アルミニウム又は酸化アルミニウムから製造された。この材料は、所望の電気的特性を与えるが、腐食/浸食速度が比較的早く、特定のコンポーネントの有用な耐用年数が限定され、コンポーネント部品の修理や交換のために、よりダウンタイムが必要となる。
更に、プラズマ処理半導体装置内のプロセスチャンバライナ及び機能コンポーネントとして用いる様々な材料の電気的特性が、プラズマの挙動に影響する。プラズマの挙動における変化が、プラズマ処理特性に影響し、この影響が大きくなると、他のプロセス変数を変更して、プラズマ挙動における変化に適合させる必要がある。装置製造についての処理変数を作り直すよりも、許容できる電気的特性を有する耐浸食性セラミック材料を開発する方が実際的である。許容できるプラズマ腐食/浸食特性を示すセラミック材料の一部のみを修正して、プラズマと接触するコンポーネントに有用な所望の範囲内の電気抵抗率特性を制御することができる。当業者が本発明の説明を読めば、酸化物の組み合わせを選択して、セラミック材料を形成する時、比較的一定の成功が得られる。
便宜上、焼結セラミックを使用することにより、所望の電気的特性を有する許容できるハロゲンプラズマ耐食性/耐侵食性セラミック材料の開発を実施した。焼結セラミックは業界に周知の技術により製造した。他の実施形態において、同じ一般組成の許容できるハロゲンプラズマ耐食性/耐侵食性セラミック材料を、例えば、溶射/フレーム溶射又はプラズマ溶射を用いて、アルミニウム又はアルミニウム合金等の下にある材料の上に皮膜として適用してよい。変形において、焼結セラミック材料を用いてターゲットを製造し、これを用いて、下にある材料の上に、物理蒸着により、セラミック材料を適用してもよい。保護セラミック材料を適用すべき装置が、プロセスチャンバライナ等、大きい時はとりわけである。
前述した通り、当該の焼結セラミック材料は、酸化イットリウムを含む。焼結イットリウム含有セラミック材料の抵抗率は変えてもよい。一例の技術では、少なくとも1種類の他の酸化物を酸化イットリウムに添加して、混合物を焼結する。少なくとも1種類の他の酸化物の正イオンは、Y3+イオンとは異なる価数を有していて、Y価を形成し、これは電気抵抗率の減少を導く。かかる酸化物としては、CeO、TiO、ZrO、HfO及びNbが例示されるが、これらに限られるものではない。他の例示の技術では、少なくとも1種類の他の酸化物を酸化イットリウムに添加し、混合物を還元雰囲気中で焼結する。しかしながら、少なくとも1種類の他の酸化物の正イオンは、Y3+イオンと同じ価数を示す。ただし、Y3+イオンとは大きく異なるイオン半径を有している。この結果、Oの価数となり、同じく電気抵抗率が減少する。Y3+イオンと同じ価数を示すが、大きく異なるイオン半径を有する酸化物としては、Nd、Sm、Sc、Yb、Er、Ho及びDyが例示されるが、これらに限られるものではない。
数多くの例示の焼結セラミック材料がこれまで調査されてきた。下記の表に、作製され評価された焼結セラミック材料の一部の例を示す。これらの材料の評価は後述する。
(実施例)
Figure 2013063904
(実施例1)
図1に、本発明の例示の実施形態に従って作製したタイプA及びタイプBの材料をはじめとする様々なセラミック材料の電気抵抗率を例証するグラフを示す。抵抗率は軸104に、軸102に示す温度の関数として示されている。抵抗率は、ASTM D1829−66又はJIS C2141に従った標準試験条件を用いて、大気環境中1000Vで測定された。
図1に示す曲線106は、表にサンプル番号4と記載されたNb含有焼結セラミック材料を表している。Nb含有焼結セラミック材料に関して、許容できる電気抵抗率値は、図3に示す相平衡状態図に例示される更なる組成でも得られることが予測される。焼結セラミック材料は3相を含有しており、第1の相固溶体はY−ZrO−Nbを含み、焼結セラミック材料の約60モル%〜約90モル%を構成し、YNbOの第2の相は、焼結セラミック材料の約5モル%〜約30モル%を構成し、元素の形態にあるNbの第3の相は、焼結セラミック材料の約1モル%〜約10モル%を構成している。この材料は、アーク放電を防ぐために抵抗率を低くする必要がある時に特に有用である。抵抗率は、室温で約1011Ω・cm未満、200℃で約10Ω・cm未満であり、典型的な半導体処理条件で10Ω・cmの範囲の抵抗率を示す。
図1に示すNb含有焼結セラミック材料の一実施形態は、Nb−ZrO−Yと呼ばれる。図3を参照すると、相平衡状態図の1つの領域は「B」とされている。この記号は、焼結セラミック材料の固溶体組成が、約55モル%〜約80モル%の範囲の濃度でY、約5モル%〜約25モル%の範囲の濃度でZrO、及び約5モル%〜約25モル%の範囲の濃度でNb、HfO、Hd又はSc等の添加剤を含むことを示している。
(実施例2)
図1に示す曲線108は、同じく表にサンプル番号1と記載された、本発明に従って作製された、HfO含有焼結セラミック材料を表している。このセラミック材料は、Nb含有セラミック材料よりも高い電気抵抗率を示すが、静電チャックや基板リフトピンに関して、アーク放電があまり重要でない場合に、半導体処理装置コンポーネントを製造するのに有用である。
(実施例3)
図1に示す曲線110は、同じく表にサンプル2と記載された、本発明に従って作製された、Sc含有焼結セラミック材料を表している。この材料も、抵抗率要件が1011Ω・cmである用途に用いてもよい。
(実施例4、比較例)
図1に示す曲線112は、図2の相平衡状態図に示されるY−ZrO−Al材料を表している。この材料は、制御された抵抗率セラミック材料に関して、比較例の目的でのみ記載されている。この焼結セラミック材料はY及びZrOから形成された固溶体と、Y及びAl酸化物から形成された化合物とを含む。代表的な焼結セラミック材料は、約60モル%〜約65モル%の範囲の濃度のY、約20モル%〜約25モル%の範囲の濃度のZrO、約10モル%〜約15モル%の範囲の濃度のAlから形成されている。図2の相平衡状態図の領域「A」により示されていて、図1に示すY−ZrO−Arについてのグラフにより表されている焼結セラミック材料の一実施形態は、c−Yが溶媒で、Zrが溶質の、立方イットリアタイプの結晶構造を有する約60モル%の固溶体、ZrOが溶媒で、Yが溶質の、ホタル石タイプの結晶構造を有する約2モル%の固溶体、及び約38モル%のYAM(YAl)化合物を含有する。
(実施例5、比較例)
図1に示す曲線114は、表にサンプル番号3と記載された、Nd含有焼結セラミック材料を表している。この材料は、アーク放電を防ぐのに必要な要件に適合しておらず、本発明を形成する独特なセラミック材料の一部ではない比較例と考えられる。
(実施例6、比較例)
図1の曲線116は、純粋なYの焼結セラミックについて観察された電気抵抗率特性を表す。この材料も比較例であり、基準として有用である。数多くの半導体装置コンポーネントが、純粋なYから製造されているからである。純粋なYの抵抗率の比較によって、本発明により得られる電気抵抗率に関して、非常に大きな改善が示される。
同じく図1に示されている曲線120は、静電チャックを製造するのに一般的に用いられる種類のドープ窒化アルミニウムを表しており、曲線122は、同じく静電チャック及び低電気抵抗率を必要とする他の半導体処理装置を製造するのに用いられる第2のドープ窒化アルミニウムを表している。
(実施例7)
図4は、数多くの焼結セラミック試験試料について、抵抗率試験中に印加された電圧の関数としての電気抵抗率を示すグラフ400である。抵抗率は、軸404に、電圧は軸402に示されている。試験温度は室温(約27℃)である。このグラフの目的は、制御により抵抗率を下げた本発明の耐食性セラミックの実施形態と現在用いられているドープ窒化アルミニウムセラミック間の抵抗率における差を示すことである。ドープ窒化アルミニウムセラミックは、やや低い抵抗率を有しているが、これらの腐食速度は、修正されて抵抗率を減じた酸化イットリウム含有セラミックより少なくとも2倍速い。
特に、図4の曲線422は、静電チャックを製造するのに現在用いられている種類のドープ窒化アルミニウムセラミックを表す。曲線420は、静電チャック及び他の低抵抗率コンポーネントを製造するのに用いる他のドープ窒化アルミニウムセラミックを表している。
図4に示す曲線406は、表にサンプル番号4と記載されたNb含有焼結セラミック材料を表している。修正されて抵抗率を減じたこの酸化イットリウム含有材料は、AIN−1と示されたドープ窒化アルミニウムと非常に近い抵抗率を示す。それでも、図5の棒グラフ500に示される通り、ドープ窒化アルミニウムの腐食速度は、曲線406に示される酸化イットリウム含有材料の腐食速度より10倍早い。
図4に示す曲線408は、表にサンプル番号1と記載されたHfO含有焼結セラミック材料を表している。このセラミック材料は、Nb含有材料よりも高い抵抗率を示し、プラズマアーク放電が生じやすいコンポーネントについて推奨範囲外の抵抗率を室温で示す。しかしながら、ある半導体処理中に存在する温度である200℃では、抵抗率は、図1の曲線108により示される許容範囲内に入る。
図4に示す曲線410は、表にサンプル2と記載されたSc含有焼結セラミック材料を表している。この材料も、処理温度が200℃の時、抵抗率要件が1011Ω・cmである用途に用いてもよい。
比較の目的で(イットリア含有固溶体を含有する制御された電気抵抗率セラミックに関して)、図4の曲線412は、図2に示すY、ZrO及びAlを含むセラミックタイプ「A」を示す。図1に示すタイプ「A」の材料の一実施形態は、c−Yが溶媒で、Zrが溶質の、約60モル%の立方イットリアタイプ構造、ZrOが溶媒で、Yが溶質の、約2モル%のホタル石タイプの構造の固溶体、及び約38モル%のYAM(YAl)化合物を含有する。タイプAのHPM材料は、許容できる耐食性及び推奨できる機械的特性を示すが、電気抵抗率は、所望の最大範囲1011Ω・cmよりかなり高い。これは、図1の曲線112に示される通り、200℃でも当てはまる。この材料は、電気抵抗率を修正した耐食性セラミックの実施形態には含まれない。
比較の目的で、図4の曲線414は、表にサンプル番号3と記載されたNd含有焼結セラミック材料を示す。この材料も、アーク放電を防ぐのに必要な要件に適合しておらず、本発明を形成する独特なセラミック材料の一部ではない比較例と考えられる。
比較の目的で、図4の曲線416は、純粋なYの焼結セラミックについて観察された電気抵抗率特性を示す。この材料もまた比較例であり、基準として有用である。数多くの半導体装置コンポーネントが、純粋なYから製造されているからである。純粋なYの抵抗率の比較によって、本発明により得られる電気抵抗率に関して、非常に大きな改善が示される。
(実施例8)
図5に、プラズマに露出された様々な焼結セラミック材料についての純粋なY2O3に対して正規化された例示の浸食速度を示す棒グラフ500を示す。プラズマは、CF及びCHFソースガスから生成した。プラズマ処理チャンバは、アプライドマテリアルズ社(Applied Materials,Inc.)より入手可能なイネーブラフォアトレンチエッチ(Enabler for Trench Etch)であった。76時間にわたって、プラズマ電源は2000Wまでであり、プロセスチャンバ圧は10〜500ミリトルであり、基板温度は約40℃であった。軸502は、耐侵食性について試験し
た様々な材料を示す。Y2O3−10ZrO2という記載により示される試験試料は、10重量部のZrO2と組み合わせて100重量部のY2O3により形成された焼結固溶体セラミック試験試料を表す。Nb2O5−又はHfO2−又はNd2O3又はSc2O3−を含有するものとして示された試験試料は、これらの材料の夫々を含有するものとして挙げられた表の組成を表す。軸504に示される浸食速度の比較によって、抵抗率を修正した酸化イットリウム含有焼結セラミック材料の浸食速度が、純粋な酸化イットリウムについての浸食速度と実質的に同じであることが分かる。更に、抵抗率を修正した酸化イットリウム含有焼結セラミックの浸食速度は、Al2O3、AIN、ZrO、石英、W/ZrC、B4C及びSiC、半導体処理チャンバライナ及び半導体処理装置内部コンポーネントにハロゲンプラズマ耐食性材料を提供するのに用いられてきた他のセラミック材料の浸食速度よりかなり良い。
上述した実施例を与えた実験中に得られた結果及び他の参照源からのデータに基づいて、計算を行い、プラズマリーク電流におけるUV放射線の影響を推定した。プラズマ環境(半導体処理に用いる種類の)のUV放射線は、電気抵抗率を修正した酸化イットリウム含有焼結セラミック材料のリーク電流に影響しない。
193nmのUV放射線(ある半導体処理操作に用いられる)の、Nb−タイプB焼結セラミック材料及びHfO−タイプB焼結セラミック材料におけるリーク電流に対する影響を調査したところ、これらの材料の電気的性能は、かかるUV放射線には影響されるものではないということが分かった。
プラズマと接触する半導体処理装置として有用なセラミック含有物品としては、蓋、ライナ、ノズル、ガス分配板、シャワーヘッド、静電チャックコンポーネント、シャドウフレーム、基板保持フレーム、処理キット及びチャンバライナが例示されるが、これらに限られるものではない。
上述した例示の実施形態は、本発明の範囲を限定することを意図するものではなく、当業者であれば、本発明の開示内容を鑑みると、かかる実施形態を拡大して、請求された本発明の主題に対応させることができる。

Claims (19)

  1. 少なくとも1種類の固溶体を含む表面を有するセラミック含有物品であって、
    前記物品は、腐食性プラズマの耐食性と、アーク放電の可能性を減じる電気抵抗率の両方を必要とする半導体プラズマ処理チャンバ内で有用であり、前記固溶体は、酸化イットリウムが約55モル%から約80モル%までの範囲の濃度で存在し、酸化ジルコニウムが約5モル%から約25モル%の範囲の濃度で存在し、酸化ハフニウム、酸化ニオブ、又はこれらの組み合わせが約5モル%から約25モル%の範囲の濃度で存在する前駆体酸化物から形成されるセラミック含有物品。
  2. 前記セラミック含有物品が、前記焼結セラミック材料の約60モル%から約90モル%を構成するY−ZrO−Nbを含む固溶体の第1の相と、前記焼結セラミック材料の約5モル%から約30モル%を構成するYNbOの第2の相と、前記焼結セラミック材料の約1モル%から約10モル%を構成する元素の形態にあるNbの第3の相とを含む3相焼結セラミック材料を含む表面を有する請求項1記載のセラミック含有物品。
  3. 前記物品が、約350℃から室温までの範囲の温度で約10〜1015Ω・cmの範囲の電気抵抗率を必要とする、静電チャック、静電チャックコンポーネント、又は基板リフトピンの形態にある請求項1又は請求項2記載のセラミック含有物品。
  4. 前記物品が、半導体処理チャンバの内部で用いる内部コンポーネント又はライナの形態にある請求項1又は請求項2記載のセラミック含有物品。
  5. 前記物品が、固体焼結セラミック物品である請求項1又は請求項2記載のセラミック含有物品。
  6. 前記物品が、静電チャック、蓋、ライナ、ノズル、ガス分配板、シャワーヘッド、静電チャックコンポーネント、シャドウフレーム、基板保持フレーム、処理キット及びチャンバライナからなる群より選択される請求項1又は請求項2記載のセラミック含有物品。
  7. 前記表面は、アルミニウム、アルミニウム合金、ステンレス鋼、アルミナ、窒化アルミニウム及び石英からなる群より選択される材料を含む基板上に形成された前記3相焼結セラミック材料のコーティングである請求項1又は請求項2記載のセラミック含有物品。
  8. 前記コーティングは、前記基板の少なくとも一部の上に存在し、プラズマ溶射、溶射/フレーム溶射、物理蒸着及び化学蒸着からなる群より選択される技術を用いて塗布された請求項7記載のセラミック含有物品。
  9. プラズマと接触し、セラミック材料を含む表面を有する、静電チャック、ライナ、又は内部コンポーネントを用いる半導体処理チャンバ内でプラズマアーク放電を減じる方法であって、
    a)酸化イットリウム及び少なくとも1種類の他の酸化物から前記セラミック材料を含むように酸化物を選択する工程であって、前記他の酸化物の正イオンは、Y3+イオンとは大きく異なる価数を有し、これによって前記セラミック材料の電気抵抗率の減少をもたらすYの空位を形成する工程と、
    b)前記酸化物を焼結して、少なくとも1種類の結晶性固溶体を形成する工程と、
    c)前記セラミック材料をプラズマにさらす工程を含む方法。
  10. 前記Y3+イオンとは前記異なる価数を有する前記酸化物は、CeO、TiO、ZrO、HfO、Nb及びこれらの組み合わせからなる群より選択される請求項9記載の方法。
  11. プラズマと接触し、セラミック材料を含む表面を有する、静電チャック、ライナ、又は内部コンポーネントを用いる半導体処理チャンバ内でプラズマアーク放電を減じる方法であって、
    a)酸化イットリウム及び少なくとも1種類の他の酸化物から前記セラミック材料を含むように酸化物を選択する工程であって、前記他の酸化物の正イオンは、Y3+イオンと同じ価数を示すが、Y3+イオンとは大きく異なるイオン半径を有し、これによって前記セラミック材料の電気抵抗率の減少をもたらす工程と、
    b)前記酸化物を還元雰囲気中で焼結する工程と、
    c)前記セラミック材料をプラズマにさらす工程を含む方法。
  12. 前記大きく異なるイオン半径を有する前記酸化物は、Nd、Sm、Sc、Yb、Er、Ho、Dy及びこれらの組み合わせからなる群より選択される請求項11記載の方法。
  13. プラズマ処理コンポーネントのプラズマ耐食性を提供すると同時に、半導体処理チャンバ内でプラズマアーク放電を減じる方法であって、
    少なくとも1種類の固溶体を含むセラミック含有材料で、少なくとも前記プラズマにさらされる表面を形成する工程を含み、前記固溶体は、2種類を超える前駆体酸化物から形成され、第1の前駆体酸化物は酸化イットリウムであり、第2の前駆体酸化物は酸化ジルコニウムであり、酸化イットリウムに対して正規化されたときに、前記物品の前記表面の浸食速度が約1となり、前記物品の前記表面の電気抵抗率が、約350℃から室温までの範囲の温度で約10〜1015Ω・cmの範囲になるような相対濃度で、少なくとも1種類の追加の前駆体酸化物が添加され、前記少なくとも1種類の追加の前駆体酸化物は、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせからなる群より選択される方法。
  14. 前記少なくとも1種類の固溶体は、第1の前駆体酸化物が酸化イットリウムであり、第2の前駆体酸化物が酸化ジルコニウム、酸化セリウム、酸化ハフニウム及び酸化ニオブからなる群より選択される2種類の酸化物から形成される請求項13記載の方法。
  15. 前記少なくとも1種類の固溶体は、第1の前駆体酸化物が酸化イットリウムであり、第2の前駆体酸化物が酸化スカンジウム、酸化サマリウム、酸化イッテルビウム及び酸化エルビウムからなる群より選択される2種類の酸化物から形成される請求項13記載の方法。
  16. プラズマ処理コンポーネントのプラズマ耐食性を提供すると同時に、半導体処理チャンバ内でプラズマアーク放電を減じる方法であって、
    約350℃から室温までの範囲の温度で約10〜1015Ω・cmの範囲の制御された電気抵抗率を示すセラミック含有材料で、少なくとも前記プラズマにさらされる表面を形成する工程を含み、前記プラズマにさらされる前記表面は、少なくとも1種類の固溶体を含み、前記少なくとも1種類の固溶体は、酸化ジルコニウム、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせからなる群より選択される1以上の酸化物と組み合わせた酸化イットリウムから形成される方法。
  17. 前記セラミック含有材料は、酸化イットリウムが約55モル%から約80モル%までの範囲の濃度で存在し、酸化ジルコニウムが約5モル%から約25モル%の範囲にわたって存在し、酸化ハフニウムが約5モル%から約25モル%の範囲にわたって存在する前駆体酸化物から形成される請求項16記載の方法。
  18. 前記セラミック含有材料表面は、前記焼結セラミック材料の約60モル%から約90モル%を構成するY−ZrO−Nbを含む固溶体の第1の相と、前記焼結セラミック材料の約5モル%から約30モル%を構成するYNbOの第2の相と、前記焼結セラミック材料の約1モル%から約10モル%を構成する元素の形態にあるNbの第3の相とを含む3相焼結セラミック材料を含む請求項16記載の方法。
  19. 前記表面は、静電チャック、静電チャックコンポーネント、又は基板リフトピンの表面に存在し、前記電気抵抗率は、約350℃から室温までの範囲の温度で約10〜1015Ω・cmの範囲にある請求項16記載の方法。
JP2012250961A 2007-08-02 2012-11-15 制御された電気抵抗率を備えた耐プラズマ性セラミック Pending JP2013063904A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/890,156 2007-08-02
US11/890,156 US8367227B2 (en) 2007-08-02 2007-08-02 Plasma-resistant ceramics with controlled electrical resistivity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007251717A Division JP2009035469A (ja) 2007-08-02 2007-09-27 制御された電気抵抗率を備えた耐プラズマ性セラミック

Publications (1)

Publication Number Publication Date
JP2013063904A true JP2013063904A (ja) 2013-04-11

Family

ID=40229937

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007251717A Pending JP2009035469A (ja) 2007-08-02 2007-09-27 制御された電気抵抗率を備えた耐プラズマ性セラミック
JP2012250961A Pending JP2013063904A (ja) 2007-08-02 2012-11-15 制御された電気抵抗率を備えた耐プラズマ性セラミック

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007251717A Pending JP2009035469A (ja) 2007-08-02 2007-09-27 制御された電気抵抗率を備えた耐プラズマ性セラミック

Country Status (7)

Country Link
US (2) US8367227B2 (ja)
EP (1) EP2030961A3 (ja)
JP (2) JP2009035469A (ja)
KR (1) KR100934516B1 (ja)
CN (2) CN104710178A (ja)
SG (1) SG149811A1 (ja)
TW (1) TWI361177B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016056037A (ja) * 2014-09-05 2016-04-21 国立大学法人 香川大学 複合酸化物セラミックスおよび半導体製造装置の構成部材

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP4936948B2 (ja) * 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2010024354A1 (ja) * 2008-08-29 2010-03-04 Toto株式会社 静電チャックおよびその製造方法
JP2010064937A (ja) * 2008-09-12 2010-03-25 Covalent Materials Corp プラズマ処理装置用セラミックス
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP2012074650A (ja) * 2010-09-30 2012-04-12 Samco Inc プラズマ処理用トレイ及びプラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013079155A (ja) * 2011-09-30 2013-05-02 Covalent Materials Corp 耐プラズマ性部材
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101421112B1 (ko) * 2012-10-26 2014-07-21 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 부재의 제조방법 및 이를 이용한 리프트 핀의 제조방법
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170140902A1 (en) 2015-11-16 2017-05-18 Coorstek, Inc. Corrosion-resistant components and methods of making
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN106892685B (zh) * 2015-12-18 2020-04-28 有研工程技术研究院有限公司 一种陶瓷金属化薄膜及其制备方法
CN106892649B (zh) * 2015-12-21 2020-06-09 中国石油天然气股份有限公司 一种球形氧化铝的制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107344868B (zh) * 2016-05-06 2019-08-27 山东大学 一种在SiC衬底上制备无缓冲层的单层石墨烯的方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN109963825B (zh) 2016-11-16 2022-08-09 阔斯泰公司 耐腐蚀组件和制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106854086B (zh) * 2016-12-29 2021-11-19 北京华夏特材科技发展有限公司 一种耐高温的莫来石型气凝胶复合材料及其制备方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106866114B (zh) * 2017-03-12 2019-10-22 江西理工大学 一种铅锌冶炼烟化炉渣基的建筑陶粒及其制备方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
CN106927839B (zh) * 2017-03-24 2019-11-22 洛阳欧斯特节能科技有限公司 一种微孔绝热砖
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107056310B (zh) * 2017-04-17 2019-09-10 武汉科技大学 一种高强微孔莫来石耐火骨料及其制备方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN107140991B (zh) * 2017-05-27 2019-10-29 山东工业陶瓷研究设计院有限公司 一种用于3d打印的陶瓷球形料及其制备方法与应用
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
CN107140962B (zh) * 2017-05-31 2019-09-06 山东工业陶瓷研究设计院有限公司 石英质陶瓷回转体的制备方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107337452B (zh) * 2017-07-14 2019-10-25 陕西师范大学 高透明性和发光热稳定性的Sm3+掺杂钨青铜发光铁电陶瓷材料及其制备方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107445631B (zh) * 2017-07-27 2019-09-03 浙江铁狮高温材料有限公司 一种抗侵蚀钢包长水口的制备方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107673740A (zh) * 2017-11-09 2018-02-09 平定县冠窑砂器陶艺有限公司 一种多功能茶器及其制备方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
CN110386817A (zh) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 抗等离子体腐蚀陶瓷及制备方法
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000001362A (ja) * 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
JP2009035469A (ja) * 2007-08-02 2009-02-19 Applied Materials Inc 制御された電気抵抗率を備えた耐プラズマ性セラミック
JP2012116749A (ja) * 2007-04-27 2012-06-21 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
JP5506678B2 (ja) * 2007-08-02 2014-05-28 アプライド マテリアルズ インコーポレイテッド イットリウム含有保護皮膜による半導体処理装置の被覆方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU868881A1 (ru) * 1980-01-11 1981-09-30 Московский Ордена Ленина,Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Государственный Университет Им.М.В.Ломоносова Материал дл вторичноэлектронных катодов
US4507394A (en) * 1982-12-24 1985-03-26 Ngk Insulators, Ltd. High electric resistant zirconia and/or hafnia ceramics
US4656455A (en) 1984-07-20 1987-04-07 Toyama Prefecture Humidity-sensing element
JPS6131352A (ja) 1984-07-20 1986-02-13 富山県 感湿素子の後処理方法
US4906524A (en) 1987-05-29 1990-03-06 Orient Watch Co., Ltd. Surface-coated article and a method for the preparation thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5902763A (en) * 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JP2003526191A (ja) * 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
TW503263B (en) * 1997-12-03 2002-09-21 Matsushita Electric Works Ltd Plasma processing apparatus and method
JP3180092B2 (ja) 1997-12-03 2001-06-25 松下電工株式会社 プラズマ処理システム及びプラズマ処理方法
US6429400B1 (en) * 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
JP2000012666A (ja) 1998-06-19 2000-01-14 Taiheiyo Cement Corp 静電チャック
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3241350B2 (ja) 1999-09-28 2001-12-25 太平洋セメント株式会社 導電性セラミックスおよびその製造方法
JP2001023908A (ja) 1999-07-07 2001-01-26 Texas Instr Japan Ltd 真空処理装置
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
DE19955134A1 (de) 1999-11-17 2001-05-23 Sms Demag Ag Rekuperatorbrenner
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
WO2001043965A1 (en) * 1999-12-14 2001-06-21 The Penn State Research Foundation Thermal barrier coatings and electron-beam, physical vapor deposition for making same
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP2001203256A (ja) 2000-01-19 2001-07-27 Taiheiyo Cement Corp ウェハ保持具
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
JP2001244246A (ja) 2000-02-29 2001-09-07 Taiheiyo Cement Corp フォーカスリング
JP2001322871A (ja) 2000-03-07 2001-11-20 Shin Etsu Chem Co Ltd 希土類元素を含有する酸化物焼結体およびその製造方法
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP3479633B2 (ja) * 2000-07-21 2003-12-15 日本特殊陶業株式会社 セラミックボール、ボールベアリング、ベアリング付きモータ、ハードディスク装置、ポリゴンスキャナ及びセラミックボールの製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
CN1309859C (zh) * 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6730413B2 (en) * 2001-07-31 2004-05-04 General Electric Company Thermal barrier coating
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) * 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) * 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
JP2003257321A (ja) * 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP3847198B2 (ja) 2002-03-27 2006-11-15 京セラ株式会社 静電チャック
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP2004292270A (ja) 2003-03-27 2004-10-21 Kyocera Corp 耐食性部材及びその製造方法
US20040245098A1 (en) * 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US7141522B2 (en) * 2003-09-18 2006-11-28 3M Innovative Properties Company Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
KR100618630B1 (ko) * 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US20050123288A1 (en) * 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
JP4780932B2 (ja) 2004-05-25 2011-09-28 京セラ株式会社 耐食性部材とその製造方法および半導体・液晶製造装置用部材
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR100910261B1 (ko) * 2005-10-31 2009-07-31 코바렌트 마테리얼 가부시키가이샤 투광성 희토류 산화 소결체 및 그 제조 방법
JP5005317B2 (ja) 2005-10-31 2012-08-22 コバレントマテリアル株式会社 透光性酸化イットリウム焼結体及びその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000001362A (ja) * 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
JP2012116749A (ja) * 2007-04-27 2012-06-21 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
JP4975676B2 (ja) * 2007-04-27 2012-07-11 アプライド マテリアルズ インコーポレイテッド ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
JP2009035469A (ja) * 2007-08-02 2009-02-19 Applied Materials Inc 制御された電気抵抗率を備えた耐プラズマ性セラミック
JP5506678B2 (ja) * 2007-08-02 2014-05-28 アプライド マテリアルズ インコーポレイテッド イットリウム含有保護皮膜による半導体処理装置の被覆方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016056037A (ja) * 2014-09-05 2016-04-21 国立大学法人 香川大学 複合酸化物セラミックスおよび半導体製造装置の構成部材

Also Published As

Publication number Publication date
TWI361177B (en) 2012-04-01
US20090036292A1 (en) 2009-02-05
SG149811A1 (en) 2009-02-27
US8871312B2 (en) 2014-10-28
TW200906759A (en) 2009-02-16
US20130022838A1 (en) 2013-01-24
KR20090013645A (ko) 2009-02-05
CN104710178A (zh) 2015-06-17
KR100934516B1 (ko) 2009-12-31
EP2030961A2 (en) 2009-03-04
US8367227B2 (en) 2013-02-05
EP2030961A3 (en) 2009-08-12
CN101357846A (zh) 2009-02-04
JP2009035469A (ja) 2009-02-19

Similar Documents

Publication Publication Date Title
JP2013063904A (ja) 制御された電気抵抗率を備えた耐プラズマ性セラミック
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
KR101491437B1 (ko) 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
US10840113B2 (en) Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US9051219B2 (en) Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US20080264565A1 (en) Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140922

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141027

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141223

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150507