TWI361177B - Plasma-resistant ceramics with controlled electrical resistivity - Google Patents

Plasma-resistant ceramics with controlled electrical resistivity Download PDF

Info

Publication number
TWI361177B
TWI361177B TW096136398A TW96136398A TWI361177B TW I361177 B TWI361177 B TW I361177B TW 096136398 A TW096136398 A TW 096136398A TW 96136398 A TW96136398 A TW 96136398A TW I361177 B TWI361177 B TW I361177B
Authority
TW
Taiwan
Prior art keywords
ceramic
cerium oxide
article
oxide
molar percentage
Prior art date
Application number
TW096136398A
Other languages
English (en)
Other versions
TW200906759A (en
Inventor
Jennifer Y Sun
Kenneth S Collins
Ren-Guan Duan
Senh Thach
Thomas Graves
Xiaoming He
Jie Yuan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200906759A publication Critical patent/TW200906759A/zh
Application granted granted Critical
Publication of TWI361177B publication Critical patent/TWI361177B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/495Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on vanadium, niobium, tantalum, molybdenum or tungsten oxides or solid solutions thereof with other oxides, e.g. vanadates, niobates, tantalates, molybdates or tungstates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • C04B2235/3222Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • C04B2235/3255Niobates or tantalates, e.g. silver niobate
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/40Metallic constituents or additives not added as binding phase
    • C04B2235/404Refractory metals
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/76Crystal structural characteristics, e.g. symmetry
    • C04B2235/762Cubic symmetry, e.g. beta-SiC
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • C04B2235/81Materials characterised by the absence of phases other than the main phase, i.e. single phase materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31Surface property or characteristic of web, sheet or block

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Description

1361177 於主要由高度抗存在於 溶趙陶瓷組成的特種含 半導體處 氧化記陶 九、蝥明說明: 【發明所屬之技術領域】 本發明的實施方式關 理裝置中的電漿腐蝕的固 瓷0 【先前技術】 該部分描述關於本發明所揭示的實施方式 題。並没有意' 圖表示或暗指纟該部分討論的背景 習知技術。 抗侵飯(包括腐钱)性是在存在侵姓性環境 處理腔室中使用的裝置構件和襯墊的關鍵屬性。 電漿存在於多數半導體處理環境中,&括電漿増 相沈積(PECVD )和物理氣相沈積(pvD ),但是 強的電聚環境是用於處理裝置清洗和用於蝕刻半 的電漿。這對於存在有高能量電漿並結合化學反 用於存在於環境中的構件表面尤其是如此β當 體’即使在不存在電漿,與處理裝置表面接觸時 件表面或製程腔室襯墊表面的低化學反應性是 性。 存在於用於製造電子元件和微電子機械系統 的處理腔室内的製程腔室襯墊和組成裝置通常由 金構成。製程腔室和組成裝置(存在於腔室内) 常陽極化以提供對侵蝕性環境的一定程度的隔離 的背景主 技術組成 的半導想 儘管侵蝕 強化學氣 侵钱性最 導體基材 應性以作 侵蝕性氣 ,裝置構 重要的屬 (MEMS) 銘和銘合 的表面通 。然而, 5 1361177 铭或鋁合金中的雜質可能破壞陽極化層的完整性,從而侵 蝕較早地開始’缩短了保護塗層的有效期限。與其他陶瓷 材料相比,鋁氧化物的耐電漿屬性並不積極。因此,各種 组分的陶瓷塗層已經用於替代以上所提及的鋁氧化物層; 以及,在一些例子中’已經用在陽極化層的表面上以改善 下層鋁基材料的保護。
氧化釔是很有希望用於保護暴露於製造半導體元件中 使用類型的含虐素電漿的鋁和鋁合金表面的陶瓷材料《氧 化記塗層已經用於並施加在高純度銘合金製程腔室表面的 陽極化表面上’或者製程構件表面上,以産生良好的侵钱 保護(例如,以上提及的 Sun等人的美國專利案號 6,777,873)。可使用諸如喷塗、物理氣相沈積(PVD )、化 學氣相沈積(CVD )等方法施加保護塗層。
Al2〇3、或Al2〇3和Y2〇3膜已經形成於處理腔室的内 壁表面上以及需要高度耐侵14性和絕緣屬性的腔室内的構 件的暴露表面上。在一個示例性應用中,腔室的基材可以 爲陶瓷材料(Al2〇3、Si〇2、A1N等)、鋁或不銹鋼,或在 基材之上具有喷塗膜的其他金屬或金屬合金。該膜可以由 週期表的III-B元素的化合物諸如Y2〇3製成。該膜可主要 包含 Al2〇3 和 Υ2Ο3 。 纪-銘-石權石 (yttrium-aluminum-garnet, YAG)的喷塗膜也在以上提到 過。喷塗膜厚度的實施例從50μιη到300μιη之間變化》 【發明内容】 6 丄的1177
已經研發了在採用含鹵素電漿的半導體處理條件下 佟蝕的特種燒結陶瓷材料。已經改質特種材料以具有與 前用於半導體處理裝置的燒結陶瓷材料相比的改善的耐 漿腐蝕性和適合的機械屬性。6經調整燒结陶瓷材料的 屬性,從而材料的電阻率屬性(其在電漿材料腔室中具 影響)滿足特定腔室構件的需要。這些電阻率屬性要求 前僅被具有低耐電漿腐蝕性質的材料滿足。本發明的特 掎料(其具有耐電漿腐蝕性、機械性能和電阻率性能的 種結合)與之前所用的半導體處理裝置的材料充分相似 該相似的電屬性的一個優點在於不需要改變目前在半導 元件製造中使用的製程程式(recipe )或通用的處理條科
耐 以 電 電 有 之 種 各 〇 體 〇 可 來 積 用 使 個 在 釔 「3+ 率 2 ' 選 用於製造半導體材料腔室構件的特種燒結陶瓷材料 使用例如熱/火焰喷塗或電漿噴塗、物理氣相沈積(諸如 自由特種燒结陶瓷材料組成的靶的減射)或化學氣相沈 等而施加到下層材料之上。或者,燒結的陶瓷材料可使 模製製程而用於製造整體構件,例如,當這對於塗層的 用有利的時候。 討論的燒結陶瓷材料包含氧化釔基的固溶體。在一 實施方式中,改變燒結的含氧化釔陶瓷材料的電阻率。 一個示例性實施方式技術中,將其他氧化物添加到氧化 中,並且燒結該混合物。其他氧化物的陽離子具有與、 離子不同的原子價(valence),以形成γ空位,導致電阻 降低。該其他的氧化物的實施例包括Ce02、Ti02、ZrO Hf〇2和Nb>2〇5等,僅以示例方式而並不限制於此。在可 7 示例性實施方式技術中,其他氧化物添加到氧化釔中並燒 結該混合物。其他氧化物的陽離子具有與γ3+離子相同的 原子價,但是具有與γ3 +離子顯著不同的離子半徑。在還 原氣氛中燒结前驅混合物。這產生0空位’其也降低了電 阻率。具有與γ3 +離子相同原子價但是具有顯著不同的離 子半徑的氧化物的實‘施例包括 Nd2〇3、Sm2〇3、SC2〇3、 Yb203、Er203、H〇2〇3和Dy2〇3,僅以示例方式而並不限 制於此。 在通常與含釔燒結陶瓷相比需要較低電阻率的半導體 處理腔室中的主要構件其中之一是靜電夾盤。靜電夾盤設 計者建議在半導體處理條件下靜電夾盤的介電表面的電阻 率在從約1〇9到1011^.cm的範固内,以減小在靜電夾盤處 形成電漿電弧的可能。電阻率範圍與在约10·9到l〇-7S/m 範圍内的電導率等價。這是比體ShN4顯著低的電阻率, 例如,其具有電導率1(rl3s/m «對於電漿電弧可能産生不 利影響的其他耐侵蝕表面,諸如升降杆,纟需靜電夾盤需 益的。對於耐侵蝕表面諸如 可能與1014Q.cm —樣高或 要的那個範圍内的電阻率是有益的 製程腔室襯墊,電阻率較高,可能 者向於l〇hQ.cm,並仍然可接受。 其組合。
至少 燒結陶瓷材料的主名 體的兩者氧化物時, 氣化物,其通常選自 8 1361177 T、氧化鎮和氧化飾(以及其他鋼系列元素氧化物 慮用於這些實施例中。 气 當存在用於形成-種或多種固溶體的多於兩種 物時,這些氧化物通常包含氧化纪、氧化錯和至少 2氧化物,該氧化物通常選自氧化給、氧化筑、氧化敍、、 =化銳、氧化彭、氧化鐘、氧化斜、氧化錦及其組合物。 2特別實施例中還可能使用其他鋼系元素。當燒結陶究包 含多個固溶相時,ϋ常有兩個相或三個相。除了至少一個 固溶相,可能在燒結陶-泛記憶趙在化合物或元素金屬的其 他相。 、 通過示例方式,而並不限制於此,心使 物氧化物的燒結陶竞’己經通過試驗確定包含固溶體的燒 結陶究’其t存在約4G%莫耳百分比到小於贿4耳百八 比範園内的氧化纪,並#在從大約G%莫耳百分比到約6二 莫耳百分比範圍内的氧化锆,產生具有在室溫下從約ι〇7 到約io、cm範圍内的電阻率。預期可由其中存在大於 0%莫耳百分比到小於1〇〇%莫耳百分比範圍内的氧化纪和 大於〇%莫耳百分比至小於刚%莫耳百分比的氧化飾的前 驅氧化物的組合得到相同範圍内的電阻率 中存在大於G%莫耳百分比到小於嶋莫耳百分比範2 的氧化纪和大於0%莫耳百分比至小於100%莫耳百分比的 氧化铪的前驅氡化物組合得到從約109到約1011Q.cm範圍 内的電阻率》帛預期可由其中存在從約48%莫耳百分比到 小於1〇〇%莫耳百分比範圍内的氧化釔和大於0%莫耳百分 9 1361177 比至約52 %莫耳百分比範圍内的氧化鈮的前驅氧化物组 得到具有約1〇9到約範圍内電阻率的陶瓷。 用於實施例,並不限制於此,關於使用多於兩種前 氧化物的燒結陶瓷,在一個實施方式中,當燒結陶瓷包 固溶體並且其中燒结陶瓷材料由以下氧化物形成時, 中:存在從約40%莫耳百分比至小於1〇〇%莫耳百分比範 内的氧化記;存在從大於〇%莫耳百分比至約5〇%莫耳百 比範圍内的氧化锆;以及存在大於約〇%莫耳百分比到小 100%莫耳百分比範圍内的氧化釤,燒結陶瓷具有從約] 到約1015n.cm範圍内的電阻率。 在另一實施方式中,當燒結的陶瓷包含固溶體並且 結陶究材料由以下氧化物製成時:存在從約4〇%莫耳百 比至小於100。/。莫耳百分比範圍内的氧化釔;存在從大 〇%莫耳百分比至約50%莫耳百分比範圍内的氧化锆;以 存在大於約0%莫耳百分比到小於1 00%莫耳百分比範圍 的氧化給,燒結陶瓷將具有在約1〇7到约1〇15n.cin範園 的電阻率。 在又一實施方式中,當燒結的陶瓷包含固溶體並且 結陶瓷材料由以下氧化物製成時··存在從約4〇%莫耳百 比至小於100%莫耳百分比範圍内的氧化釔;存在從大 0%莫耳百分比至約45%莫耳百分比範圍内的氧化锆;以 存在大於約〇%莫耳百分比到小於80%莫耳百分比範圍 的氧化鈮時,燒結陶瓷將具有在約107到約1〇iS£2 cm範 内的電阻率。 合 驅 含 其 園 分 於 07 燒 分 於 及 内 内 燒 分 於 及 内 圍 10 1361177 在一個實施方式中,燒結的陶瓷材料包含三個相其 包括:包含Y2〇3_Zr〇2_Nb2〇5的第一固溶相,其占繞結陶 瓷材料的從約60%莫耳百分比到約9〇%莫耳百分比範固 内,Y3Nb07的第二相,其占燒結陶竞材料的從約莫耳 百分比到約30%莫耳百分比範固内;以及Nb元素形式的 第三相,其占燒結陶瓷材料的從約1%莫耳百分比到約ι〇% 莫耳百分比範圍内》
包含三個相的燒結陶瓷材料的另一實施方式中,氧化 釔在從約60%莫耳百分比至小於約75%莫耳百分比範圍 内:氧化锆在從大於約1 5 %莫耳百分比至約2 5 %莫耳百分 比範圍内;以及氧化銀在大於約5 %莫耳百分比到小於1 5 % 莫耳百分比範圍内》
在由以上所述類型的Y2〇3_Zr〇2-MxOy形成的燒結陶 瓷測量樣品中,在其中Μ是釤、給、鈮或鈥的實施方式中, 在暴露於CF4/CHF3電漿76小時之後,已經證明侵蝕速度 是0.1 6μιη/小時或更低。預期當Μ是鈽、釤、餌或其他鑭 系元素時具有類似的侵蝕速度。電漿形成於可從Applied Materials有限公司購得的Enabler for Trench Etch電漿處 理腔室中。電漿源功率高達2000W,製程腔室壓力爲10-500 毫托(Torr),並且基材溫度爲40°C。該〇.16μιη/小時或更 低的侵蝕速度等價於純 γ2〇3的侵蝕速度。因此,用於提 供較低電阻率燒結陶瓷岛燒結陶瓷改質不影響燒結陶瓷的 侵蝕速度。 雖然以上所述的陶瓷材料是由利用本領域公知的燒結 11 1361177 技術形成的燒结陶瓷,但是在其他實施方式中,以上列出 的起始材料成分可用於利用塗覆技術形成陶瓷塗層於各種 金屬和陶瓷基材的表面上,其包括但是不限於鋁、鋁合金、 不銹鏑、氧化鋁、鋁氮化物和石英。該塗覆技術包括電漿 喷塗、熱/火焰喷塗;從由燒結氧化物形成的濺射靶的物理 氣相沈積;或者化學氣相沈積,作爲示例但是並不限制於 此0
【實施方式】 作爲詳細描述的緒言,應該注意到,如在該說明書和 附圖t所用的,單數形式“一(a ) ” 、 “一( an ) ”和“該 (the ) ”包括複數指代,文中清晰指出數目的除外。 當在此使用詞“約(a b 〇 u t ) ”時,其意欲指所指的標 稱值準確地在±10%内。
在此所述地爲在採用含齒素電漿的半導體元件處理條 件下研發的抵抗侵蝕的特種陶瓷材料。在特定實施方式 中,已經改質特種材料以具有與之前研發的類似陶瓷材料 相比減小的電阻率以提供耐電漿腐蝕性。減小的電阻率有 益於減小在半導體處理腔室内的各種構件處電漿電弧放電 (arcing )的可能性,尤其在電漿放電成問題的靜電夾盤 的表面或基材升降杆上,用於舉例但不限於此。在過去, 構件或至少構件表面由鋁氮化物或鋁氧化物製造,可對其 摻雜以提供電屬性。雖然該材料提供所需的電屬性,但是 侵蝕/腐蝕速度相對快,限制了特定構件的有效壽命,並需 12
材料以控制電阻率性能在對於 需範圍内。當選擇氧化物的組合 域且讀取本發明的普通技術人員 明。
、边甘Μ形取网瓦两科時,本領 人員將能相對成功地確定H
要更多的停工時間用於組成部 另外’用作電漿虚理主W 適合電屬性的耐腐钱陶竞材 ¥電漿腐蝕/侵蝕屬性的陶究 與電漿接觸的構件有效的所 合以形成陶瓷材料時,太箱 爲了便利具有所需電屬性的適合耐鹵素電漿腐蚀/ 侵蝕陶究材料的發展通過使用燒結陶究實施。所燒結的陶 瓷通過本領域的衆所周知的技術生產。在其他實施方式 =相同的一般組分的適合耐卤素電漿腐蝕/侵蝕的陶瓷材 料可例如,使用熱/火焰噴鍍或電漿喷鍍應用爲在下層諸如 銘或銘合金的材料之上的塗層。在可選實施方式中,所燒 結的陶瓷材料可用於製造可用於通過物理氣相沈積在下層 材料之上施加陶瓷材料,特別地當其上待施加保護陶瓷材 料的裝置較大時,諸如製程腔室襯墊。 如之前所述,討論的所燒結陶瓷材料包括氧化釔。可 改變所燒結的含釔陶瓷材料的電阻率。在一個示例性技術
令 I J 至少一種其他氧化物添加到氧化纪中並燒結該混合 物。至少一種其他氧化物的陽離子具有不同於γ3 +離子的 13 1361177
原子價(valence),以形成Y空位,導致電阻率降低。該氧 化物的實施例包括Ce02、Ti02、Zr〇2、 Hf〇2和Nb205, 僅用於示例而並不限制於此。在另一示例性技術中,至少 一種其他氧化物添加到氧化釔中,並在還原氣氛中燒結該 混合物;然而,至少一種其他氧化物的陽離子具有與 γ3 + 離子相同的原子價,但是具有與Υ3 +離子顯著不同的離子 半徑。其導致0空位産生,這也降低了電阻率。具有與 γ3 +離子相同原子價但是具有顯著不同的離子半徑的氧化 銘實施例包括 Nd2〇3、Sm2〇3、SC2O3、Yb2Q3、Er2〇3、Η〇2〇3 和Dy203,僅用於示例而並不限制於此β 至今已經研究了多種示例性燒結陶瓷材料的屬性,並 且以下的表格提供了所製造並評估的部分燒結陶瓷材料。 隨後將描述這些材料的評估》 實施例: 表格 樣品 前驅物莫耳 前驅物重量 前驅物Υ203重量 熔點 燒結溫度 相组成 密度 # 百分比% 百分比% 份數/100Υ2〇3 (°C) (°C) (g/cm3) 1 Y20j:75 Y2〇3: 77.82 Υ2〇3:100.00 2800 >1800 C-SS單相*· 5.607 HiDj: 20.0 Ηί02: 1935 Ηί〇2: 24,86 ZiO2:5.0 Zr02:2.83 Ζγ〇2: 3.64 2 Y2O3 : 60.0 Y2〇3 : 72.18 Υ2〇3 : 100.00 2360 >1800 c-ss單相·· 4.936 Sc2〇3: 20.0 Sc2〇3:14.69 Sc2〇3>20.36 a〇2: 20.0 Ζγ〇2: 13.13 Zr〇2:18.19 3 Υ2〇3 : 60.0 Υ2〇3 : 59.38 Υ2〇3 : 100.00 Ν/Α· >1800 c-ss單相** 5.555 14 1361177
Nd2〇3:20.0 Zr02: 20.00 Nd203:29.58 Zj〇2: 10.84 Nd2Oj:49.66 a〇2: 18.19 4 Y2〇3 : 70.0 Y2O3 : 75.53 Y2〇3 : 100.00 N/A· >1800 c-ss** 5.331 Nd2O5:10.0 Nd203:12.7 Nd203:16.82 Y3NbO 和 Nb Zspi. 20.0 Zr02:11.77 Zr02:15.59 *N/A =無 **c-ss係指立方氧化釔型固溶體。 實施例一 第1圓是示出各種陶瓷材料的電阻率的圖表1〇〇,陶 瓷材料包括根據本發明的示例性實施方式製造的A型和B 型材料。電阻率在軸104上示出,作爲在軸1〇2上示出的 溫度的函數。可在空氣環境下在丨〇〇〇v下測量電阻率,使 用根據ASTM D 1 829-或JIS C2141的標準測試條件。 在第1圖中示出的曲線1〇6在表中描述爲樣品#4的含 Nb2〇5燒結陶瓷材料。對於含Nb2〇s燒結陶瓷材料,如第 3圖中通過相圖所示,還可通過添加額外的成分得到適合 的電阻率值。燒結陶瓷材料包含三個相,其包括包含 Y2〇3-Zx〇2_Nb2〇5的第一固溶相,其占燒結陶瓷材料的約 60%到約90%莫耳百分比;第二相Y3Nb〇7 ,其占燒結陶瓷 材料的從約5%到約30%的莫耳百分比;以及元素形式的 Nb第三相’其占燒結陶瓷材料的約1 %到約丨〇%莫耳百分 比。當需要低電阻率以防止電弧放電時,該材料特別有效。 電阻率在室溫下低於約並在200〇c爲約ι〇8ω. cm,以及在典型半導體處理條件下可能具有在ι〇9ω. 範 15 1361177
圍内的電阻率。 在第1圖中示出的含Nb205的燒結陶 施方式稱爲Nb205- Zr〇2-Y2〇3。參照第3 區域標記爲“B” 。該標記表示燒結陶瓷 分在從約55%莫耳百分比到約go%莫耳百 下包含Y2〇3,並且在從約5°/。莫耳百分比 分比之間的濃度下包含添加劑諸如Nb205 或 Sc!〇3。 實施例二 在第1圖中示出的曲線108表示根據 Hf〇2燒結陶瓷材料,其還在表格中描述爲 材料顯示出比含Nb2〇5材料高的電阻率, 電夾盤或基材升降杆相比電弧放電不主要 置構件有效。 實施例三 在第1圖中示出的曲線11〇表示根據 製造的含Sc2〇3燒結陶瓷材料,其還在表 #2»同樣’該材料可用於需要i〇iiQ.cni電 實施例四(對比實施你丨、 在第1圖中示出曲線112表示在第2 的Y203 - Zr02 - Al2〇3材料》描述該材料 率陶瓷材料的比較實施例的目的。該燒結 Y2〇3和Zr〇2形成的固溶體,以及由γ2〇3 形成的合成物。典型的燒結陶瓷材料由在 瓷材料的一個實 圖,相圖的一個 枯料的固溶相成 分比之間的濃度 到約25%莫耳百 ' Hf02 ' Nd2〇3 ' 本發明製造的含 樣品# 1。該陶究 但是對製造與靜 的半導體處理裝 本發明實施方式 格中描述爲樣品 阻率的應用中。 圖的相圖中示出 僅用於受控電阻 陶瓷材料包含由 和Al2〇3氧化物 從約6 0 %莫耳百 16 1361177
分比到約 65%莫耳百分比之間的濃度下的 Υ2〇3 ;在從约 20%莫耳百分比到約 25%莫耳百分比之間的濃度下的 Zr〇2 ;以及在從約10%莫耳百分比到約15%莫耳百分比之 間的濃度下的Al2〇3形成。在相圖第2圖中示爲區域“A” 並通過曲線表示爲在第1圖中示出的丫2〇3-以02-八1203的 中心陶瓷材料的一個實施方式,包含:具有立方氧化釔型 晶體結構的約 60%莫耳百分比固溶體,其中c-Y203是溶 劑,Zr2〇3是溶質;具有螢石型晶體結構的約2%莫耳百分 比的固溶體,其中是Zr02溶劑,丫2〇3是溶質;以及約38% 莫耳百分比的YAM ( Υ4Α12〇9 )化合物。 實施例五Γ對比實施例) 第1圖的曲線114表示含Nd2〇3的燒結陶瓷材料,其 在表格中描述爲樣品#3。但是該材料難以滿足需要防止放 電的需要,並且認爲不是彌補本發明的部分單一材料的比 較實施例。 實施例六(對比竇施例)
第1圖的曲線116表示純Y2〇3燒結陶瓷的電阻率屬 性》該材料也是對比實施例,其用作基線,原因在於許多 半導體裝置構件已由純Υ2〇3製造。純Υ2〇3電阻率的比較 示出由本發明得到的電阻率的顯著改善。 曲線120也在第1圖中示出’其表示通常用於製造靜 電夾盤的摻雜鋁氮化物,曲線122表示也用於製造靜電失 盤和需要低電阻率的其他半導體處理裝置的第二摻雜的銘 氛化物。 17 1361177 實施例七 第4圓是示出對於許多燒結陶瓷測試樣 試期間電阻率與所施加的電壓的函數關係圖 上示出電阻率,並且電壓在軸402上示出。 溫(約27eC)»該圖的目的是爲了示出受控 的本發明的耐侵蝕陶瓷實施方式和目前使用 物陶瓷之間在電阻率上的區別。雖然摻雜的 具有稍微低的電阻率,但它們的侵蚀迷度至 以降低電阻率的含氧化釔陶瓷的侵蝕速度高 特別地,第4圖的曲線422表示目前用 盤的摻雜的銘氮化物陶瓷。曲線420表示用 盤和其他較低電阻率構件的另一種摻雜的鋁 第4圖的曲線4 06表示含Nb205的燒結 在表格中表示爲樣品#4 »已經改質以降低電 纪材料具有與表示爲A1N-1的摻雜的鋁氮化 電阻率。然而,摻雜的鋁氮化物的侵蝕速度 示出的含氧化纪材料的侵蝕速度高1〇倍,如 的柱狀圖500示出。 在第4圖中的曲線4〇8表示含Hf〇2的驾 其在表格中表示爲樣品#1。該陶瓷材料表現 材料高的電阻率’並且在室溫下表現出電漿 能發生的構件的推薦範圍之外的電阻率。然 下’其在部分半導體處理期間存在的溫度, 接受範園内,如第】圖中通過曲線1〇8所示 本在電阻率測 4〇〇° 在軸 404 測試溫度是室 以降低電阻率 的摻雜鋁氮化 鋁氮化物陶瓷 少比已經改質 2倍。 於製造靜電夹 於製造靜電夾 氣化物陶瓷。 陶瓷材料,其 阻率的含氧化 物非常接近的 比由曲線406 通過第5圖令 t結陶瓷材料’ 出比含Nb2〇5 電弧放電更可 而,在2〇〇°C 電阻率落入牙 18 1361177 第4圖的曲線410表示含SczO3的燒結陶曼封料,其 在表格中表示爲樣品#2。再次,當處理溫度爲2〇〇。〇時, 該材料可用於需要10"Ω· cm電阻率的應用中》 爲了比較目的(關於包含含氧化釔固溶體的受控電阻 率陶瓷),第4圖的曲線412示出了包含在第2圖中示出的 Y2〇3、Zr02和Al2〇3的“ A”型陶瓷材料》該“ a”型材料 的一個實施方式’其在第1圖中示出,包含具右 ^ c - I 2 U 3
爲溶劑以及Zrz〇3爲溶質的約60%莫耳百分电的立方氧化 釔型結構;具有Zr〇2爲溶劑以及Y2〇3爲溶質的約2%莫耳 百分比的螢石型結構固溶體;以及,約38 %莫耳百分比γΑΜ (YMhO9 )化合物。雖然Α型ΗΡΜ材料表示出適合的耐 侵蝕性能和優良的機械性能’但電阻率顯著高於所需範圍 最大值10uQ.cm»即使在200°C下也是這種情況,如第i 圖中的曲線112所示。該材料並不包括於電阻率改質的对 侵蝕陶瓷的實施方式中。
爲了比較目的,第4圖的曲線414表示含Nd2〇3的燒 結陶瓷材料,其在表格中表示爲樣品#3。該材料難以滿足 防止電弧放電的需要,並認爲是不構成本發明的單_陶資_ 材料部分的比較實施例。 爲了比較目的,第4圖的曲線416示出了純γ2〇3的 燒結陶瓷的電阻率屬性。該材料也是比較實施例,其用作 基準,原因在於大部分半導體裝置構件已經由純γ2〇3製 造》純Υ2〇3的電阻率的比較示出了由本發明所得到的電 阻率有非常顯著的改善。 19 1361177 實施例八
第5圖示出了表示對於多種暴露於電漿的燒結陶瓷材 料標準化爲純Υ2〇3的示例性侵蝕速度的柱狀圖500。電漿 由CF4和CHF3源氣産生。電漿處理腔室是可從Applied Materials 有限公司購得的 Enabler for Trench Etch。電漿 源功率高達2000W,製程腔室壓力爲10-500毫托,並且基 材溫度爲約40°C,76小時的時間週期。轴502未出了測試 耐侵蝕性的多種材料。表示爲Y2〇3-l〇Zr02的測試樣本表 示通過燒結100重量份數的γ2〇3以及10重量份數的Zr〇2 形成。表示爲含Nb205-或Hf02-或Nd203-或Sc203-的測量 樣本表示描述爲含钱刻這些材料的化合物。如在軸504上 所示的侵敍速度的比較表示所改質的電阻率的侵蝕速度,
含氧化纪的燒結陶瓷材料基本與純氧化釔的侵蝕速度相 同。另外’所改質的電阻率的侵蝕速度,含氧化釔的燒結 陶資(基本比八12〇3、八1>1、21'〇2、石英、貿/21'€:、:84(:和8丨0:, 用於提供半導體處理侵蝕襯墊和在半導體處理裝置内部構 件上的耐南素電漿腐蝕材料。 基於在提供以上所述的實施例的實驗期間所得到的結 果’ U及來自其他參考源的資料已經進行了提供在電漿 漏電流中# UV輻射效果評估的計算。電漿環境中(用於 電漿處理中的類型) 化釔的燒結陶瓷材料的漏 193nmUV輻射(在邹 Nb2〇5-B型燒結陶瓷材料 的UV輻射不影響含電阻率改質的氧 電流。 分半導趙處理操作中採用)對在 # Hf〇2-B型燒結陶瓷材料中的 20 漏電流的影響的研究表示這些材料的電性能不應用 UV輻射影響。 用作與電漿接觸的半導趙處理裝置.的含陶瓷顆 品包括蓋子 '襯塑*、喷嘴 '氣體分配板、嗜頭、靜 構件、陰影框架、基材容納框架、處理套件和腔室 僅作爲示例性並且不限於此。 以上所述的示例性實施方式不意欲限制本發 園,由於本發明的揭示内容,本發明的普通技術人 釋與本發明所要求保護的主題對應的實施方式。 【圖式簡單說明】 爲了有助於理解以上所述的實施方式,可參照 加詳細地描述以上所述的特定實施方式。然而,應 到,附圖僅示出了部分典型實施方式並因此不用於 此所述的本發明的範圍。本發明包括其他等效的實;} 第1圖是示出了對於多種材料,在空氣環境中 壓爲1000V下’電阻率與溫度的函數關係圖表100 第2圖是Y203-Zr02-Al203相圖200。該相圖示 了其他成分之外’特種材料的組成,在此在相圖上 區域‘‘ A”用於參考。類型“ a”陶瓷材料是對鹵素 钱具有良好耐腐姓性的陶莞成分; 第3圓是Y203-Zr〇2_Nb2〇5相圖300。該相圖 了其他成分外,特種材料的組成,在此在相圖上標 域· B” ,用於參考。類型“ B”陶瓷材料爲不僅對 受這些 粒的製 電夾盤 襯墊, 明的範 員能解 附圖更 該注意 現在在 色方式。 施加電 9 出了除 標記爲 電槳侵 示出除 記爲區 鹵素電 21 1361177 漿侵蝕具有良好耐腐蝕性而且還具有例如與“A”類型陶 瓷材料相比的受控的、較低電阻率的陶瓷成分; 第4圖是示出對於多種材料的電阻率與施加的電壓的 函數關係圖表400,其中測量可在室溫(約27 °C)空氣中 下進行; 第5圖是直條圖500,其示出了暴露於由CF4和CHF3 源氣體産生的電漿的多種燒結陶瓷材料相對於純氧化釔的 標準化平均示例性侵蝕速度。 【主要元件符號說明】 100 各種陶瓷材料的電阻率的圖表 102 ' 104 轴 106、 108、 110、 112、 114、 116、 120、 122 曲線 200 Y2 〇 3_ Z r Ο 2 - A12 〇 3 相圖 3 00 Y 2 〇 3_ Z r Ο 2 - N b 2 〇 5 相圖
400 對於許多燒結陶瓷測試樣本在電阻率測試期間電阻 率與所施加的電壓的函數關係圖 402 、 404 轴 406、 408、 410' 412、 414、 416、 420、 422 曲線 500 枉狀圖 502 、 504 軸 22

Claims (1)

1361177 公告本 P年Η月'^日修正本 第%βζη牙mm%b年"月士正
1、一種含陶瓷的製品,其能在用於半導體處理裝置時 抵抗含i素電漿的侵蝕並且提供抑制電漿電弧放電之可能 性的一受控電阻率,其中該含陶瓷的製品在從約 3 5 0 °C至 室溫範圍内的溫度下呈現在約107至1015Q.cm範圍内的受 控電阻率,所述含陶瓷製品具有包含含氧化釔的至少一種 固溶體的表面,以及其中該至少一種固溶體包含濃度在 4 0%莫耳百分比以上的氧化釔且含有一種額外的氧化物, 該額外的氧化物選自氧化铪、氧化銃、氧化鈮、氧化釤、 氧化镱、氧化餌、氧化鈽及其組合所構成的群組。 2、如申請專利範圍第1項所述的含陶瓷的製品,其中 一鑭系元素氡化物包括於用在形成所述陶瓷製品的該等氧 化物中。
十、申請專利範圍: 3、如申請專利範圍第1項所述的含陶瓷的製品,其中 兩種氧化物用於形成包含氧化釔和其他氧化物的所述至少 一種固溶體,以及其中所述其他氧化物選自氧化鈽、氧化 铪和氧化鈮所構成的群組。 4、如申請專利範圍第3項所述的含陶瓷的製品,其中 所述陶瓷由在從約 4 0 %莫耳百分比到小於 1 0 0 %莫耳百分 比範圍内的濃度下的氧化釔,和在從高於0%莫耳百分比到 約6 0%莫耳百分比範圍内的濃度下的氧化铈形成。 23 1361177 \ 5、 如申請專利範圍第3項所述的含陶免的製。 所述陶瓷由在從約40%莫耳百分比到 品,其中 1 10 〇 %莫耳石八 比範圍内的濃度下的氧化釔,和在從高於〇〇/ 、 刀 約60%莫耳百分比範圍内的濃度下的氧化铪形成。比到 6、 如申請專利範㈣3項所述的含H的製品,直中 所述陶曼由在從約40%莫耳百分比到小& 1〇〇%莫耳百八 比範圍内的濃度下的氧化纪,和在從高於〇 %莫耳百分比= 約60%莫耳百分比範圍内的濃度下的氧化鈮形成。 7、 一種含陶瓷的製品,其能在用於半導體處理裝置時 抵抗含齒素電漿的侵蝕並且提供抑制電聚電弧放電之可能 性的一受控電阻率,其中該含陶瓷的製品在從約35〇°C至 室溫範圍内的溫度下呈現在約1〇7至1〇l5Q.cm範圍内的受 控電阻率,且其中該含陶瓷製品具有一表面,該表面包含 至少一種含氧化紀的固溶體’該氧化釔的濃度在40%莫耳 百分比以上’且該含陶瓷製品包含至少兩種的額外的前驅 物氧化物,該至少兩種的額外的前驅物氧化物用於形成含 氧化釔的所述固溶體,以及其中所述至少兩種的額外的前 驅物氧化物包括氧化錯以及選自氧化姶、氧化銃、氧化鈮、 氧化釤、氧化镱、氧化铒、氧化鈽及其組合所構成的群組 的其他氧化物° 24 1361177 8、如申請專利範圍第7項所述的含陶瓷的製品,其t 一鑭系元素氧化物包括於所述含陶瓷的製品中。 9、 如申請專利範圍第7項所述的含陶瓷的製品,其申 所述氧化釔存在從約 4 0 %莫耳百分比到小於 1 0 0 %莫耳百 分比範圍内的濃度,以及氧化錯存在從高於0 %莫耳百分比 到約 2 0 %莫耳百分比範圍内,以及氧化銃存在從高於0 % 莫耳百分比到小於1 00%莫耳百分比範圍内。 10、 如申請專利範圍第7項所述的含陶瓷的製品,其 中所述氧化釔存在從約 7 0 %莫耳百分比到小於 1 0 0 %莫耳 百分比範圍内的濃度,以及氧化锆存在從高於0 %莫耳百分 比到約1 7 %莫耳百分比範圍内,以及氧化姶存在從高於0% 莫耳百分比到約27%莫耳百分比範圍内。
1 1、如申請專利範圍第7項所述的含陶瓷的製品,其 中所述製品由三相燒結陶瓷形成,該三相燒結陶瓷包括: 包含Y203-Zr02-Nb205的第一相固溶體,其占所述燒結陶 瓷材料的約 60%到約 90%之間的莫耳百分比;第二相 Y3Nb07,其占所述燒結陶瓷材料的約5%到約30%之間的 莫耳百分比;以及元素形式的第三相Nb,其占所述燒結陶 瓷材料的約1 %到約1 〇%之間的莫耳百分比。 12、如申請專利範圍第1項或第7項所述的含陶瓷的 25 136,1177 製品,其中所述製品爲一靜電夾盤或一基材升降杆 或者需要從約 3 5 0 °C到室溫範圍内的溫度下從約 1015Q.cm範圍内電阻率的其他製品形式。 13、如申請專利範圍第1項或第7項所述的含 製品,其中所述製品爲在一半導體處理腔室内部使 内部構件或一襯墊形式,以及其中所述陶瓷製品的 在從約3 5 0 °C到室溫範圍的溫度下爲在約1 〇7至1 〇 形式, 107至 陶瓷的 用的一 電阻率 15 Ω·cm
14、如申請專利範圍第1項或第7項所述的含 製品,其中所述製品爲一固體燒結陶瓷製品。 15、如申請專利範圍第1項或第7項所述的含 製品,其中所述製品選自靜電夾盤、蓋子、襯墊、 氣體分配板、噴頭、靜電夾盤構件、陰影框架、基 框架、處理套件和腔室襯墊所構成的群組。 16、 如申請專利範圍第1項或第7項所述的含 製品,其中所述製品的所述表面由所述陶瓷塗覆。 17、 一種降低一半導體處理腔室内的電漿電弧 方法,所述半導體處理腔室採用一靜電夾盤、一襯 具有與電漿接觸的表面的内部構件,所述表面包含 陶瓷的 陶瓷的 喷嘴、 材容納
陶瓷的 放電的 墊或一 一陶瓷 26 1.361177
材料,所述方法包含: a)選擇氧化物以由氧化釔和至少一種其他 所述陶瓷材料,其中所述至少一種其他氧化 錯、氧化給、氧化筑、氧化銳、氧化彭、氧化镱 氧化鈽及其組合所構成的群組; b )燒結所述氧化物以形成至.少一種結晶固 結晶固溶體在從約3 5 0 °C至室溫範圍内的溫度 107至1015Q.cm範圍内的受控電阻率;以及 c)將所述陶瓷材料暴露於電漿。 18、如申請專利範圍第17項所述的方法 至少一種其他氧化物選自 Ce〇2、Zr〇2、Hf〇2 其組合所構成的群組。 氧化物形成 ί勿選自氧化 、氧化铒、 溶體,所述 下呈現在約 ,其中所述 、N b 2 0 5 及
27
TW096136398A 2007-08-02 2007-09-28 Plasma-resistant ceramics with controlled electrical resistivity TWI361177B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/890,156 US8367227B2 (en) 2007-08-02 2007-08-02 Plasma-resistant ceramics with controlled electrical resistivity

Publications (2)

Publication Number Publication Date
TW200906759A TW200906759A (en) 2009-02-16
TWI361177B true TWI361177B (en) 2012-04-01

Family

ID=40229937

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096136398A TWI361177B (en) 2007-08-02 2007-09-28 Plasma-resistant ceramics with controlled electrical resistivity

Country Status (7)

Country Link
US (2) US8367227B2 (zh)
EP (1) EP2030961A3 (zh)
JP (2) JP2009035469A (zh)
KR (1) KR100934516B1 (zh)
CN (2) CN104710178A (zh)
SG (1) SG149811A1 (zh)
TW (1) TWI361177B (zh)

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4936948B2 (ja) * 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2010024354A1 (ja) * 2008-08-29 2010-03-04 Toto株式会社 静電チャックおよびその製造方法
JP2010064937A (ja) * 2008-09-12 2010-03-25 Covalent Materials Corp プラズマ処理装置用セラミックス
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP2012074650A (ja) * 2010-09-30 2012-04-12 Samco Inc プラズマ処理用トレイ及びプラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
JP2013079155A (ja) * 2011-09-30 2013-05-02 Covalent Materials Corp 耐プラズマ性部材
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101421112B1 (ko) * 2012-10-26 2014-07-21 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 부재의 제조방법 및 이를 이용한 리프트 핀의 제조방법
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6489467B2 (ja) * 2014-09-05 2019-03-27 国立大学法人 香川大学 複合酸化物セラミックスおよび半導体製造装置の構成部材
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108463345B (zh) 2015-11-16 2021-04-09 阔斯泰公司 耐腐蚀组件和制造方法
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN106892685B (zh) * 2015-12-18 2020-04-28 有研工程技术研究院有限公司 一种陶瓷金属化薄膜及其制备方法
CN106892649B (zh) * 2015-12-21 2020-06-09 中国石油天然气股份有限公司 一种球形氧化铝的制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107344868B (zh) * 2016-05-06 2019-08-27 山东大学 一种在SiC衬底上制备无缓冲层的单层石墨烯的方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP7054705B2 (ja) 2016-11-16 2022-04-14 クアーズテック,インコーポレイティド 耐食性構成要素およびその製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106854086B (zh) * 2016-12-29 2021-11-19 北京华夏特材科技发展有限公司 一种耐高温的莫来石型气凝胶复合材料及其制备方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106866114B (zh) * 2017-03-12 2019-10-22 江西理工大学 一种铅锌冶炼烟化炉渣基的建筑陶粒及其制备方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
CN106927839B (zh) * 2017-03-24 2019-11-22 洛阳欧斯特节能科技有限公司 一种微孔绝热砖
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107056310B (zh) * 2017-04-17 2019-09-10 武汉科技大学 一种高强微孔莫来石耐火骨料及其制备方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107140991B (zh) * 2017-05-27 2019-10-29 山东工业陶瓷研究设计院有限公司 一种用于3d打印的陶瓷球形料及其制备方法与应用
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN107140962B (zh) * 2017-05-31 2019-09-06 山东工业陶瓷研究设计院有限公司 石英质陶瓷回转体的制备方法
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107337452B (zh) * 2017-07-14 2019-10-25 陕西师范大学 高透明性和发光热稳定性的Sm3+掺杂钨青铜发光铁电陶瓷材料及其制备方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107445631B (zh) * 2017-07-27 2019-09-03 浙江铁狮高温材料有限公司 一种抗侵蚀钢包长水口的制备方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107673740A (zh) * 2017-11-09 2018-02-09 平定县冠窑砂器陶艺有限公司 一种多功能茶器及其制备方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
CN110386817A (zh) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 抗等离子体腐蚀陶瓷及制备方法
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU868881A1 (ru) * 1980-01-11 1981-09-30 Московский Ордена Ленина,Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Государственный Университет Им.М.В.Ломоносова Материал дл вторичноэлектронных катодов
US4507394A (en) * 1982-12-24 1985-03-26 Ngk Insulators, Ltd. High electric resistant zirconia and/or hafnia ceramics
JPS6131352A (ja) 1984-07-20 1986-02-13 富山県 感湿素子の後処理方法
US4656455A (en) 1984-07-20 1987-04-07 Toyama Prefecture Humidity-sensing element
US4906524A (en) 1987-05-29 1990-03-06 Orient Watch Co., Ltd. Surface-coated article and a method for the preparation thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
WO1999009587A2 (en) 1997-08-13 1999-02-25 Applied Materials, Inc. Method of etching copper for semiconductor devices
US6429400B1 (en) 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
TW503263B (en) * 1997-12-03 2002-09-21 Matsushita Electric Works Ltd Plasma processing apparatus and method
JP3180092B2 (ja) 1997-12-03 2001-06-25 松下電工株式会社 プラズマ処理システム及びプラズマ処理方法
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
JP2000012666A (ja) 1998-06-19 2000-01-14 Taiheiyo Cement Corp 静電チャック
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3241350B2 (ja) 1999-09-28 2001-12-25 太平洋セメント株式会社 導電性セラミックスおよびその製造方法
JP2001023908A (ja) 1999-07-07 2001-01-26 Texas Instr Japan Ltd 真空処理装置
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
DE19955134A1 (de) 1999-11-17 2001-05-23 Sms Demag Ag Rekuperatorbrenner
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
WO2001043965A1 (en) 1999-12-14 2001-06-21 The Penn State Research Foundation Thermal barrier coatings and electron-beam, physical vapor deposition for making same
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP2001203256A (ja) 2000-01-19 2001-07-27 Taiheiyo Cement Corp ウェハ保持具
US6521046B2 (en) 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
JP2001244246A (ja) 2000-02-29 2001-09-07 Taiheiyo Cement Corp フォーカスリング
JP2001322871A (ja) 2000-03-07 2001-11-20 Shin Etsu Chem Co Ltd 希土類元素を含有する酸化物焼結体およびその製造方法
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP3967093B2 (ja) 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP3479633B2 (ja) * 2000-07-21 2003-12-15 日本特殊陶業株式会社 セラミックボール、ボールベアリング、ベアリング付きモータ、ハードディスク装置、ポリゴンスキャナ及びセラミックボールの製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
US6730413B2 (en) * 2001-07-31 2004-05-04 General Electric Company Thermal barrier coating
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP2003257321A (ja) 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP3847198B2 (ja) 2002-03-27 2006-11-15 京セラ株式会社 静電チャック
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP2004292270A (ja) 2003-03-27 2004-10-21 Kyocera Corp 耐食性部材及びその製造方法
US20040245098A1 (en) 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US7141522B2 (en) * 2003-09-18 2006-11-28 3M Innovative Properties Company Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US20050123288A1 (en) 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
KR100855531B1 (ko) * 2004-04-13 2008-09-01 어플라이드 머티어리얼스, 인코포레이티드 전기 도금된 이트륨 함유 코팅을 갖는 프로세스 챔버 요소
JP4780932B2 (ja) 2004-05-25 2011-09-28 京セラ株式会社 耐食性部材とその製造方法および半導体・液晶製造装置用部材
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP5005317B2 (ja) 2005-10-31 2012-08-22 コバレントマテリアル株式会社 透光性酸化イットリウム焼結体及びその製造方法
US7608553B2 (en) * 2005-10-31 2009-10-27 Covalent Materials Corporation Transparent rare-earth oxide sintered body and manufacturing method thereof
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI483291B (zh) 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity

Also Published As

Publication number Publication date
US8871312B2 (en) 2014-10-28
JP2009035469A (ja) 2009-02-19
US20130022838A1 (en) 2013-01-24
SG149811A1 (en) 2009-02-27
EP2030961A2 (en) 2009-03-04
EP2030961A3 (en) 2009-08-12
US20090036292A1 (en) 2009-02-05
KR20090013645A (ko) 2009-02-05
CN104710178A (zh) 2015-06-17
US8367227B2 (en) 2013-02-05
KR100934516B1 (ko) 2009-12-31
CN101357846A (zh) 2009-02-04
TW200906759A (en) 2009-02-16
JP2013063904A (ja) 2013-04-11

Similar Documents

Publication Publication Date Title
TWI361177B (en) Plasma-resistant ceramics with controlled electrical resistivity
CN113652669B (zh) 通过原子层沉积获得的多层抗等离子体涂层
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
KR101491437B1 (ko) 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
TWI270109B (en) Semiconductor processing components and semiconductor processing utilizing same
CN107964650A (zh) 腔室部件、抗等离子体盖或喷嘴及制造制品的方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees