KR100934516B1 - 전기 비저항이 제어된 플라즈마-내성 세라믹 - Google Patents

전기 비저항이 제어된 플라즈마-내성 세라믹 Download PDF

Info

Publication number
KR100934516B1
KR100934516B1 KR1020070095451A KR20070095451A KR100934516B1 KR 100934516 B1 KR100934516 B1 KR 100934516B1 KR 1020070095451 A KR1020070095451 A KR 1020070095451A KR 20070095451 A KR20070095451 A KR 20070095451A KR 100934516 B1 KR100934516 B1 KR 100934516B1
Authority
KR
South Korea
Prior art keywords
oxide
mol
ceramic
oxides
plasma
Prior art date
Application number
KR1020070095451A
Other languages
English (en)
Other versions
KR20090013645A (ko
Inventor
선 와이. 제니퍼
콜린즈 에스. 케네쓰
듀안 렌-관
샤치 센
그라베즈 토마스
히 자오밍
유안 지
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090013645A publication Critical patent/KR20090013645A/ko
Application granted granted Critical
Publication of KR100934516B1 publication Critical patent/KR100934516B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/495Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on vanadium, niobium, tantalum, molybdenum or tungsten oxides or solid solutions thereof with other oxides, e.g. vanadates, niobates, tantalates, molybdates or tungstates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • C04B2235/3222Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • C04B2235/3255Niobates or tantalates, e.g. silver niobate
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/40Metallic constituents or additives not added as binding phase
    • C04B2235/404Refractory metals
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/76Crystal structural characteristics, e.g. symmetry
    • C04B2235/762Cubic symmetry, e.g. beta-SiC
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • C04B2235/81Materials characterised by the absence of phases other than the main phase, i.e. single phase materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31Surface property or characteristic of web, sheet or block

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

부식성/침식성 플라즈마를 이용하는 반도체 프로세싱 조건하에서 부식/침식에 대해 내성을 가지는 특정 세라믹 물질이 제공된다. 부식성 플라즈마는 할로겐-함유 플라즈마일 수 있다. 특정 세라믹 물질은 플라즈마 아아크 발생 가능성을 억제하는 제어된 전기 비저항을 제공하도록 개선된다.

Description

전기 비저항이 제어된 플라즈마-내성 세라믹{PLASMA―RESISTANT CERAMICS WITH CONTROLLED ELECTRICAL RESISTIVITY}
본 출원은 이하에 기재된 일련의 출원들과 관련된 것으로서, 그 일련의 출원들의 발명자는 동일하다. 이하에 나열된 모든 출원들은 반도체 프로세싱 장치에 유용한 플라즈마-내성 표면을 제공하기 위해 세라믹을 포함하는 이트륨-산화물을 이용하는 것에 관한 것이다. 관련 출원들은 Sun 등의 명의로 2007년 4월 27일자로 출원되어 계류중인 "Method of Reducing The Erosion Rate Of Semiconductor Processing Apparatus Exposed To Halogen-Containing Plasmas"라는 명칭의 미국 특허 출원 제 11/796,210호; Sun 등의 명의로 2007년 4월 27일자로 출원되어 계류중인 "Method And Apparatus Which Reduce The Erosion Rate Of Surfaces Exposed To Halogen-Containing Plasmas" 라는 명칭의 미국 특허 출원 제 11/796,211 호; Sun 등의 명의로 2004년 7월 22일자로 출원되어 계류중인 "Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus"라는 명칭의 미국 특허 출원 제 10/898,113 호; Sun 등의 명의로 2004년 8월 13일자로 출원되어 계류중인 "Gas Distribution Plate Fabricated From A Solid Yttrium Oxide-Comprising Substrate"라는 명칭의 미국 특허 출원 제 10/918,232 호; Sun 등의 명의로 2002년 2월 14일자로 출원되어 계류중인 "Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers"라는 명칭의 미국 특허 출원 제 10/075,967 호로서, 2004년 8월 17일자로 미국 특허 제 6,776,873 호로 허여된 특허를 포함한다. 위에 나열된 출원들의 분할 출원 및 계속 출원인 추가적인 관련 출원은: 미국 특허 출원 제 10/898,113 호의 분할 출원으로서 Wangetal 명의로 2006년 11월 10일자로 출원되어 계속 중인 "Cleaning Method Used In Removing Contaminants From The Surface Of An Oxide or Fluoride Comprising a Group III Metal"이라는 명칭의 미국 특허 출원 제 11/595,484 호; 및 미국 특허 출원 제 10/918,232 호의 계속 출원으로서 Wang 등의 명의로 2006년 11월 3일자로 출원되어 계속 중이 "Cleaning Method Used In Removing Contaminants From A Solid Yttrium Oxide-Containing Substrate"라는 명칭의 미국 특허 출원 제 11/592,905 호를 포함한다. 이러한 특허들 및 출원들의 모든 기재내용은 본 명세서에 참조로서 포함된다.
본 발명의 실시예는 반도체 프로세싱 장치에 존재하는 종류의 플라즈마에 대해 높은 내성을 가지는 고용체(solid solution) 세라믹으로 주로 이루어진 특정 이트륨 산화물-함유 세라믹에 관한 것이다.
이하에서는, 본 발명의 실시예에 관한 배경 기술을 설명한다. 본 항목에 기재된 배경 기술이 종래 기술을 법적으로 구성한다는 어떠한 명백한 또는 암시적인 의도도 없음을 밝힌다.
부식(침식을 포함)에 대한 내성은 부식성 환경이 존재하는 반도체 프로세싱 장치에서 이용되는 장치 구성요소 및 라이너에서 요구되는 중요한 특성이다. 플라즈마 화학기상증착(PECVD) 및 물리기상증착(PVD)을 포함하는 대부분의 반도체 프로세싱 분위기에 부식성 플라즈마가 존재하지만, 가장 부식적인 플라즈마 분위기는 프로세싱 장치의 세척에 이용되는 분위기 및 반도체 기판 에칭에 이용되는 분위기이다. 이는 특히 고-에너지 플라즈마가 존재하고 화학적 반응과 조합되어 그 분위기내에 존재하는 부품의 표면에 작용하는 경우에 특히 그러하다. 장치 부품 표면 또는 프로세스 챔버 라이너 표면의 감소된 화학적 반응성은, 심지어는 플라즈마가 없는 경우에도, 부식성 가스가 프로세스 장치 표면과 접촉할 때 중요한 특성이 된다.
전자 소자 및 마이크로-전기-기계적 시스템(micro-electro-mechanical system; MEMS)의 제조에 이용되는 프로세싱 챔버내에 존재하는 프로세스 챔버 라이너 및 구성 장치는 주로 알루미늄 및 알루미늄 합금으로 제조된다. 부식성 분위기로부터의 보호를 제공하기 위해, (챔버내에 존재하는) 프로세스 챔버 및 구성 장치의 표면들은 대부분 양극처리된다. 그러나, 양극처리 층의 완전성(integrity)은 알루미늄 및 알루미늄 합금내의 불순물에 의해 열화(劣化)될 수 있으며, 그에 따라 부식이 조기에 일어나기 시작하고 보호 코팅의 수명을 단축시킬 수 있다. 알루미늄 산화물의 플라즈마에 대한 내성은 일부 다른 세라믹 물질과 비교할 때 바람직하지 못하다. 결과적으로, 여러가지 조성의 세라믹 코팅이 전술한 알루미늄 산화물 층을 대체하여 이용되고 있으며; 그리고 몇몇 경우에, 양극처리된 층의 표면에 걸 쳐 사용되어 하부의 알루미늄계 물질의 보호를 개선하기도 한다.
이트륨 산화물은 반도체 소자의 제조에 이용되는 종류의 할로겐-함유 플라즈마에 노출되는 알루미늄 및 알루미늄 합금 표면에 대한 우수한 보호를 제공하는 세라믹 물질이다. 이트륨 산화물은 프로세스 부품 표면 또는 고순도 알루미늄 합금 프로세스 챔버 표면의 양극처리된 표면에 걸쳐 이용되고 도포되어 우수한 부식 보호 기능을 제공한다(예를 들어, 전술한 바와 같이 Sun 등에게 허여된 미국 특허 제 6,777,873 호). 보호 코팅은 예를 들어 스프레이 코팅, 물리기상증착(PVD), 또는 화학기상증착(CVD)과 같은 방법을 이용하여 도포될 수 있을 것이다.
Al2O3의 필름 또는 Al2O3 및 Y2O3의 필름이 높은 내식성 및 절연 특성을 필요로 하는 챔버내의 노출된 부재 표면 및 프로세싱 챔버의 내측 벽 표면에 형성된다. 예시적인 적용예에서, 챔버의 기본 물질이 세라믹 물질(Al2O3, SiO2, AlN, 등), 알루미늄, 또는 스테인리스 스틸, 또는 기타 금속 및 금속 합금으로 이루어질 수 있으며 그러한 기본 물질상에는 필름이 스프레이될 수 있다. 필름은 Y2O3와 같은 주기율표상의 Ⅲ-B 원소의 화합물로 제조될 수 있다. 필름은 실질적으로 Al2O3 및 Y2O3로 구성된다. 스프레이된 이트륨-알루미늄-가닛(garnet)(YAG) 필름 역시 예로 들 수 있을 것이다. 스프레이된 필름 두께는 예를 들어 50 ㎛ 내지 300 ㎛ 이다.
할로겐-함유 플라즈마를 이용하는 반도체 프로세싱 조건하에서 내식성을 가지는 특별한 소결 세라믹 물질이 개발되었다. 이러한 특별한 물질은 반도체 프로세싱 장치에서 종래에 이용되어 왔던 소결된 세라믹 물질과 비교할 때 플라즈마에 대한 내성 및 적합한 기계적 특성을 가지도록 개선되었다. 물질의 전기 비저항 특성(플라즈마 프로세싱 챔버내에서 영향을 미치는 특성이다)이 주요 챔버 부품의 요건을 충족시키도록, 소결된 세라믹 물질의 전기적 특성이 조정되었다. 이러한 전기 비저항 특성 요건은 플라즈마에 대한 낮은 내성 특성을 가지는 물질에서만 충족되었었다. 본원 발명의 특별한 물질(플라즈마에 대한 내성, 기계적 특성, 및 전기 비저항 특성의 다양한 조합을 제공한다)은 종래에 이용되고 있는 반도체 프로세싱 장치의 물질들과 충분히 유사하다. 유사한 전기적 특성의 하나의 이점은, 현재 반도체 소자 제조에 이용되고 있는 범용적(general) 프로세싱 조건 또는 프로세스 레시피(recipes)를 변경할 필요가 없다는 것이다.
반도체 프로세싱 챔버 부품의 제조에 이용되는 특별한 소결 세라믹 물질은 예를 들어 열적/프레임 스프레잉(thermal/flame spraying) 또는 플라즈마 스프레잉, 물리기상증착(예를 들어, 특별한 소결 세라믹 물질로 이루어진 타겟으로부터의 스퍼터링) 또는 화학기상증착을 이용하여 하부 물질상에 도포될 수 있다. 대안적으로, 코팅을 이용하는 경우 보다 바람직한 경우에 예를 들어 몰딩 프로세스를 이용하여 소결 세라믹 물질로 중실(solid) 부품을 제조할 수도 있을 것이다.
관심의 대상이 되는 소결된 세라믹 물질은 이트륨 산화물계 고용체를 포함한다. 일 실시예에서, 소결된 이트륨 산화물-함유 세라믹 물질의 전기 비저항이 변경된다. 하나의 예시적인 실시예 기술에서, 다른 산화물들이 이트륨 산화물에 첨가되고, 혼합물이 소결된다. 다른 산화물들의 양 이온들이 Y3+ 이온과 다른 원자가(valence)를 가져 Y 공극(vacancy)을 형성함으로써, 전기 비저항의 감소를 유도한다. 그러한 다른 산화물들의 예를 들면, CeO2, TiO2, ZrO2, HfO2, 및 Nb2O5 가 포함되며, 이는 예시적인 것이지 한정적인 것이 아니다. 다른 대안적인 예시적 실시예 기술에서, 다른 산화물들이 이트륨 산화물에 첨가되고, 그 혼합물이 소결된다. 다른 산화물들의 양 이온들은 Y3+ 이온과 동일한 원자가를 가지나, Y3+ 이온과 상당히 다른 반지름을 가진다. 전구체(precursor) 혼합물이 환원성(reductive) 분위기에서 소결된다. 결과적으로, O 공극이 초래되고, 이는 전기 비저항을 감소시킨다. Y3+ 이온과 동일한 원자가를 가지나 반지름이 크게 다른 산화물들의 예를 들면, Nd2O3, Sm2O3, Sc2O3, Yb2O3, Er2O3, Ho2O3, 및 Dy2O3 가 있으며, 이들로 제한되는 것은 아니다.
통상적인 이트륨-함유 소결 세라믹 보다 낮은 비저항을 요구하는 반도체 프로세싱 챔버내의 주요 부품들 중 하나는 정전기 척(chuck)이다. 정전기 척 디자이너들은, 정전기 척에서의 플라즈마 아아크 발생(arcing) 가능성을 줄이기 위해, 정전기 척의 유전체 표면의 비저항이 반도체 프로세싱 조건하에서 약 109 내지 1011 Ω·cm 가 되도록 권장한다. 이러한 비저항 범위는 약 10-9 내지 10-7 S/m 범위의 전도도와 균등하다. 이는 예를 들어 10-13 S/m의 전도도를 나타내는 벌크(bulk) Si3N4 보다 상당히 낮은 비저항이다. 승강 핀(lift pins)과 같이 프라즈마 아아크 발생이 문제가 될 수 있는 다른 내식성 표면들의 경우에, 정전기 척에서 요구되는 것과 같은 범위의 비저항이 도움이 된다. 프로세스 챔버 라이너와 같은 내식성 표면의 경우에, 비저항은 다소 높을 수 있고, 약 1014 Ω·cm 이상도 허용될 수 있다.
하나 이상의 고용체가 전기적으로 개선된 내식성 물질로서 유용한 소결 세라믹 물질의 절반 이상의(major) 몰 %(molar %)를 형성한다. 고용체를 형성하기 위해 이용되는 두 개의 산화물이 존재하는 경우에, 이러한 산화물들은 통상적으로 다른 산화물과 조합된 이트륨 산화물을 포함하며, 상기 다른 산화물은 통상적으로 지르코늄 산화물, 세륨 산화물, 하프늄 산화물, 니오븀 산화물, 및 그 조합으로 이루어진 그룹으로부터 선택된다. 스칸듐 산화물, 네오디뮴 산화물, 사마륨 산화물, 이테르븀 산화물, 에르븀 산화물, 및 세륨 산화물(그리고 기타 란탄계열 원소 산화물)과 같은 다른 산화물의 이용이 몇몇 경우에 허용될 것이다.
하나 이상의 고용체를 형성하기 위해 둘 이상의 산화물이 이용되는 경우에, 그러한 산화물들은 이트륨 산화물, 지르코늄 산화물, 및 하나 이상의 기타 산화물을 통상적으로 포함하며, 이때 상기 기타 산화물은 하프늄 산화물, 스칸듐 산화물, 네오디뮴 산화물, 니오븀 산화물, 사마륨 산화물, 이테르븀 산화물, 에르븀 산화 물, 세륨 산화물, 및 그 조합으로 이루어진 그룹으로부터 선택된다. 다른 란탄계열 원소도 특정의 경우에 이용할 수 있을 것이다. 소결 세라믹이 다수의 고용체 상(phases)을 포함하는 경우에, 통상적으로는 두개의 상 또는 세개의 상이 존재할 것이다. 하나 이상의 고용체-상에 더하여, 화합물 또는 원소 금속인 다른 상들이 소결 세라믹내에 존재할 수도 있다.
제한적이 아닌 예시적인 예로서, 2 개의 전구체 산화물을 이용하는 소결 세라믹과 관련하여, 실험을 통해 고용체를 포함하는 소결 세라믹이 상온에서 비저항이 약 107 내지 약 1015 Ω·cm인 소결 산화물을 생성한다는 것을 확인하였고, 이때 상기 소결 세라믹에서는 이트륨 산화물이 약 40 몰 % 내지 100 몰 % 미만으로 존재하고, 지르코늄 산화물이 0 몰 % 초과 내지 약 60 몰 % 로 존재한다. 이트륨이 0 몰 % 초과 내지 100 몰 % 미만으로 존재하고, 세륨 산화물이 0 몰 % 초과 내지 10 몰 % 이하로 존재하는 전구체 산화물들의 조합으로부터 동일한 범위의 비저항이 얻어질 것으로 예상된다. 또한, 이트륨이 0 몰 % 초과 내지 100 몰 % 미만으로 존재하고, 하프늄 산화물이 0 몰 % 초과 내지 100 몰 % 미만으로 존재하는 전구체 산화물들의 조합으로부터 약 109 내지 약 1011 Ω·cm의 비저항이 얻어질 것으로 예상된다. 또한, 이트륨이 약 48 몰 % 내지 100 몰 % 미만으로 존재하고, 니오븀 산화물이 0 몰 % 초과 내지 약 52 몰 % 이하로 존재하는 전구체 산화물들의 조합으로부터 약 109 내지 약 101 Ω·cm의 비저항을 나타내는 소결된 세라믹이 얻어질 수 있을 것으로 예상된다.
제한적이 아닌 예시적인 예로서, 둘 이상의 전구체 산화물들을 이용할 수 있게 하는 소결 세라믹과 관련하여, 일 실시예에서, 소결 세라믹이 고용체를 포함하는 경우에 그 소결 세라믹은 약 107 내지 약 1015 Ω·cm의 비저항을 나타낼 것이며, 이때 상기 소결 세라믹 물질은 산화물들로부터 형성되며, 이때: 이트륨 산화물이 약 40 몰 % 내지 100 몰 % 미만으로 존재하고; 지르코늄 산화물이 0 몰 % 초과 내지 약 50 몰 % 로 존재하며; 스칸듐 산화물이 약 0 몰 % 초과 내지 100 몰 % 미만으로 존재한다.
다른 실시예에서, 소결 세라믹이 고용체를 포함하는 경우에 그 소결 세라믹은 약 107 내지 약 1015 Ω·cm의 비저항을 나타낼 것이며, 이때 상기 소결 세라믹 물질은 산화물들로부터 제조되며, 이때: 이트륨 산화물이 약 40 몰 % 내지 10 몰 % 미만으로 존재하고; 지르코늄 산화물이 0 몰 % 초과 내지 약 50 몰 % 로 존재하며; 하프늄 산화물이 약 0 몰 % 초과 내지 100 몰 % 미만으로 존재한다.
또 다른 실시예에서, 소결 세라믹이 고용체를 포함하는 경우에 그 소결 세라믹은 약 107 내지 약 1015 Ω·cm의 비저항을 나타낼 것이며, 이때 상기 소결 세라믹 물질은 산화물들로부터 제조되며, 이때: 이트륨 산화물이 약 40 몰 % 내지 100 몰 % 미만으로 존재하고; 지르코늄 산화물이 0 몰 % 초과 내지 약 45 몰 % 로 존재하며; 니오븀 산화물이 약 0 몰 % 초과 내지 약 80 몰 % 이하로 존재한다.
일 실시예에서, 소결된 세라믹 물질이 3 개의 상을 함유하며, 그러한 3 개의 상은: 소결 세라믹 물질의 약 60 몰 % 내지 약 90 몰 %를 구성하는 Y2O3-ZrO2-Nb2O5를 포함하는 제 1 상 고용체; 소결 세라믹 물질의 약 5 몰 % 내지 약 30 몰 %를 구성하는 Y3NbO7의 제 2 상 고용체; 그리고 소결된 세라믹 물질의 약 1 몰 % 내지 약 10 몰 % 를 구성하는 원소 형태의 Nb의 제 3 상을 포함한다.
이러한 3 개의 상을 포함하는 소결된 세라믹 물질의 다른 실시예에서, 이트륨 산화물은 약 60 몰 % 내지 약 75 몰 %로 존재하고; 지르코늄 산화물은 약 15 몰 % 내지 약 25 몰 %로 존재하며, 니오븀 산화물은 약 5 몰 % 내지 약 15 몰 %로 존재한다.
전술한 종류의 Y2O3-ZrO2-MxOy 물질로부터 형성된 소결 세라믹 테스트 시편에서, CF4/CHF3 플라즈마에 76 시간동안 노출된 후에 침식(erosion) 속도는 0.16 ㎛/시간 이하로 측정되었으며, 이때 이러한 실시예에서 M은 스칸듐, 하프늄, 니오븀, 또는 네오디뮴이다. M이 세륨, 사마륨, 에르븀, 또는 기타 란탄계열 원소인 경우에도 유사한 침식 속도가 예상된다. 플라즈마는 Applied Materials, Inc.가 제공하는 Enabler for Trench Etch 플라즈마 프로세싱 챔버내에서 형성되었다. 플라즈마 소오스(source) 전력은 2000W 이하이고, 프로세스 챔버 압력은 10 -1500 mTorr이었으며, 기판 온도는 40℃ 이었다. 이러한 0.16 ㎛/시간 이하의 침식 속도는 순수 Y2O3의 침식 속도와 균등한 것이다. 따라서, 소결된 세라믹의 침식 속도는 낮은 비저항의 소결 세라믹을 제공하기 위한 소결 세라믹의 개선에 의해서 영향을 받지 않았다.
전술한 세라믹 물질이 소위 당업계에 공지된 소결 기술을 이용하여 산화물로부터 형성된 소결 세라믹이 었지만, 다른 실시예에서, 앞서서 나열된 시작 물질 조성은 소정의 코팅 기술을 이용하여 예를 들어 알루미늄, 알루미늄 합금, 스테인리스 스틸, 알루미나, 알루미늄 질화물 및 석영을 포함하는 다양한 금속 및 세라믹 기판의 표면에 걸쳐 세라믹 코팅을 형성하는데 이용될 수 있다. 그러한 코팅 기술은, 예시적인 예로서, 플라즈마 스프레잉, 열적/프레임 스프레잉; 산화물을 소결함으로써 형성된 스퍼터링 타겟으로부터의 물리기상증착; 또는 화학기상증착을 포함한다.
전술한 실시예들의 용이한 이해를 돕기 위해, 전술한 특정 실시예들에 대한 보다 구체적인 설명이 도면을 참조하여 이하에 기술된다. 그러나, 첨부 도면들은 단지 통상적인 실시예의 일부이며, 그에 따라 본 발명의 범위를 제한하는 것이 아님을 주지하여야 한다. 본 발명은 다른 균등한 효과의 실시예도 포함한다.
구체적인 설명에 대한 서문으로서, 본원 명세서에서 특별한 언급이 없으면 복수로서 표현하지 않았더라도 복수의 대상을 포함하는 것으로 이해하여야 할 것이다.
본 명세서에서, "약" 이라는 표현은 기재된 공칭 값(nominal value)이 ±10 % 이내에서 정확도를 가진다는 것을 의미한다.
이하에서는, 할로겐-함유 플라즈마를 이용하는 반도체 소자 프로세싱 조건하에서 내식성을 가지도록 개발된 특정 세라믹 물질을 설명한다. 몇몇 실시예에서, 특정된 물질은 플라즈마 내식성을 제공하기 위해 이전에 개발되었던 유사한 세라믹 물질과 비교할 때 낮은 전기 비저항을 갖도록 개선된 것이다. 감소된 전기 비저항은 반도체 프로세싱 챔버내의 여러 부품들에서의 아크 발생 가능성, 특히 플라즈마 아크 발생이 보다 더 문제가 되는 예를 들어 정전기 척의 표면 또는 기판 승강 핀에서의 아크 발생 가능성을 감소시키는데 도움이 된다. 과거에는, 부품 또는 부품의 적어도 표면이 알루미늄 질화물 또는 알루미늄 산화물로 제조되었으며, 이는 전기적 특성을 제공하기 위해 도핑될(doped) 수 있다. 이러한 물질은 원하는 전기적 특성을 제공하지만, 부식/침식 속도가 상대적으로 빨라, 특정 부품의 수명을 제한하며, 부품의 수리 및 교체를 위한 보다 잦은 중단을 필요로 한다.
또한, 플라즈마 프로세싱 반도체 장치 내의 기능 부품들 및 프로세스 챔버 라이너로서 이용되는 다양한 물질의 전기적 특성이 플라즈마의 거동에 영향을 미친다. 플라즈마의 거동 변화는 플라즈마 프로세싱 특성에 영향을 미치며, 그리고 그러한 영향이 클 때, 플라즈마 거동의 변화를 수용하기 위해 다른 프로세스 변수들을 변경할 필요가 있을 수도 있다. 소자 제조를 위한 프로세싱 변수들을 재작업(rework)하기 보다는, 허용가능한 전기적 특성을 가지는 내식성 세라믹 물질을 개발하는 것이 보다 실용적일 것이다. 허용되는 플라즈마 부식/침식 특성을 가지는 세라믹 물질들 중 일부만이 플라즈마와 접촉하는 부품에 유용한 희망 범위내로 전기 비저항 특성을 제어할 수 있도록 개선될 수 있을 것이다. 소위 당업자는, 본원 명세서의 기재내용으로부터, 세라믹 물질을 형성하기 위한 산화물 조합을 상대적으로 성공적으로 선택할 수 있을 것이다.
편리함을 이유로, 소결 세라믹을 이용하여, 원하는 전기적 특성을 가지며 허용가능한 할로겐 플라즈마 부식/침식 내성을 가지는 세라믹 물질을 개발하였다. 소결된 세라믹은 소위 당업계에 공지된 기술에 의해 생성되었다. 다른 실시예에서, 예를 들어 열적/프레임 스프레잉 또는 플라즈마 스프레잉을 이용하여, 동일한 일반적 조성을 가지며 허용가능한 할로겐 플라즈마 부식/침식 내성을 가지는 세라믹 물질이 알루미늄 또는 알루미늄 합금과 같은 하부 물질 상에 코팅으로 도포되었다. 대안적으로, 소결된 세라믹 물질을 이용하여 타겟을 제조할 수 있으며, 그러한 타겟은 특히 프로세스 챔버 라이너와 같이 보호용 세라믹 물질이 도포되어야 하는 장치가 대형 장치일 때 하부 물질에 세라믹 물질을 물리기상증착 방식으로 도포할 때 이용될 수 있을 것이다.
전술한 바와 같이, 관심의 대상이 되는 소결 세라믹 물질은 이트륨 산화물을 포함한다. 소결된 이트륨-함유 세라믹 물질의 비저항은 변경될 수 있을 것이다. 예시적인 하나의 기술에서, 하나 이상의 다른 산화물이 이트륨 산화물에 첨가되고 그 혼합물이 소결된다.
하나 이상의 다른 산화물의 양 이온들이 Y3+ 이온과 다른 원자가를 가져 Y 공극을 형성함으로써, 전기 비저항의 감소를 유도한다. 그러한 다른 산화물들의 예를 들면, CeO2, TiO2, ZrO2, HfO2, 및 Nb2O5 가 포함되며, 이는 예시적인 것이지 한정적인 것이 아니다. 다른 대안적인 예시적 기술에서, 하나 이상의 다른 산화물들이 이트륨 산화물에 첨가되고, 그 혼합물이 소결되나, 하나 이상의 다른 산화물의 양 이온들은 Y3+ 이온과 동일한 원자가를 가지나, Y3+ 이온과 상당히 다른 반지름을 가진다. 전구체(precursor) 혼합물이 환원성(reductive) 분위기에서 소결된다. 결과적으로, O 공극이 초래되고, 이는 전기 비저항을 감소시킨다. Y3+ 이온과 동일한 원자가를 가지나 반지름이 크게 다른 산화물들의 예를 들면, Nd2O3, Sm2O3, Sc2O3, Yb2O3, Er2O3, Ho2O3, 및 Dy2O3 가 있으며, 이들로 제한되는 것은 아니다.
수많은 예시적인 소결된 세라믹 물질이 현재까지 연구되고 있으며, 이하의 표 1은 개발되고 평가된 소결 세라믹 물질들의 일부를 기재하고 있다. 이러한 물질들의 평가에 대해서는 후술한다.
Figure 112007067992442-pat00001
* N/A = 이용 불가(not available)
** c-ss는 입방체(cubic) 산화이트륨 타입의 고용체를 의미함.
예 1
도 1은 본 발명의 예시적인 실시예에 따라 제조된 타입 A 및 타입 B 물질을 포함하는 다양한 세라믹 물질의 전기 비저항을 도시한 그래프(100)이다. 축(102)에 표시된 온도를 함수로 하여, 비저항을 축(104)에 표시하였다. 비저항은, ASTM D 1829-66 또는 JIS C2141에 따른 표준 테스트 조건을 이용하여, 공기 분위기내에서 그리고 1000V에서 측정되었다.
도 1에 도시된 곡선(106)은 표 1에 샘플 #4로 기재된 Nb2O5-함유 소결 세라믹 물질을 나타낸다. Nb2O5를 포함하는 소결 세라믹 물질과 관련하여, 도 3의 상태도에 도시된 바와 같은 추가적인 조성에 대해서도 허용가능한 비저항 값이 얻어질 수 있을 것으로 예상된다. 소결된 세라믹 물질은 3 개의 상을 포함하며, 그러한 3 개의 상은: 소결 세라믹 물질의 약 60 몰 % 내지 약 90 몰 %를 구성하는 Y2O3-ZrO2-Nb2O5를 포함하는 제 1 상 고용체; 소결 세라믹 물질의 약 5 몰 % 내지 약 30 몰 %를 구성하는 Y3NbO7의 제 2 상 고용체; 그리고 소결된 세라믹 물질의 약 1 몰 % 내지 약 10 몰 % 를 구성하는 원소 형태의 Nb의 제 3 상을 포함한다. 이러한 물질은 아아크 발생을 방지하기 위해서 낮은 비저항이 필요한 경우에 특히 유용하다. 그 비저항은 상온에서 약 1011 Ω·cm 및 200℃에서 약 108Ω·cm 보다 낮으며, 통상적인 반도체 프로세싱 조건에서 109 Ω·cm 범위의 비저항을 나타낼 것이다.
도 1에 도시된 Nb2O5-함유 소결 세라믹 물질의 일 실시예를 Nb2O5-ZrO2-Y2O3로 지칭한다. 도 3을 참조하면, 상태도의 한 영역을 "B"로 표시하였다. 이러한 표시는 소결된 세라믹 물질의 고용체 조성물이 약 55 몰 % 내지 약 80 몰 % 농도의 Y2O3, 약 5 몰 % 내지 약 25 몰 % 농도의 ZrO2, 그리고 약 5 몰 % 내지 약 25 몰 % 농도의 Nb2O5, HfO2, Nd2O3, 또는 Sc2O3 와 같은 첨가제를 포함한다는 것을 나타낸다.
예 2
도 1에 도시된 곡선(108)은 본 발명에 따라 제조되고 표 1에 샘플 #1로 기재된 HfO2-함유 소결 세라믹 물질을 나타낸다. 이러한 세라믹 물질은 Nb2O5-함유 물질 보다 높은 비저항을 나타내나, 정전기 척 또는 기판 승강 핀 보다 아크 발생이 덜 문제가 되는 반도체 프로세싱 장치 부품의 제조에 유용할 것이다.
예 3
도 1에 도시된 곡선(110)은 본 발명에 따라 제조되고 표 1에 샘플 #2로 기재된 Sc2O3-함유 소결 세라믹 물질을 나타낸다. 또한, 이 물질은 비저항 요건이 1011 Ω·cm인 용도에서 이용될 수 있을 것이다.
예 4( 비교예 )
도 1에 도시된 곡선(112)은 도 2의 상태도에 도시된 Y2O3-ZrO2-Al2O3 물질을 나타낸다. 이러한 물질은 제어된 비저항을 가지는 세라믹 물질에 대한 비교예로서 설명된 것이다. 이러한 소결된 세라믹 물질은 Y2O3 및 ZrO2로 형성된 고용체, 그리고 Y2O3 및 Al2O3 산화물로부터 형성된 화합물을 포함한다. 통상적인 소결된 세라믹 물질은 약 60 몰 % 내지 약 65 몰 % 농도의 Y2O3, 약 20 몰 % 내지 약 25 몰 % 농도의 ZrO2, 그리고 약 10 몰 % 내지 약 15 몰 % 농도의 Al2O3로부터 형성된다. 도 2의 상태도에서 영역 "A"로 도시되고 도 1에 도시된 Y2O3-ZrO2-Al2O3에 대한 그래프에 의해 표시되는 중심의(centered) 세라믹 물질의 일 실시예는: 입방체 산화이트륨 타입의 결정 구조를 가지는 약 60 몰 %의 고용체로서, 이때 c-Y2O3가 용매이고 ZrO2가 용질인, 고용체; 형석 타입의 결정 구조를 가지는 약 2 몰 %의 고용체로서, 이때 ZrO2가 용매이고 Y2O3가 용질인, 고용체; 그리고 약 38 몰 % YAM(Y4Al2O9) 화합물을 포함한다.
예 5( 비교예 )
도 1에 도시된 곡선(114)은 표 1의 샘플 #3으로 표시된 Nd2O3-함유 소결 세라믹 물질을 나타낸다. 이러한 물질은 아아크 발생을 방지하는데 필요한 요건을 충족시키지 못하였고, 본 발명을 구성하는 독창적인 세라믹 물질의 일부가 아닌 비교예로서 간주된다.
예 6( 비교예 )
도 1에 도시된 곡선(116)은 순수 Y2O3로 이루어진 소결된 세라믹에 대해 관찰된 전기 비저항을 나타낸다. 이러한 물질 역시 기준선(baseline)으로서 유용한 비교예인데, 이는 많은 반도체 장치 부품들이 순수 Y2O3로 제조되기 때문이다. 이러한 순수 Y2O3의 비저항과 비교하면, 본 발명에 의해 달성되는 전기 비저항이 상당히 개선되었다는 것을 알 수 있다.
또한, 도 1에 도시된 곡선(120)은 정전기 척을 제조하는데 있어서 일반적으로 이용되는 종류의 도핑된 알루미늄 질화물을 나타내며, 곡선(122)은 정전기 척 또는 낮은 전기 비저항을 필요로 하는 기타 반도체 프로세싱 장치를 제조하는데 이용되는 제 2의 도핑된 알루미늄 질화물을 나타낸다.
예 7
도 4는 많은 수의 소결 세라믹 테스트 시편에 대한 비저항 테스트 중에 인가되는 전압을 함수로 하는 전기 비저항을 도시한 그래프(400)이다. 비저항이 축(404)에 표시되고, 전압은 축(402)에 표시되었다. 테스트 온도는 상온(약 27℃)이었다. 이러한 그래프의 목적은 비저항 감소를 위해 제어된 본 발명의 내식성 세라믹 실시예와 현재 이용되고 있는 도핑된 알루미늄 질화물 세라믹 사이의 비저항 차이를 나타내기 위한 것이다. 도핑된 알루미늄 세라믹이 다소 낮은 비저항을 나타내지만, 그들의 부식 속도는 비저항 감소를 위해 개선된 이트륨 산화물-함유 세라믹의 부식 속도의 2배 이상에 달하였다.
특히, 도 4의 곡선(422)은 정전기 척을 제조하는데 있어서 현재 이용되고 있는 종류의 도핑된 알루미늄 질화물 세라믹을 나타낸다. 곡선(420)은 정전기 척 및 기타 낮은 비저항의 부품을 제조하는데 이용되는 다른 도핑된 알루미늄 질화물 세라믹을 나타낸다.
도 4의 곡선(406)은 표 1에 샘플 #4로 기재된 Nb2O5-함유 소결 세라믹 물질을 나타낸다. 이러한 비저항을 감소시키기 위해 개선된 물질을 포함하는 이트륨-산화물은 AlN-1로 식별되는 도핑된 알루미늄 질화물의 비저항에 매우 근접한 비저항을 나타낸다. 그러나, 도핑된 알루미늄 질화물의 부식 속도는 곡선(406)에 의해 표시되는 이트륨-산화물 함유 물질의 부식 속도 보다 10배 이상 빠르며, 이는 도 5의 막대 그래프(500)로부터 확인할 수 있다.
도 4의 곡선(408)은 표 1에서 샘플 #1로 기재된 HfO2-함유 소결 세라믹 물질을 나타낸다. 이러한 세라믹 물질은 Nb2O5-함유 물질 보다 높은 비저항을 나타내며, 플라즈마 아아크 발생이 일어나기 쉬운 부품들에 대한 상온에서의 권장 범위를 벗어나는 비저항을 나타낸다. 그러나, 도 1의 곡선(108)에 의해 도시되는 바와 같이, 몇몇 반도체 프로세싱 중에 도달하는 온도인 200℃에서, 비저항은 허용가능한 범위로 낮아진다.
도 4의 곡선(410)은 표 1의 샘플 #2로 기재된 Sc2O3-함유 소결 세라믹 물질을 나타낸다. 또한, 이러한 물질은, 프로세싱 온도가 200℃ 일 때, 비저항 요건이 1011 Ω·cm인 용도에서 사용될 것이다.
(산화이트륨-함유 고용체를 포함하는 제어된 전기 비저항의 세라믹에 대비한) 비교를 위해, 도 4의 곡선(412)은 도 2에 도시된 Y2O3, ZrO2, 및 Al2O3을 포함하는 세라믹 타입 "A" 물질을 나타낸다. 도 1에 도시된 그러한 타입 "A" 물질의 일 실시예는 용매로서의 c-Y2O3 및 용질로서의 ZrO2를 가지는 약 60 몰 % 입방체 산화이트륨 조직; 그리고 약 38 몰 % YAM(Y4Al2O9)을 화합물을 포함한다. Type A HPM 물질이 허용가능한 내식성 및 우수한 기계적 특성을 나타내지만, 전기 비저항은 바람직한 최대 1011 Ω·cm 범위 보다 상당히 높다. 이는 도 1에서 곡선(112)에 의해 도시된 바와 같이 200 ℃에서도 그러하다. 이러한 물질은 전기 비저항이 개선된 내식성 세라믹에 대한 실시예들에 포함되지 않는다.
비교를 위해, 표 1의 샘플 #3으로 기재된 Nd2O3-함유 소결 세라믹 물질을 도 4에 곡선(414)으로 도시하였다. 이러한 물질은 아아크 발생을 방지하는데 필요한 요건을 충족시키지 못하며, 본 발명을 구성하는 독창적인 세라믹 물질의 일부가 될 수 없는 비교예로서 간주된다.
비교를 위해, 순수 Y2O3로 이루어진 소결 세라믹 물질을 관찰한 전기 비저항 특성을 도 4에 곡선(416)으로 도시하였다. 이러한 물질 역시 비교예이며, 그 물질은 기준선으로서 유용한데, 이는 수많은 반도체 장치 부품이 순수 Y2O3로 제조되기 때문이다. 순수 Y2O3의 비저항과 비교할 때, 본 발명에 의해 전기 비저항과 관련한 상당한 개선이 이루어졌다는 것을 확인할 수 있을 것이다.
예 8
도 5는, 플라즈마에 노출된 다양한 소결 세라믹 물질의 경우에, 순수 Y2O3에 대해 노멀라이즈된 예시적인 침식 속도를 나타내는 막대 그래프(500)을 도시한다. 플라즈마는 CF4 CHF3 소오스 가스로부터 생성된다. 플라즈마 프로세싱 챔버는 Applied Materials, Inc.가 제공하는 Enabler for Trench Etch 내에서 형성되었다. 플라즈마 소오스(source) 전력은 2000W 이하이고, 프로세스 챔버 압력은 10-500 mTorr이었으며, 기판 온도는 약 40℃ 이었고, 시간은 76 시간 동안 이루어졌다. 축선(502)은 침식에 대한 비저항이 테스트된 다양한 물질을 나타낸다. Y2O3-10ZrO2에 의해 식별되는 시편은 10 중량부(parts by weight)의 ZrO2와 조합된 100 중량부의 Y2O3에 의해 형성된 소결된 고용체 세라믹 테스트 시편을 나타낸다. Nb2O5-, 또는 HfO2-, 또는 Nd2O3-, 또는 Sc2O3- 로서 식별되는 테스트 시편은 그러한 각각의 물질을 포함하는 것으로 기재된 표 1의 조성물을 나타낸다. 축선(504)에 도시된 바와 같은 침식 속도의 비교로부터, 비저항이 개선된 이트륨 산화물-함유 소결 세라믹 물질의 침식 속도가 순수 이트륨 산화물에 대한 침식 속도와 실질적으로 동일하다는 것을 알 수 있다. 또한, 비저항이 개선된 이트륨 산화물-함유 소결 세라믹 물질의 침식 속도는 Al2O3, AlN, ZrO2, 석영, W/ZrC, B4C 및 SiC, 그리고 반도체 프로세싱 장치 내부 부품 및 반도체 프로세싱 챔버 라이너에 대해 할로겐 플라즈마 내식성을 제공하기 위해 사용되는 기타 세라믹 물질의 침식 속도 보다 상당히 우수하다.
전술한 예들을 제공하는 실험으로부터 얻어진 결과 및 다른 참조 문헌을 기초로, 플라즈마 누설 전류에서의 UV 복사의 영향을 평가하는 계산이 이루어졌다. (반도체 프로세싱에서 이용되는 종류의) 플라즈마 분위기내에서의 UV 복사는 전기 비저항이 개선된 이트륨 산화물-함유 소결 세라믹 물질의 누설 전류에 영향을 미치지 않았다.
Nb2O5-타입 B 소결 세라믹 물질 및 HfO2- 타입 B 소결 세라믹 물질에서 누설 전류에 미치는 193 nm UV 복사의 영향에 대한 조사에 따르면, 이러한 물질들의 전기적 성능은 그러한 UV 조사(irradiation)에 의해 영향을 받지 않아야 한다는 것을 나타낸다.
플라즈마와 접촉하는 반도체 프로세싱 장치로서 유용한 세라믹-함유 물품(article)은, 예시적인 예로서, 덮개(lid), 라이너, 노즐, 가스 분배판, 샤워헤드, 정전기 척 부품, 쉐도우 프레임(shadow frame), 기판-유지 프레임, 프로세싱 키트, 및 챔버 라이너를 포함한다.
전술한 예시적인 실시예들은 본 발명의 범위를 제한하기 위한 것이 아니며, 소위 당업자는 본원 명세서의 기재로부터 특허청구범위에 기재된 청구대상에 대응하는 실시예들을 인식할 수 있을 것이다.
도 1은 여러 물질에 대한 전기 비저항을 온도 함수로서 도시한 그래프(100)로서, 이때 인가되는 전압은 공기 분위기에서 1000 V인, 그래프이다.
도 2는 Y2O3-ZrO2-Al2O3의 상태도(200)로서, 그 상태도에서 영역 "A"로 표시된 특정 물질의 조성을 참조로서 도시하며, 이때 "A" 타입의 세라믹 물질은 할로겐 플라즈마에 의한 침식에 대해 우수한 내성을 나타내는 세라믹 조성물인, 상태도이다.
도 3은 Y2O3-ZrO2-Nb2O5의 상태도(300)로서, 그 상태도에서 영역 "B"로 표시된 특정 물질의 조성을 참조로서 도시하며, 이때 "B" 타입의 세라믹 물질은 할로겐 플라즈마에 의한 침식에 대해 내성을 가질 뿐만 아니라 예를 들어 "A" 타입 세라믹 물질 보다 낮은 제어된 비저항을 나타내는 세라믹 조성물인, 상태도이다.
도 4는 여러 물질에 대한 전기 비저항을 인가 전압의 함수로서 도시한 그래프(400)로서, 이때의 측정은 공기 분위기에서 그리고 상온(약 27 ℃)에서 이루어진, 그래프이다.
도 5는 순수 이트륨 산화물의 침식 속도에 대해 노멀라이즈된(normalized), CF4 및 CHF3 소오스 가스로부터 생성된 플라즈마에 노출된 다양한 소결 세라믹 물질의 평균적인 예시적 침식 속도를 도시한 막대 그래프(500)이다.

Claims (22)

  1. 반도체 프로세싱에서 사용되는 할로겐-함유 플라즈마에 의한 침식에 대해 내성을 가지며 350℃로부터 상온까지의 온도에서 107 내지 1015 Ω·cm의 제어된 전기 비저항을 나타내는 세라믹-함유 물품(article)으로서:
    상기 세라믹-함유 물품은 이트륨 산화물을 포함하는 하나 이상의 고용체를 포함하는 표면을 가지며,
    이트륨 산화물을 포함하는 상기 하나 이상의 고용체가 지르코늄 산화물, 하프늄 산화물, 스칸듐 산화물, 니오븀 산화물, 사마륨 산화물, 이테르븀 산화물, 에르븀 산화물, 세륨 산화물, 및 그 조합으로 이루어진 그룹으로부터 선택된 하나 이상의 산화물도 포함하는
    세라믹-함유 물품.
  2. 제 1 항에 있어서,
    다른 란탄계열 원소 산화물이 상기 세라믹-함유 물품 표면에 포함되는
    세라믹-함유 물품.
  3. 제 1 항에 있어서,
    이트륨 산화물 및 다른 산화물을 포함하는 하나 이상의 고용체를 형성하기 위해 둘 이상의 산화물이 이용되며,
    상기 다른 산화물이 지르코늄 산화물, 세륨 산화물, 하프늄 산화물, 및 니오븀 산화물로 이루어진 그룹으로부터 선택되는
    세라믹-함유 물품.
  4. 제 3 항에 있어서,
    상기 스칸듐 산화물, 사마륨 산화물, 이테르븀 산화물, 에르븀 산화물, 또는 기타 란탄계열 원소 산화물이 상기 세라믹-함유 물품 표면에 포함되는
    세라믹-함유 물품.
  5. 제 1 항에 있어서,
    이트륨 산화물을 포함하는 고용체를 형성하기 위해 둘을 초과하는 전구체 산화물이 이용되며,
    상기 전구체 산화물은 지르코늄 산화물 그리고, 하프늄 산화물, 스칸듐 산화물, 니오븀 산화물, 사마륨 산화물, 이테르븀 산화물, 에르븀 산화물, 세륨 산화물, 및 그 조합으로 이루어진 그룹으로부터 선택된 다른 산화물을 포함하는
    세라믹-함유 물품.
  6. 제 5 항에 있어서,
    다른 란탄계열 원소가 상기 세라믹-함유 물품 표면에 포함되는
    세라믹-함유 물품.
  7. 제 3 항에 있어서,
    상기 고용체는 농도가 40 몰 % 내지 100 몰 % 미만인 이트륨 산화물, 및 농도가 0 몰 % 초과 내지 60 몰 %인 지르코늄 산화물로부터 형성되는
    세라믹-함유 물품.
  8. 제 3 항에 있어서,
    상기 고용체는 농도가 40 몰 % 내지 100 몰 % 미만인 이트륨 산화물, 및 농도가 0 몰 % 초과 내지 60 몰 %인 세륨 산화물로부터 형성되는
    세라믹-함유 물품.
  9. 제 3 항에 있어서,
    상기 고용체는 농도가 40 몰 % 내지 100 몰 % 미만인 이트륨 산화물, 및 농도가 0 몰 % 초과 내지 60 몰 %인 하프늄 산화물로부터 형성되는
    세라믹-함유 물품.
  10. 제 3 항에 있어서,
    상기 고용체는 농도가 40 몰 % 내지 100 몰 % 미만인 이트륨 산화물, 및 농도가 0 몰 % 초과 내지 60 몰 %인 니오븀 산화물로부터 형성되는
    세라믹-함유 물품.
  11. 제 5 항에 있어서,
    상기 고용체가 40 몰 % 내지 100 몰 % 미만의 농도로 존재하는 이트륨 산화물, 0 몰 % 초과 내지 50 몰 % 로 존재하는 지르코늄 산화물, 및 0 몰 % 초과 내지 100 몰 % 미만으로 존재하는 스칸듐 산화물으로부터 형성되는
    세라믹-함유 물품.
  12. 제 5 항에 있어서,
    상기 고용체가 40 몰 % 내지 10 몰 % 미만의 농도로 존재하는 이트륨 산화물; 0 몰 % 초과 내지 50 몰 % 로 존재하는 지르코늄 산화물; 0 몰 % 초과 내지 100 몰 % 미만으로 존재하는 하프늄 산화물로부터 형성되는
    세라믹-함유 물품.
  13. 제 5 항에 있어서,
    상기 물품이 3개 상 소결 세라믹으로 형성되며,
    상기 3개의 상은: 소결 세라믹 물질의 약 60 몰 % 내지 약 90 몰 %를 구성하는 Y2O3-ZrO2-Nb2O5를 포함하는 고용체의 제 1 상; 소결 세라믹 물질의 약 5 몰 % 내지 약 30 몰 %를 구성하는 Y3NbO7의 제 2 상; 그리고 소결된 세라믹 물질의 약 1 몰 % 내지 약 10 몰 % 를 구성하는 원소 형태의 Nb의 제 3 상을 포함하는
    세라믹-함유 물품.
  14. 제 1 항에 있어서,
    상기 물품은 정전기 척 또는 기판 승강 핀 피겨(figure), 또는 350℃로부터 상온까지의 온도에서 107 내지 1015 Ω·cm의 전기 비저항을 필요로 하는 다른 물품의 형태를 가지는
    세라믹-함유 물품.
  15. 제 1 항에 있어서,
    상기 물품은 반도체 프로세싱 챔버의 내부에서 이용되는 라이너 또는 내부 부품의 형태이며,
    상기 세라믹-함유 물품의 비저항은 350℃로부터 상온까지의 온도에서 107 내지 1015 Ω·cm 인
    세라믹-함유 물품.
  16. 제 1 항에 있어서,
    상기 물품이 중실형(solid) 소결 세라믹 물품인
    세라믹-함유 물품.
  17. 제 1 항에 있어서,
    상기 물품이 정전기 척, 덮개, 라이너, 노즐, 가스 분배판, 샤워헤드, 정전기 척 부품, 쉐도우 프레임, 기판 유지 프레임, 프로세싱 키트, 및 챔버 라이너로 이루어진 그룹으로부터 선택되는
    세라믹-함유 물품.
  18. 제 1 항에 있어서,
    상기 물품의 표면이 상기 세라믹으로 코팅되는
    세라믹-함유 물품.
  19. 정전기 척, 라이너 또는 플라즈마와 접촉하고 세라믹 물질을 포함하는 표면을 가지는 내부 부품을 이용하는 반도체 프로세싱 챔버내에서의 플라즈마 아아크 발생을 감소시키기 위한 방법으로서:
    a) 상기 세라믹 물질을 구성하기 위해, 이트륨 산화물 및 하나 이상의 다른 산화물로부터 산화물들을 선택하는 단계로서, 상기 다른 산화물의 양 이온은 Y3+ 이온과 다른 원자가를 가져 Y 공극을 형성함으로써 상기 세라믹 물질의 전기 비저항을 감소시키는, 산화물 선택 단계;
    b) 상기 산화물들을 소결하여 하나 이상의 결정질 고용체를 형성하는 소결 단계; 및
    c) 상기 세라믹 물질을 플라즈마에 노출시키는 단계를 포함하는
    플라즈마 아아크 발생 감소 방법.
  20. 제 19 항에 있어서,
    상기 Y3 + 이온과 상이한 원자가를 가지는 산화물이 CeO2, TiO2, ZrO2, HfO2, Nb2O5, 및 그 조합으로 이루어진 그룹으로부터 선택되는
    플라즈마 아아크 발생 감소 방법.
  21. 정전기 척, 라이너 또는 플라즈마와 접촉하고 세라믹 물질을 포함하는 표면을 가지는 내부 부품을 이용하는 반도체 프로세싱 챔버내에서의 플라즈마 아아크 발생을 감소시키기 위한 방법으로서:
    a) 상기 세라믹 물질을 구성하기 위해, 이트륨 산화물 및 하나 이상의 다른 산화물로부터 산화물들을 선택하는 단계로서, 상기 다른 산화물의 양 이온은 Y3+ 이온과 같은 원자가를 가지나 그 Y3+ 이온의 이온 반경과 상당히 상이한 이온 반경을 가짐으로써 상기 세라믹 물질의 전기 비저항을 감소시키는, 산화물 선택 단계;
    b) 상기 산화물들을 환원 분위기에서 소결하는 단계; 및
    c) 상기 세라믹 물질을 플라즈마에 노출시키는 단계를 포함하는
    플라즈마 아아크 발생 감소 방법.
  22. 제 21 항에 있어서,
    상기 Y3 + 이온의 이온 반경과 상당히 상이한 이온 반경을 가지는 상기 산화물은 Nd2O3, Sm2O3, Sc2O3, Yb2O3, Er2O3, Ho2O3, Dy2O3, 및 그 조합으로 이루어진 그룹으로부터 선택되는
    플라즈마 아아크 발생 감소 방법.
KR1020070095451A 2007-08-02 2007-09-19 전기 비저항이 제어된 플라즈마-내성 세라믹 KR100934516B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/890,156 US8367227B2 (en) 2007-08-02 2007-08-02 Plasma-resistant ceramics with controlled electrical resistivity
US11/890,156 2007-08-02

Publications (2)

Publication Number Publication Date
KR20090013645A KR20090013645A (ko) 2009-02-05
KR100934516B1 true KR100934516B1 (ko) 2009-12-31

Family

ID=40229937

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070095451A KR100934516B1 (ko) 2007-08-02 2007-09-19 전기 비저항이 제어된 플라즈마-내성 세라믹

Country Status (7)

Country Link
US (2) US8367227B2 (ko)
EP (1) EP2030961A3 (ko)
JP (2) JP2009035469A (ko)
KR (1) KR100934516B1 (ko)
CN (2) CN104710178A (ko)
SG (1) SG149811A1 (ko)
TW (1) TWI361177B (ko)

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP4936948B2 (ja) * 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2010024354A1 (ja) * 2008-08-29 2010-03-04 Toto株式会社 静電チャックおよびその製造方法
JP2010064937A (ja) * 2008-09-12 2010-03-25 Covalent Materials Corp プラズマ処理装置用セラミックス
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP2012074650A (ja) * 2010-09-30 2012-04-12 Samco Inc プラズマ処理用トレイ及びプラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
JP2013079155A (ja) * 2011-09-30 2013-05-02 Covalent Materials Corp 耐プラズマ性部材
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101421112B1 (ko) * 2012-10-26 2014-07-21 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 부재의 제조방법 및 이를 이용한 리프트 핀의 제조방법
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6489467B2 (ja) * 2014-09-05 2019-03-27 国立大学法人 香川大学 複合酸化物セラミックスおよび半導体製造装置の構成部材
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102674364B1 (ko) 2015-11-16 2024-06-13 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN106892685B (zh) * 2015-12-18 2020-04-28 有研工程技术研究院有限公司 一种陶瓷金属化薄膜及其制备方法
CN106892649B (zh) * 2015-12-21 2020-06-09 中国石油天然气股份有限公司 一种球形氧化铝的制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107344868B (zh) * 2016-05-06 2019-08-27 山东大学 一种在SiC衬底上制备无缓冲层的单层石墨烯的方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102384436B1 (ko) 2016-11-16 2022-04-12 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106854086B (zh) * 2016-12-29 2021-11-19 北京华夏特材科技发展有限公司 一种耐高温的莫来石型气凝胶复合材料及其制备方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106866114B (zh) * 2017-03-12 2019-10-22 江西理工大学 一种铅锌冶炼烟化炉渣基的建筑陶粒及其制备方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
CN106927839B (zh) * 2017-03-24 2019-11-22 洛阳欧斯特节能科技有限公司 一种微孔绝热砖
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107056310B (zh) * 2017-04-17 2019-09-10 武汉科技大学 一种高强微孔莫来石耐火骨料及其制备方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107140991B (zh) * 2017-05-27 2019-10-29 山东工业陶瓷研究设计院有限公司 一种用于3d打印的陶瓷球形料及其制备方法与应用
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN107140962B (zh) * 2017-05-31 2019-09-06 山东工业陶瓷研究设计院有限公司 石英质陶瓷回转体的制备方法
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107337452B (zh) * 2017-07-14 2019-10-25 陕西师范大学 高透明性和发光热稳定性的Sm3+掺杂钨青铜发光铁电陶瓷材料及其制备方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107445631B (zh) * 2017-07-27 2019-09-03 浙江铁狮高温材料有限公司 一种抗侵蚀钢包长水口的制备方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107673740A (zh) * 2017-11-09 2018-02-09 平定县冠窑砂器陶艺有限公司 一种多功能茶器及其制备方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
CN110386817A (zh) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 抗等离子体腐蚀陶瓷及制备方法
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060045681A (ko) * 2004-04-13 2006-05-17 어플라이드 머티어리얼스, 인코포레이티드 전기 도금된 이트륨 함유 코팅을 갖는 프로세스 챔버 요소
KR20060132649A (ko) * 2003-12-18 2006-12-21 램 리써치 코포레이션 반도체 재료 프로세싱 장치의 산화이트륨(yttria)-코팅 세라믹 구성요소 및 그 구성요소를제조하는 방법

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU868881A1 (ru) * 1980-01-11 1981-09-30 Московский Ордена Ленина,Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Государственный Университет Им.М.В.Ломоносова Материал дл вторичноэлектронных катодов
US4507394A (en) * 1982-12-24 1985-03-26 Ngk Insulators, Ltd. High electric resistant zirconia and/or hafnia ceramics
JPS6131352A (ja) 1984-07-20 1986-02-13 富山県 感湿素子の後処理方法
US4656455A (en) 1984-07-20 1987-04-07 Toyama Prefecture Humidity-sensing element
US4906524A (en) 1987-05-29 1990-03-06 Orient Watch Co., Ltd. Surface-coated article and a method for the preparation thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
WO1999009587A2 (en) 1997-08-13 1999-02-25 Applied Materials, Inc. Method of etching copper for semiconductor devices
US6429400B1 (en) 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
JP3180092B2 (ja) 1997-12-03 2001-06-25 松下電工株式会社 プラズマ処理システム及びプラズマ処理方法
EP0921713A3 (en) * 1997-12-03 1999-08-11 Matsushita Electric Works, Ltd. Plasma processing apparatus and method
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
JP2000012666A (ja) 1998-06-19 2000-01-14 Taiheiyo Cement Corp 静電チャック
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3241350B2 (ja) 1999-09-28 2001-12-25 太平洋セメント株式会社 導電性セラミックスおよびその製造方法
JP2001023908A (ja) 1999-07-07 2001-01-26 Texas Instr Japan Ltd 真空処理装置
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
DE19955134A1 (de) 1999-11-17 2001-05-23 Sms Demag Ag Rekuperatorbrenner
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20020110698A1 (en) 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP2001203256A (ja) 2000-01-19 2001-07-27 Taiheiyo Cement Corp ウェハ保持具
TW488010B (en) 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
JP2001244246A (ja) 2000-02-29 2001-09-07 Taiheiyo Cement Corp フォーカスリング
JP2001322871A (ja) 2000-03-07 2001-11-20 Shin Etsu Chem Co Ltd 希土類元素を含有する酸化物焼結体およびその製造方法
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP3967093B2 (ja) 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP3479633B2 (ja) * 2000-07-21 2003-12-15 日本特殊陶業株式会社 セラミックボール、ボールベアリング、ベアリング付きモータ、ハードディスク装置、ポリゴンスキャナ及びセラミックボールの製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
CN1309859C (zh) 2001-05-17 2007-04-11 东京电子株式会社 基于圆筒的等离子体处理系统
US6730413B2 (en) * 2001-07-31 2004-05-04 General Electric Company Thermal barrier coating
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP2003257321A (ja) 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP3847198B2 (ja) 2002-03-27 2006-11-15 京セラ株式会社 静電チャック
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
JP2004292270A (ja) 2003-03-27 2004-10-21 Kyocera Corp 耐食性部材及びその製造方法
US20040245098A1 (en) 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US7141522B2 (en) * 2003-09-18 2006-11-28 3M Innovative Properties Company Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US20050123288A1 (en) 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
JP4780932B2 (ja) 2004-05-25 2011-09-28 京セラ株式会社 耐食性部材とその製造方法および半導体・液晶製造装置用部材
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI350828B (en) * 2005-10-31 2011-10-21 Covalent Materials Corp Transparent rare-earth oxide sintered body and manufacturing method thereof
JP5005317B2 (ja) 2005-10-31 2012-08-22 コバレントマテリアル株式会社 透光性酸化イットリウム焼結体及びその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
TWI351057B (en) 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060132649A (ko) * 2003-12-18 2006-12-21 램 리써치 코포레이션 반도체 재료 프로세싱 장치의 산화이트륨(yttria)-코팅 세라믹 구성요소 및 그 구성요소를제조하는 방법
KR20060045681A (ko) * 2004-04-13 2006-05-17 어플라이드 머티어리얼스, 인코포레이티드 전기 도금된 이트륨 함유 코팅을 갖는 프로세스 챔버 요소

Also Published As

Publication number Publication date
US8367227B2 (en) 2013-02-05
US20130022838A1 (en) 2013-01-24
CN101357846A (zh) 2009-02-04
US20090036292A1 (en) 2009-02-05
SG149811A1 (en) 2009-02-27
CN104710178A (zh) 2015-06-17
JP2013063904A (ja) 2013-04-11
TW200906759A (en) 2009-02-16
KR20090013645A (ko) 2009-02-05
JP2009035469A (ja) 2009-02-19
EP2030961A2 (en) 2009-03-04
US8871312B2 (en) 2014-10-28
EP2030961A3 (en) 2009-08-12
TWI361177B (en) 2012-04-01

Similar Documents

Publication Publication Date Title
KR100934516B1 (ko) 전기 비저항이 제어된 플라즈마-내성 세라믹
KR101491437B1 (ko) 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US11373882B2 (en) Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US8623527B2 (en) Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US7696117B2 (en) Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee