CN104710178A - 具有可控电阻率的耐等离子体腐蚀陶瓷 - Google Patents

具有可控电阻率的耐等离子体腐蚀陶瓷 Download PDF

Info

Publication number
CN104710178A
CN104710178A CN201510093578.0A CN201510093578A CN104710178A CN 104710178 A CN104710178 A CN 104710178A CN 201510093578 A CN201510093578 A CN 201510093578A CN 104710178 A CN104710178 A CN 104710178A
Authority
CN
China
Prior art keywords
molar fraction
oxide
ceramic
scope
oxide compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510093578.0A
Other languages
English (en)
Inventor
珍妮弗·Y·孙
肯尼思·S·柯林斯
任关·段
森·撒奇
托马斯·格雷夫斯
晓明·何
杰·袁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104710178A publication Critical patent/CN104710178A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/495Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on vanadium, niobium, tantalum, molybdenum or tungsten oxides or solid solutions thereof with other oxides, e.g. vanadates, niobates, tantalates, molybdates or tungstates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • C04B2235/3222Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3251Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
    • C04B2235/3255Niobates or tantalates, e.g. silver niobate
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/40Metallic constituents or additives not added as binding phase
    • C04B2235/404Refractory metals
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/76Crystal structural characteristics, e.g. symmetry
    • C04B2235/762Cubic symmetry, e.g. beta-SiC
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • C04B2235/81Materials characterised by the absence of phases other than the main phase, i.e. single phase materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31Surface property or characteristic of web, sheet or block

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了在采用腐蚀/侵蚀性等离子体的半导体处理条件下抵抗腐蚀/侵蚀的特种陶瓷材料。腐蚀性等离子体可为含卤素等离子体。对所述特种陶瓷材料已经改性以提供抑制等离子体电弧放电可能的可控电阻率。

Description

具有可控电阻率的耐等离子体腐蚀陶瓷
本申请是申请日为2007年9月29日,发明名称为“具有可控电阻率的耐等离子体腐蚀陶瓷”的申请的分案申请。
本申请涉及与本申请具有共同发明者的一系列申请。以下列出的所有申请涉及包含氧化钇的陶瓷的使用以提供用于半导体处理装置的耐等离子体表面。相关的申请包括由Sun等人在2007年4月27日提交的题目为“Method ofReducing The Erosion Rate Of Semiconductor Processing Apparatus Exposed ToHalogen-Containing Plasmas”的目前未诀的美国申请第11/796,210号;Sun等人在2007年4月27日提交的题目为Method And Apparatus Which ReduceThe Erosion Rate Of Surfaces Exposed To Halogen-Containing Plasmas”的目前未诀的美国申请第11/796,211号;Sun等人在2004年7月22日提交的题目为“Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus”的目前未诀的美国申请第10/898,113号;Sun等人在2004年8月13日提交的题目为“Gas Distribution Plate Fabricated From A Solid Yttrium Oxide-ComprisingSubstrate”目前未诀的美国申请第10/918,232号;以及Sun等人在2002年2月14日提交的题目为“Yttrium Oxide Based Surface Coating For SemiconductorIC Processing Vacuum Chambers”的美国专利申请第10/075,967号,所述美国专利申请在2004年8月14日授予美国专利第6,776,873号。以上列出的申请的分案和接续申请的所提交的其它相关申请包括:Wang等人在2006年11月10提交的题目为“Cleaning Method Used In Removing Contaminants From TheSurface Of An Oxide or Fluoride Comprising a Group III Metal”的美国申请第11/595,484号,目前未诀且为美国申请第10/898,113号的分案申请;以及Wang等人在2006年11月3日提交的题目为“Cleaning Method Used InRemoving Contaminants From A Solid Yttrium Oxide-Containing Substrate”的美国申请第11/592,905号,目前未诀且为美国申请第10/918,232号的接续申请。在此引入这些专利和申请的所有主题作为参考。
技术领域
本发明的实施方式涉及主要由高度抗存在于半导体处理装置中的等离子体腐蚀的固溶体陶瓷组成的特种含氧化钇陶瓷。
背景技术
该部分描述涉及本发明所公开的实施方式的背景主题。并没有意图表示或暗指在该部分讨论的背景技术组成现有技术。
抗侵蚀(包括腐蚀)性是在存在侵蚀性环境的半导体处理腔室中使用的装置组件和衬垫的关键属性。尽管侵蚀等离子体存在于多数半导体处理环境中,包括等离子体增强化学气相沉积(PECVD)和物理气相沉积(PVD),但是侵蚀性最强的等离子体环境是用于处理装置清洗和用于蚀刻半导体衬底的等离子体。这里尤其会有高能量等离子体存在并结合有化学反应以与存在于环境中的组件表面反应。当侵蚀性气体,即使在不存在等离子体,与处理装置表面接触时,装置组件表面或工艺腔室衬垫表面的还原化学反应是重要的属性。
存在于用于制造电子器件和微电子机械系统(MEMS)的处理腔室内的工艺腔室衬垫和组成装置通常由铝和铝合金构成。工艺腔室和组成装置(存在于腔室内)的表面通常阳极化以提供对侵蚀性环境的一定程度的隔离。然而,铝或铝合金中的杂质可能破坏阳极化层的完整性,从而侵蚀较早地开始,缩短了保护涂层的有效期限。与其它陶瓷材料相比,铝氧化物的耐等离子体属性并不积极。因此,各种组分的陶瓷涂层已经用于替代以上所提及的铝氧化物层;以及,在一些例子中,已经用在阳极化层的表面上以改善下层铝基材料的保护。
氧化钇是很有希望用于保护暴露于制造半导体器件中使用类型的含卤素等离子体的铝和铝合金表面的陶瓷材料。氧化钇涂层已经用于并施加在高纯度铝合金工艺腔室表面的阳极化表面上,或者工艺组件表面上,以产生良好的侵蚀保护(例如,以上提及的Sun等人的美国专利第6,777,873号)。可使用诸如喷涂、物理气相沉积(PVD)、化学气相沉积(CVD)等方法施加保护涂层。
A12O3、或A12O3和Y2O3膜已经形成于处理腔室的内壁表面上以及需要高度耐侵蚀性和绝缘属性的腔室内的构件的暴露表面上。在一个示例性应用中,腔室的基材可以为陶瓷材料(A12O3、SiO2、AlN等)、铝或不锈钢,或在基材之上具有喷涂膜的其它金属或金属合金。所述膜可以由周期表的III-B元素的化合物诸如Y2O3制成。所述膜可主要包含A12O3和Y2O3。钇-铝-石榴石(YAG)的喷涂膜也在以上提到过。喷涂膜厚度的实施例从50μm到300μm之间变化。
发明内容
已经研发了在采用含卤素等离子体的半导体处理条件下耐侵蚀的特种烧结陶瓷材料。已经改性特种材料以具有与以前用于半导体处理装置的烧结陶瓷材料相比的改善的耐等离子体腐蚀性和适合的机械属性。已经调整烧结陶瓷材料的电属性,从而材料的电阻率属性(所述电阻率属性在等离子体材料腔室中具有影响)满足特定腔室组件的需要。这些电阻率属性要求之前仅通过具有低耐等离子体腐蚀性质的材料满足。本发明的特种材料(所述特种材料具有耐等离子体腐蚀性、机械性能和电阻率性能的各种结合)与之前所用的半导体处理装置的材料充分相似。这种相似的电属性的一个优点在于不需要改变目前在半导体器件加工中使用的工艺菜单或通用的处理条件。
用于制造半导体材料腔室组件的特种烧结陶瓷材料可使用例如热/火焰喷涂或等离子体喷涂、物理气相沉积(诸如来自由特种烧结陶瓷材料组成的靶的溅射)或化学气相沉积等而施加到下层材料之上。在可选实施例中,烧结的陶瓷材料可使用成型工艺而用于制造整体组件,例如,当这对于涂层的使用有利的时候。
讨论的烧结陶瓷材料包含氧化钇基的固溶体。在一个实施方式中,改变烧结的含氧化钇陶瓷材料的电阻率。在一个示例性实施方式技术中,将其它氧化物添加到氧化钇中,并且烧结这种混合物。其它氧化物的阳离子具有与Y3+离子不同的化合价,以形成Y空位,导致电阻率降低。所述其它氧化物的实施例包括CeO2、TiO2、ZrO2、HfO2和Nb2O5等,仅以示例方式而并不限制于此。在可选示例性实施方式技术中,其它氧化物添加到氧化钇中并烧结所述混合物。其它氧化物的阳离子具有与Y3+离子相同的化合价,但是具有与Y3+离子显著不同的离子半径。在还原气氛中烧结先驱混合物。这产生O空位,也降低了电阻率。具有与Y3+离子相同化合价但是具有显著不同的离子半径的氧化物的实施例包括Nd2O3、Sm2O3、Sc2O3、Yb2O3、Er2O3、Ho2O3和Dy2O3,仅以示例方式而并不限制于此。
在通常与含钇烧结陶瓷相比需要较低电阻率的半导体处理腔室中的主要组件中的一种是静电夹盘。静电夹盘设计者建议在半导体处理条件下静电夹盘的介电表面的电阻率在从约109到1011Ω·cm的范围内,以减小在静电夹盘处形成等离子体电弧的可能。电阻率范围与在约10-9到10-7S/m范围内的电导率等价。这是比体Si3N4显著低的电阻率,例如,所述体Si3N4具有电导率10-13S/m。对于等离子体电弧可能产生不利影响的其它耐侵蚀表面,诸如升降杆,在需静电夹盘需要的那个范围内的电阻率是有益的。对于耐侵蚀表面诸如工艺腔室衬垫,电阻率较高,可能与1014Ω·cm一样高或者高于1014Ω·cm,并仍然可接受。
至少一种固溶体形成有效用作电改性的耐侵蚀材料的烧结陶瓷材料的主要摩尔分数。当存在用于形成固溶体的两者氧化物时,这些氧化物通常包含氧化钇结合其它氧化物,所述其它氧化物通常选自氧化锆、氧化铈、氧化铪、氧化铌及上述物质的组合。其它氧化物诸如氧化钪、氧化钕、氧化钐、氧化镱、氧化铒和氧化铈(以及其它镧系列元素氧化物)可考虑用于这些实施例中。
当存在用于形成一种或多种固溶体的多于两种的氧化物时,这些氧化物通常包含氧化钇、氧化锆和至少一种其它氧化物,所述氧化物通常选自氧化铪、氧化钪、氧化钕、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及上述氧化物的组合物。在特别实施例中还可能使用其它镧系元素。当烧结陶瓷包含多个固溶相时,通常有两个相或三个相。除了至少一个固溶相,可能在烧结陶瓷内存在化合物或元素金属的其它相。
通过示例方式,而并不限制于此,关于使用两种先驱物氧化物的烧结陶瓷,已经通过试验确定包含固溶体的烧结陶瓷,所述固溶体中存在约40%摩尔分数到小于100%摩尔分数范围内的氧化钇,并存在从大约0%摩尔分数到约60%摩尔分数范围内的氧化锆,产生具有在从约350℃至室温范围内的温度下从约107到约1015Ω·cm范围内的电阻率。预期可由其中存在大于0%摩尔分数到小于100%摩尔分数范围内的氧化钇和大于0%摩尔分数至小于100%摩尔分数的氧化铈的先驱氧化物的组合得到相同范围内的电阻率。还预期可由其中存在大于0%摩尔分数到小于100%摩尔分数范围内的氧化钇和大于0%摩尔分数至小于100%摩尔分数的氧化铪的先驱氧化物组合得到从约109到约1011Ω·cm范围内的电阻率。还预期可由其中存在从约48%摩尔分数到小于100%摩尔分数范围内的氧化钇和大于0%摩尔分数至约52%摩尔分数范围内的氧化铌的先驱氧化物组合得到具有约109到约1011Ω·cm范围内电阻率的陶瓷。
用于实施例,并不限制于此,关于使用多于两种先驱氧化物的烧结陶瓷,在一个实施方式中,当烧结陶瓷包含固溶体并且其中烧结陶瓷材料由以下氧化物形成时,其中:存在从约40%摩尔分数至小于100%摩尔分数范围内的氧化钇;存在从大于0%摩尔分数至约50%摩尔分数范围内的氧化锆;以及存在大于约0%摩尔分数到小于100%摩尔分数范围内的氧化钐,烧结陶瓷具有从约107到约1015Ω·cm范围内的电阻率。
在另一实施方式中,当烧结的陶瓷包含固溶体并且烧结陶瓷材料由以下氧化物制成时:存在从约40%摩尔分数至小于100%摩尔分数范围内的氧化钇;存在从大于0%摩尔分数至约50%摩尔分数范围内的氧化锆;以及存在大于约0%摩尔分数到小于100%摩尔分数范围内的氧化铪,烧结陶瓷将具有在约107到约1015Ω·cm范围内的电阻率。
在又一实施方式中,当烧结的陶瓷包含固溶体并且烧结陶瓷材料由以下氧化物制成时:存在从约40%摩尔分数至小于100%摩尔分数范围内的氧化钇;存在从大于0%摩尔分数至约45%摩尔分数范围内的氧化锆;以及存在大于约0%摩尔分数到小于80%摩尔分数范围内的氧化铌时,烧结陶瓷将具有在约107到约1015Ω·cm范围内的电阻率。
在一个实施方式中,烧结的陶瓷材料包含三个相,所述三个相包括:包含Y2O3-ZrO2-Nb2O5并占烧结陶瓷材料的从约60%摩尔分数到约90%摩尔分数范围内的第一固溶相;占烧结陶瓷材料的从约5%摩尔分数到约30%摩尔分数范围内的Y3NbO7第二相;以及占烧结陶瓷材料的从约1%摩尔分数到约10%摩尔分数范围内的Nb元素形式的第三相。
包含三个相的烧结陶瓷材料的另一实施方式中,氧化钇在从约60%摩尔分数至小于约75%摩尔分数范围内;氧化锆在从大于约15%摩尔分数至约25%摩尔分数范围内;以及氧化铌在大于约5%摩尔分数到小于15%摩尔分数范围内。
在由以上所述类型的Y2O3-ZrO2-MxOy形成的烧结陶瓷测量样品中,在其中M是钐、铪、铌或钕的实施方式中,在暴露于CF4/CHF3等离子体76小时之后,已经证明侵蚀速度是0.16μ/小时或更低。预期当M是铈、钐、铒或其它镧系元素时具有类似的侵蚀速度。等离子体形成于可从Applied Materials有限公司购得的Enabler for Trench Etch等离子体处理腔室中,等离子体源功率高达2000W,工艺腔室压力为10-500m托(Torr),并且衬底温度为40℃。所述0.16μ/小时或更低的侵蚀速度等价于纯Y2O3的侵蚀速度。因此,用于提供较低电阻率烧结陶瓷的烧结陶瓷改性不影响烧结陶瓷的侵蚀速度。
虽然以上所述的陶瓷材料是由利用本领域公知的烧结技术形成的烧结陶瓷,但是在其它实施方式中,以上列出的起始材料成分可用于利用涂覆技术形成陶瓷涂层于各种金属和陶瓷衬底的表面上,所述起始材料成分包括但是不限于铝、铝合金、不锈钢、氧化铝、铝氮化物和石英。所述涂覆技术包括等离子体喷涂、热/火焰喷涂;从由烧结氧化物形成的溅射靶的物理气相沉积;或者化学气相沉积,作为示例但是并不限制于此。
附图说明
为了有助于理解以上所述的实施方式,可参照附图更加详细地描述以上所述的特定实施方式。然而,应该注意到,附图仅示出了部分典型实施方式并因此不用于现在在此所述的本发明的范围。本发明包括其它等效的实施方式。
图1是示出了对于多种材料,在空气环境中施加电压为1000V下,电阻率与温度的函数关系图表100;
图2是Y2O3-ZrO2-Al2O3相图200。所述相图示出了除了其它成分之外,特种材料的组成,在此在相图上标记为区域“A”用于参考。类型“A”陶瓷材料是对卤素等离子体侵蚀具有良好耐腐蚀性的陶瓷成分;
图3是Y2O3-ZrO2-Nb2O5相图300。所述相图示出除了其它成分外,特种材料的组成,在此在相图上标记为区域“B”,用于参考。类型“B”陶瓷材料为不仅对卤素等离子体侵蚀具有良好耐腐蚀性而且还具有例如与“A”类型陶瓷材料相比的可控的、较低电阻率的陶瓷成分;
图4是示出对于多种材料的电阻率与施加的电压的函数关系图表400,其中测量可在室温(约27℃)空气中下进行;
图5是柱形图500,示出了暴露于由CF4和CHF3源气体产生的等离子体的多种烧结陶瓷材料相对于纯氧化钇的标准化平均示例性侵蚀速度。
具体实施方式
作为详细描述的绪言,应该注意到,如在该说明书和附图中所用的,单数形式“一(a)”、“一(an)”和“所述(the)”包括复数指代,文中清晰指出数目的除外。
当在此使用词“about”时,意欲指所指的标称值准确地在±10%内。
在此所述地为在采用含卤素等离子体的半导体器件处理条件下研发的抵抗侵蚀的特种陶瓷材料。在特定实施方式中,已经改性特种材料以具有与之前研发的类似陶瓷材料相比减小的电阻率以提供耐等离子体腐蚀性。减小的电阻率有益于减小在半导体处理腔室内的各种组件处等离子体电弧放电(arcing)的可能性,尤其在等离子体放电成问题的静电夹盘的表面或衬底升降杆上,用于举例但不限于此。在过去,组件或至少组件表面由铝氮化物或铝氧化物制造,可对所述铝氮化物或铝氧化物掺杂以提供电属性。虽然所述材料提供所需的电属性,但是侵蚀/腐蚀速度相对快,限制了特定组件的有效寿命,并需要更多的停工时间用于组成部件的修理及替换。
另外,用作等离子体处理半导体装置内的工艺腔室衬垫和功能组件的各种材料的电属性影响等离子体的行为。等离子体行为中的变化影响等离子体处理属性,并且当影响显著时,需要改变其它工艺变量以适应等离子体行为的变化。胜于返工用于器件制造的处理变量,发展具有适合电属性的耐腐蚀陶瓷材料更实用。仅改性部分具有适合等离子体腐蚀/侵蚀属性的陶瓷材料以控制电阻率性能在对于与等离子体接触的组件有效的所需范围内。当选择氧化物的组合以形成陶瓷材料时,本领域且读取本发明的普通技术人员将能相对成功地确定本发明。
为了便利,具有所需电属性的适合耐卤等离子体腐蚀/侵蚀陶瓷材料的发展通过使用烧结陶瓷实施。所烧结的陶瓷通过本领域的众所周知的技术生产。在其它实施方式中,相同的一般组分的适合耐卤等离子体腐蚀/侵蚀的陶瓷材料可例如,使用热/火焰喷镀或等离子体喷镀应用为在下层诸如铝或铝合金的材料之上的涂层。在可选实施方式中,所烧结的陶瓷材料可用于制造可用于通过物理气相沉积在下层材料之上施加陶瓷材料,特别地当上面待施加保护陶瓷材料的装置较大时,所述装置诸如工艺腔室衬垫。
如之前所述,讨论的所烧结陶瓷材料包括氧化钇。可改变所烧结的含钇陶瓷材料的电阻率。在一个示例性技术中,至少一种其它氧化物添加到氧化钇中并烧结所述混合物。至少一种其它氧化物的阳离子具有不同于Y3+离子的化合价,以形成Y空位,导致电阻率降低。所述氧化物的实施例包括CeO2、TiO2、ZrO2、HfO2和Nb2O5,仅用于示例而并不限制于此。在另一示例性技术中,至少一种其它氧化物添加到氧化钇中,并在还原气氛中烧结所述混合物;然而,至少一种其它氧化物的阳离子具有与Y3+离子相同的化合价,但是展示出与Y3+离子显著不同的离子半径。这样导致O空位产生,这也降低了电阻率。具有与Y3+离子相同化合价但是具有显著不同的离子半径的氧化铝实施例包括Nd2O3、Sm2O3、Sc2O3、Yb2O3、Er2O3、Ho2O3和Dy2O3,仅用于示例而并不限制于此。
至今已经研究了多种示例性烧结陶瓷材料的属性,并且以下的表格提供了所制造并评估的部分烧结陶瓷材料。随后将描述这些材料的评估。
实施例:
表格
*N/A=无效
**c-ss指立方氧化钇型固溶体。
实施例一
图1是示出各种陶瓷材料的电阻率的图表100,陶瓷材料包括根据本发明的示例性实施方式制造的A型和B型材料。电阻率在轴104上示出,作为在轴102上示出的温度的函数。可在空气环境下在1000V下测量电阻率,使用根据ASTM D 1829-或JIS C2141的标准测试条件。
在图1中示出的曲线106在表中描述为样品#4的含Nb2O5烧结陶瓷材料。对于含Nb2O5烧结陶瓷材料,如图3中通过相图所示,还可通过添加额外的成分得到适合的电阻率值。烧结陶瓷材料包含三个相,所述三个相包括包含Y2O3-ZxO2-Nb2O5的第一固溶相,占烧结陶瓷材料的约60%到约90%摩尔分数;第二相Y3NbO7,占烧结陶瓷材料的从约5%到约30%的摩尔分数;以及元素形式的Nb第三相,占烧结陶瓷材料的约1%到约10%摩尔分数。当需要低电阻率以防止电弧放电时,所述材料特别有效。电阻率在室温下低于约1011Ω·cm并在200℃为约108Ω·cm,以及在典型半导体处理条件下可能具有在109Ω·cm范围内的电阻率。
在图1中示出的含Nb2O5的烧结陶瓷材料的一个实施方式称为Nb2O5-ZrO2-Y2O3。参照图3,相图的一个区域标记为“B”。所述标记表示烧结陶瓷材料的固溶相成分在从约55%摩尔分数到约80%摩尔分数之间的浓度下包含Y2O3,并且在从约5%摩尔分数到约25%摩尔分数之间的浓度下包含添加剂诸如Nb2O5、HfO2、Nd2O3、或Sc2O3
实施例二
在图1中示出的曲线108表示根据本发明制造的含HfO2烧结陶瓷材料,所述含HfO2烧结陶瓷材料还在表格中描述为样品#1。所述陶瓷材料显示出比含Nb2O5材料高的电阻率,但是对制造与静电夹盘或衬底升降杆相比电弧放电不主要的半导体处理装置组件有效。
实施例三
在图1中示出的曲线110表示根据本发明实施方式制造的含Sc2O3烧结陶瓷材料,所述含Sc2O3烧结陶瓷材料还在表格中描述为样品#2。同样,所述材料可用于需要1011Ω·cm电阻率的应用中。
实施例四(对比实施例)
在图1中示出曲线112表示在图2的相图中示出的Y2O3-ZrO2-A12O3材料。描述所述材料仅用于可控电阻率陶瓷材料的比较实施例的目的。所述烧结陶瓷材料包含由Y2O3和ZrO2形成的固溶体,以及由Y2O3和Al2O3氧化物形成的合成物。典型的烧结陶瓷材料由在从约60%摩尔分数到约65%摩尔分数之间的浓度下的Y2O3;在从约20%摩尔分数到约25%摩尔分数之间的浓度下的ZrO2;以及在从约10%摩尔分数到约15%摩尔分数之间的浓度下的Al2O3形成。在相图图2中示为区域“A”并通过曲线表示为在图1中示出的Y2O3-ZrO2-A12O3的中心陶瓷材料的一个实施方式,包含:具有立方氧化钇型晶体结构的约60%摩尔分数固溶体,所述固溶体中c-Y2O3是溶剂,Zr2O3是溶质;具有萤石型晶体结构的约2%摩尔分数的固溶体,所述固溶体中是ZrO2溶剂,Y2O3是溶质;以及约38%摩尔分数的YAM(Y4Al2O9)化合物。
实施例五(对比实施例)
图1的曲线114表示含Nd2O3的烧结陶瓷材料,所述含Nd2O3的烧结陶瓷材料在表格中描述为样品#3。但是所述材料难以满足需要防止放电的需要,并且认为不是弥补本发明的部分单一材料的比较实施例。
实施例六(对比实施例)
图1的曲线116表示纯Y2O3烧结陶瓷的电阻率属性。所述材料也是对比实施例,上述对比实施例用作基线,原因在于许多半导体装置组件已由纯Y2O3制造。纯Y2O3电阻率的比较示出由本发明得到的电阻率的显著改善。
曲线120也在图1中示出,所述曲线120表示通常用于制造静电夹盘的掺杂铝氮化物,曲线122表示也用于制造静电夹盘和需要低电阻率的其它半导体处理装置的第二掺杂的铝氮化物。
实施例七
图4是示出对于许多烧结陶瓷测试样本在电阻率测试期间电阻率与所施加的电压的函数关系图400。在轴404上示出电阻率,并且电压在轴402上示出。测试温度是室温(约27℃)。该图的目的是为了示出受控以降低电阻率的本发明的耐侵蚀陶瓷实施方式和目前使用的掺杂铝氮化物陶瓷之间在电阻率上的区别。虽然掺杂的铝氮化物陶瓷具有稍微低的电阻率,但它们的侵蚀速度至少比已经改性以降低电阻率的含氧化钇陶瓷的侵蚀速度高2倍。
特别地,图4的曲线422表示目前用于制造静电夹盘的掺杂的铝氮化物陶瓷。曲线420表示用于制造静电夹盘和其它较低电阻率组件的另一种掺杂的铝氮化物陶瓷。
图4的曲线406表示含Nb2O5的烧结陶瓷材料,所述含Nb2O5的烧结陶瓷材料在表格中表示为样品#4。已经改性以降低电阻率的含氧化钇材料具有与表示为AlN-1的掺杂的铝氮化物非常接近的电阻率。然而,掺杂的铝氮化物的侵蚀速度比由曲线406示出的含氧化钇材料的侵蚀速度高10倍,如通过图5中的柱状图500示出。
在图4中的曲线408表示含HfO2的烧结陶瓷材料,所述含HfO2的烧结陶瓷材料在表格中表示为样品#1。所述陶瓷材料表现出比含Nb2O5材料高的电阻率,并且在室温下表现出等离子体电弧放电更可能发生的组件的推荐范围之外的电阻率。然而,在200℃下,所述200℃在部分半导体处理期间存在的温度,电阻率落入可接受范围内,如图1中通过曲线108所示。
图4的曲线410表示含Sc2O3的烧结陶瓷材料,所述含Sc2O3的烧结陶瓷材料在表格中表示为样品#2。再次,当处理温度为200℃时,所述材料可用于需要1011Ω·cm电阻率的应用中。
为了比较目的(关于包含含氧化钇固溶体的电阻率可控的陶瓷),图4的曲线412示出了包含在图2中示出的Y2O3、ZrO2和Al2O3的“A”型陶瓷材料。所述“A”型材料的一个实施方式,在图1中示出,包含具有c-Y2O3为溶剂以及Zr2O3为溶质的约60%摩尔分数的立方氧化钇型结构;具有ZrO2为溶剂以及Y2O3为溶质的约2%摩尔分数的萤石型结构固溶体;以及,约38%摩尔分数YAM(Y4Al2O9)化合物。虽然A型HPM材料表示出适合的耐侵蚀性能和优良的机械性能,但电阻率显著高于所需范围最大值1011Ω·cm。即使在200℃下也是这种情况,如图1中的曲线112所示。所述材料并不包括于电阻率改性的耐侵蚀陶瓷的实施方式中。
为了比较目的,图4的曲线414表示含Nd2O3的烧结陶瓷材料,所述含Nd2O3的烧结陶瓷材料在表格中表示为样品#3。所述材料难以满足防止电弧放电的需要,并认为是不构成本发明的单一陶瓷材料部分的比较实施例。
为了比较目的,图4的曲线416示出了纯Y2O3的烧结陶瓷的电阻率属性。所述材料也是比较实施例,所述比较实施例用作基准,原因在于大部分半导体装置组件已经由纯Y2O3制造。纯Y2O3的电阻率的比较示出了由本发明所得到的电阻率有非常显著的改善。
实施例八
图5示出了表示对于多种暴露于等离子体的烧结陶瓷材料标准化为纯Y2O3的示例性侵蚀速度的柱状图500。等离子体由CF4和CHF3源气产生。等离子体处理腔室是可从Applied Materials有限公司购得的Enabler for TrenchEtch。等离子体源功率高达2000W,工艺腔室压力为10-500mTorr,并且衬底温度为约40℃,76小时的时间周期。轴502示出了测试耐侵蚀性的多种材料。表示为Y2O3-10ZrO2的测试样本表示通过烧结100重量份数的Y2O3以及10重量份数的ZrO2形成。表示为含Nb2O5-或HfO2-或Nd2O3-或Sc2O3-的测量样本表示描述为含蚀刻这些材料的化合物。如在轴504上所示的侵蚀速度的比较表示所改性的电阻率的侵蚀速度,含氧化钇的烧结陶瓷材料基本与纯氧化钇的侵蚀速度相同。另外,所改性的电阻率的侵蚀速度,含氧化钇的烧结陶瓷基本比Al2O3、AlN、ZrO2、石英、W/ZrC、B4C和SiC更佳,用于提供半导体处理腔室衬垫和在半导体处理装置内部组件上的耐卤素等离子体腐蚀材料。
基于在提供以上所述的实施例的实验期间所得到的结果,以及来自其它参考源的数据,已经进行了提供在等离子体漏电流中的UV辐射效果评估的计算。等离子体环境中(用于等离子体处理中的类型)的UV辐射不影响含电阻率改性的氧化钇的烧结陶瓷材料的漏电流。
193nmUV辐射(在部分半导体处理操作中采用)对在Nb2O5-B型烧结陶瓷材料和HfO2-B型烧结陶瓷材料中的漏电流的影响的研究表示这些材料的电性能不应用受这些UV辐射影响。
用作与等离子体接触的半导体处理装置的含陶瓷颗粒的制品包括盖子、衬垫、喷嘴、气体分配板、喷头、静电夹盘组件、阴影框架、衬底容纳框架、处理套件和腔室衬垫,仅作为示例性并且不限于此。
以上所述的示例性实施方式不意欲限制本发明的范围,由于本发明的公开内容,本发明的普通技术人员能解释与本发明所要求保护的主题对应的实施方式。

Claims (32)

1.一种含陶瓷制品,能抵抗半导体处理中使用的含卤素等离子体的侵蚀,并且所述含陶瓷制品在从约350℃至室温范围内的温度下呈现在约107到1015Ω-cm范围内的可控电阻率,所述陶瓷制品具有表面,所述表面包含至少一种固溶体,所述至少一种固溶体包含氧化钇,并且其中包含氧化钇的至少一种固溶体还包含选自由氧化锆、氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及上述氧化物的组合组成的组的一种或多种氧化物。
2.根据权利要求1所述的含陶瓷制品,其中其它镧系元素氧化物包括于所述陶瓷制品中。
3.根据权利要求1所述的含陶瓷制品,其中两种氧化物用于形成所述至少一种固溶体,所述至少一种固溶体包含氧化钇和其它氧化物,以及其中所述其它氧化物选自由氧化锆、氧化铈、氧化铪和氧化铌组成的组。
4.根据权利要求3所述的含陶瓷制品,其中氧化钪、氧化钐、氧化镱、氧化铒或其它镧系元素氧化物包括于所述陶瓷制品中。
5.根据权利要求1所述的含陶瓷制品,其中多于两种的前驱物氧化物用于形成包含氧化钇的所述固溶体,以及其中所述前驱物氧化物包括氧化锆以及选自由氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及上述氧化物组合组成的组的其它氧化物。
6.根据权利要求5所述的含陶瓷制品,其中其它镧系元素包括于所述含陶瓷制品中。
7.根据权利要求3所述的含陶瓷制品,其中所述陶瓷由在从约40%摩尔分数到小于100%摩尔分数范围内的浓度下的氧化钇,和在从高于0%摩尔分数到约60%摩尔分数范围内的浓度下的氧化锆形成。
8.根据权利要求3所述的含陶瓷制品,其中所述陶瓷由在从约40%摩尔分数到小于100%摩尔分数范围内的浓度下的氧化钇,和在从高于0%摩尔分数到约60%摩尔分数范围内的浓度下的氧化铈形成。
9.根据权利要求3所述的含陶瓷制品,其中所述陶瓷由在从约40%摩尔分数到小于100%摩尔分数范围内的浓度下的氧化钇,和在从高于0%摩尔分数到约60%摩尔分数范围内的浓度下的氧化铪形成。
10.根据权利要求3所述的含陶瓷制品,其中所述陶瓷由在从约40%摩尔分数到小于100%摩尔分数范围内的浓度下的氧化钇,和在从高于0%摩尔分数到约60%摩尔分数范围内的浓度下的氧化铌形成。
11.根据权利要求5所述的含陶瓷制品,其中所述氧化钇存在从约40%摩尔分数到小于100%摩尔分数范围内的浓度,以及氧化锆存在从高于0%摩尔分数到约20%摩尔分数范围内的浓度,以及氧化钪存在从高于0%摩尔分数到小于100%摩尔分数范围内的浓度。
12.根据权利要求5所述的含陶瓷制品,其中所述氧化钇存在从约70%摩尔分数到小于100%摩尔分数范围内的浓度,以及氧化锆存在从高于0%摩尔分数到约17%摩尔分数范围内的浓度,以及氧化铪存在从高于0%摩尔分数到约27%摩尔分数范围内的浓度。
13.根据权利要求5所述的含陶瓷制品,其中所述制品由三相烧结陶瓷形成,所述三相烧结陶瓷包括包含Y2O3-ZrO2-Nb2O5的第一相固溶体,占所述烧结陶瓷材料的约60%到约90%之间的摩尔分数;第二相Y3NbO7,占所述烧结陶瓷材料的约5%到约30%之间的摩尔分数;以及元素形式的第三相Nb,占所述烧结陶瓷材料的约1%到约10%之间的摩尔分数。
14.根据权利要求1所述的含陶瓷制品,其中所述制品为静电夹盘或衬底升降杆外形或其它制品形式,所述制品需要从约350℃到室温范围内的温度下从约107到1015Ω-cm范围内电阻率。
15.根据权利要求1所述的含陶瓷制品,其中所述制品为在半导体处理腔室内部使用的内部组件或衬垫形式,以及其中所述陶瓷制品的所述电阻率是在约350℃至室温下约107到1015Ω-cm范围内。
16.根据权利要求1所述的含陶瓷制品,其中所述制品为固体烧结陶瓷制品。
17.根据权利要求1所述的含陶瓷制品,其中所述制品选自由静电夹盘、盖子、衬垫、喷嘴、气体分配板、喷头、静电夹盘组件、阴影框架、衬底容纳框架、处理套件和腔室衬垫组成的组。
18.根据权利要求1所述的含陶瓷制品,其中所述制品的所述表面涂覆有所述陶瓷。
19.一种降低采用静电夹盘、衬垫、或具有与等离子体接触表面的内部组件的半导体处理腔室内的等离子体电弧放电的方法,所述表面包含陶瓷材料,所述方法包含:
a)选择氧化物以由氧化钇和至少一种其它氧化物组成所述陶瓷材料,其中所述其它氧化物的阳离子具有与Y3+离子显著不同的化合价,以形成Y空位,导致所述陶瓷材料的电阻率降低;
b)烧结所述氧化物以形成至少一种结晶固溶体;以及
c)将所述陶瓷材料暴露于等离子体。
20.根据权利要求19所述的方法,其中具有与所述Y3+离子不同的化合价的所述氧化物选自由CeO2、TiO2、ZrO2、HfO2、Nb2O5及上述氧化物的组合组成的组。
21.一种减少采用静电夹盘、衬垫或具有接触等离子体的表面的内部组件的半导体处理腔室内的等离子体电弧放电的方法,所述表面包含陶瓷材料,所述方法包含:
a)选择氧化物以由氧化钇和至少一种其它氧化物组成所述陶瓷材料,其中所述其它氧化物的阳离子展示出与Y3+离子相同的化合价,但具有与Y3+离子显著不同的离子半径,导致所述陶瓷材料的电阻率降低;
b)在还原气氛中烧结所述氧化物;以及
c)将所述陶瓷材料暴露于等离子体。
22.根据权利要求21所述的方法,其中具有显著不同的离子半径的所述氧化物选自由Nd2O3、Sm2O3、Sc2O3、Yb2O3、Er2O3、Ho2O3、Dy2O3及上述氧化物的组合组成的组。
23.一种含陶瓷制品,能抵抗半导体处理中使用的含卤素等离子体的侵蚀并提供可控电阻率,所述可控电阻率有益于减小在半导体处理腔室内的各种组件处等离子体电弧放电的可能性,其中所述含陶瓷制品的表面在从约350℃至室温范围内的温度下呈现在约107到1015Ω-cm范围内的电阻率,所述表面包含至少一种固溶体,所述至少一种固溶体包含在40%摩尔分数或更高浓度下的氧化钇,并且所述至少一种固溶体还包含选自由氧化锆、氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及上述氧化物的组合组成的组的至少一种或多种氧化物。
24.根据权利要求23所述的含陶瓷制品,其中两种氧化物用于形成所述至少一种固溶体,所述至少一种固溶体包含氧化钇和其它氧化物,其中所述其它氧化物选自由氧化锆、氧化铈、氧化铪和氧化铌组成的组。
25.根据权利要求23所述的含陶瓷制品,其中多于两种的前驱物氧化物用于形成包含氧化钇的所述固溶体,以及其中所述前驱物氧化物包括氧化锆以及选自由氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈及上述氧化物组合组成的组的其它氧化物。
26.根据权利要求25所述的含陶瓷制品,其中氧化钇存在从约40%摩尔分数到小于100%摩尔分数范围内的浓度,氧化锆存在从高于0%摩尔分数到约27%摩尔分数范围内的浓度,以及氧化钪存在高于0%摩尔分数到小于60%摩尔分数。
27.根据权利要求25所述的含陶瓷制品,其中氧化钇存在从约70%摩尔分数到小于100%摩尔分数范围内的浓度,氧化锆存在从高于0%摩尔分数到约17%摩尔分数范围内,以及氧化铪存在从高于0%摩尔分数到约27%摩尔分数范围内。
28.根据权利要求23所述的含陶瓷制品,其中所述制品为静电夹盘或衬底升降杆形式,所述制品需要从约255℃到室温范围内的温度下从约107到1011Ω-cm范围内的电阻率。
29.根据权利要求23所述的含陶瓷制品,其中所述制品为在半导体处理腔室内部使用的内部组件或衬垫形式,以及其中所述陶瓷制品的所述电阻率是在约250℃下约107Ω-cm到在室温下约1015Ω-cm范围内。
30.根据权利要求23所述的含陶瓷制品,其中所述制品为固体烧结陶瓷制品。
31.根据权利要求23所述的含陶瓷制品,其中所述制品选自由静电夹盘、盖子、衬垫、喷嘴、气体分配板、喷头、静电夹盘组件、阴影框架、衬底容纳框架、处理套件和腔室衬垫组成的组。
32.一种含陶瓷制品,能抵抗半导体处理中使用的含卤素等离子体的侵蚀,并呈现在250℃的温度下小于约107Ω-cm和上达在室温下约1011Ω-cm的电阻率,所述含陶瓷制品具有固溶体陶瓷表面,所述固溶体陶瓷表面由前驱物氧化物形成,所述前驱物氧化物形成三相烧结陶瓷,所述三相烧结陶瓷包括包含Y2O3-ZrO2-Nb2O5的第一相固溶体,占所述烧结陶瓷材料的约60%到约90%之间的摩尔分数;第二相Y3NbO7,占所述烧结陶瓷材料的约5%到约30%之间的摩尔分数;以及元素形式的第三相Nb,占所述烧结陶瓷材料的约1%到约10%之间的摩尔分数。
CN201510093578.0A 2007-08-02 2007-09-29 具有可控电阻率的耐等离子体腐蚀陶瓷 Pending CN104710178A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/890,156 US8367227B2 (en) 2007-08-02 2007-08-02 Plasma-resistant ceramics with controlled electrical resistivity
US11/890,156 2007-08-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101615516A Division CN101357846A (zh) 2007-08-02 2007-09-29 具有可控电阻率的耐等离子体腐蚀陶瓷

Publications (1)

Publication Number Publication Date
CN104710178A true CN104710178A (zh) 2015-06-17

Family

ID=40229937

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2007101615516A Pending CN101357846A (zh) 2007-08-02 2007-09-29 具有可控电阻率的耐等离子体腐蚀陶瓷
CN201510093578.0A Pending CN104710178A (zh) 2007-08-02 2007-09-29 具有可控电阻率的耐等离子体腐蚀陶瓷

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2007101615516A Pending CN101357846A (zh) 2007-08-02 2007-09-29 具有可控电阻率的耐等离子体腐蚀陶瓷

Country Status (7)

Country Link
US (2) US8367227B2 (zh)
EP (1) EP2030961A3 (zh)
JP (2) JP2009035469A (zh)
KR (1) KR100934516B1 (zh)
CN (2) CN101357846A (zh)
SG (1) SG149811A1 (zh)
TW (1) TWI361177B (zh)

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4936948B2 (ja) * 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2010024354A1 (ja) * 2008-08-29 2010-03-04 Toto株式会社 静電チャックおよびその製造方法
JP2010064937A (ja) * 2008-09-12 2010-03-25 Covalent Materials Corp プラズマ処理装置用セラミックス
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP2012074650A (ja) * 2010-09-30 2012-04-12 Samco Inc プラズマ処理用トレイ及びプラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5526098B2 (ja) * 2011-09-30 2014-06-18 コバレントマテリアル株式会社 耐食性部材及びその製造方法
JP2013079155A (ja) * 2011-09-30 2013-05-02 Covalent Materials Corp 耐プラズマ性部材
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101421112B1 (ko) * 2012-10-26 2014-07-21 한양대학교 산학협력단 정전분무 슬러리 증착 공정을 이용한 내플라즈마 부재의 제조방법 및 이를 이용한 리프트 핀의 제조방법
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) * 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6283532B2 (ja) * 2014-02-26 2018-02-21 東京エレクトロン株式会社 静電チャックの製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6489467B2 (ja) * 2014-09-05 2019-03-27 国立大学法人 香川大学 複合酸化物セラミックスおよび半導体製造装置の構成部材
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102674364B1 (ko) 2015-11-16 2024-06-13 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN106892685B (zh) * 2015-12-18 2020-04-28 有研工程技术研究院有限公司 一种陶瓷金属化薄膜及其制备方法
CN106892649B (zh) * 2015-12-21 2020-06-09 中国石油天然气股份有限公司 一种球形氧化铝的制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107344868B (zh) * 2016-05-06 2019-08-27 山东大学 一种在SiC衬底上制备无缓冲层的单层石墨烯的方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
EP3526177B1 (en) 2016-11-16 2021-06-09 Coorstek Inc. Corrosion-resistant components and methods of making
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106854086B (zh) * 2016-12-29 2021-11-19 北京华夏特材科技发展有限公司 一种耐高温的莫来石型气凝胶复合材料及其制备方法
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106866114B (zh) * 2017-03-12 2019-10-22 江西理工大学 一种铅锌冶炼烟化炉渣基的建筑陶粒及其制备方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
CN106927839B (zh) * 2017-03-24 2019-11-22 洛阳欧斯特节能科技有限公司 一种微孔绝热砖
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107056310B (zh) * 2017-04-17 2019-09-10 武汉科技大学 一种高强微孔莫来石耐火骨料及其制备方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN107140991B (zh) * 2017-05-27 2019-10-29 山东工业陶瓷研究设计院有限公司 一种用于3d打印的陶瓷球形料及其制备方法与应用
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN107140962B (zh) * 2017-05-31 2019-09-06 山东工业陶瓷研究设计院有限公司 石英质陶瓷回转体的制备方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107337452B (zh) * 2017-07-14 2019-10-25 陕西师范大学 高透明性和发光热稳定性的Sm3+掺杂钨青铜发光铁电陶瓷材料及其制备方法
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107445631B (zh) * 2017-07-27 2019-09-03 浙江铁狮高温材料有限公司 一种抗侵蚀钢包长水口的制备方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107673740A (zh) * 2017-11-09 2018-02-09 平定县冠窑砂器陶艺有限公司 一种多功能茶器及其制备方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
CN110386817A (zh) * 2019-08-21 2019-10-29 重庆臻宝实业有限公司 抗等离子体腐蚀陶瓷及制备方法
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU868881A1 (ru) * 1980-01-11 1981-09-30 Московский Ордена Ленина,Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Государственный Университет Им.М.В.Ломоносова Материал дл вторичноэлектронных катодов
US4507394A (en) * 1982-12-24 1985-03-26 Ngk Insulators, Ltd. High electric resistant zirconia and/or hafnia ceramics
US4656455A (en) 1984-07-20 1987-04-07 Toyama Prefecture Humidity-sensing element
JPS6131352A (ja) 1984-07-20 1986-02-13 富山県 感湿素子の後処理方法
US4906524A (en) 1987-05-29 1990-03-06 Orient Watch Co., Ltd. Surface-coated article and a method for the preparation thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
DE69603627T2 (de) 1995-01-19 1999-12-30 Ube Industries Keramischer Verbundkörper
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JP2003526191A (ja) 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
TW503263B (en) * 1997-12-03 2002-09-21 Matsushita Electric Works Ltd Plasma processing apparatus and method
JP3180092B2 (ja) 1997-12-03 2001-06-25 松下電工株式会社 プラズマ処理システム及びプラズマ処理方法
US6429400B1 (en) 1997-12-03 2002-08-06 Matsushita Electric Works Ltd. Plasma processing apparatus and method
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
JP2000012666A (ja) 1998-06-19 2000-01-14 Taiheiyo Cement Corp 静電チャック
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3241350B2 (ja) 1999-09-28 2001-12-25 太平洋セメント株式会社 導電性セラミックスおよびその製造方法
JP2001023908A (ja) 1999-07-07 2001-01-26 Texas Instr Japan Ltd 真空処理装置
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
DE19955134A1 (de) 1999-11-17 2001-05-23 Sms Demag Ag Rekuperatorbrenner
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20020110698A1 (en) 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
JP2001203256A (ja) 2000-01-19 2001-07-27 Taiheiyo Cement Corp ウェハ保持具
TW488010B (en) 2000-02-04 2002-05-21 Kobe Steel Ltd Chamber member made of aluminum alloy and heater block
JP2001244246A (ja) 2000-02-29 2001-09-07 Taiheiyo Cement Corp フォーカスリング
JP2001322871A (ja) 2000-03-07 2001-11-20 Shin Etsu Chem Co Ltd 希土類元素を含有する酸化物焼結体およびその製造方法
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP3967093B2 (ja) 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法
JP3479633B2 (ja) * 2000-07-21 2003-12-15 日本特殊陶業株式会社 セラミックボール、ボールベアリング、ベアリング付きモータ、ハードディスク装置、ポリゴンスキャナ及びセラミックボールの製造方法
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
WO2002093605A2 (en) 2001-05-17 2002-11-21 Tokyo Electron Limited Cylinder-based plasma processing system
US6730413B2 (en) * 2001-07-31 2004-05-04 General Electric Company Thermal barrier coating
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
JP2003257321A (ja) 2002-03-06 2003-09-12 Pioneer Electronic Corp プラズマディスプレイパネル
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP3847198B2 (ja) 2002-03-27 2006-11-15 京セラ株式会社 静電チャック
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP2004292270A (ja) 2003-03-27 2004-10-21 Kyocera Corp 耐食性部材及びその製造方法
US20040245098A1 (en) 2003-06-04 2004-12-09 Rodger Eckerson Method of fabricating a shield
US7141522B2 (en) * 2003-09-18 2006-11-28 3M Innovative Properties Company Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US20050123288A1 (en) 2003-11-12 2005-06-09 Ibiden Co., Ltd. Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
JP4780932B2 (ja) 2004-05-25 2011-09-28 京セラ株式会社 耐食性部材とその製造方法および半導体・液晶製造装置用部材
US7135426B2 (en) 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
US20050279457A1 (en) 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
CN1958512B (zh) * 2005-10-31 2010-05-12 科发伦材料株式会社 透光性稀土氧化物烧结体及其制造方法
JP5005317B2 (ja) 2005-10-31 2012-08-22 コバレントマテリアル株式会社 透光性酸化イットリウム焼結体及びその製造方法
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI562205B (en) 2007-04-27 2016-12-11 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity

Also Published As

Publication number Publication date
EP2030961A3 (en) 2009-08-12
SG149811A1 (en) 2009-02-27
US20130022838A1 (en) 2013-01-24
JP2013063904A (ja) 2013-04-11
US8871312B2 (en) 2014-10-28
US20090036292A1 (en) 2009-02-05
EP2030961A2 (en) 2009-03-04
TW200906759A (en) 2009-02-16
JP2009035469A (ja) 2009-02-19
TWI361177B (en) 2012-04-01
US8367227B2 (en) 2013-02-05
KR20090013645A (ko) 2009-02-05
CN101357846A (zh) 2009-02-04
KR100934516B1 (ko) 2009-12-31

Similar Documents

Publication Publication Date Title
CN104710178A (zh) 具有可控电阻率的耐等离子体腐蚀陶瓷
CN101772589B (zh) 使用降低电弧和腐蚀的保护性含钇涂层涂覆半导体处理设备的方法
JP6259844B2 (ja) ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US10840113B2 (en) Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US9051219B2 (en) Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
CN109423606A (zh) 聚焦环及其耐腐蚀防护方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150617

WD01 Invention patent application deemed withdrawn after publication