JP2009035469A - 制御された電気抵抗率を備えた耐プラズマ性セラミック - Google Patents
制御された電気抵抗率を備えた耐プラズマ性セラミック Download PDFInfo
- Publication number
- JP2009035469A JP2009035469A JP2007251717A JP2007251717A JP2009035469A JP 2009035469 A JP2009035469 A JP 2009035469A JP 2007251717 A JP2007251717 A JP 2007251717A JP 2007251717 A JP2007251717 A JP 2007251717A JP 2009035469 A JP2009035469 A JP 2009035469A
- Authority
- JP
- Japan
- Prior art keywords
- oxide
- mol
- ceramic
- range
- article
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000919 ceramic Substances 0.000 title claims description 73
- 229910010293 ceramic material Inorganic materials 0.000 claims abstract description 83
- 238000012545 processing Methods 0.000 claims abstract description 44
- 239000004065 semiconductor Substances 0.000 claims abstract description 40
- 230000003628 erosive effect Effects 0.000 claims abstract description 28
- 229910052736 halogen Inorganic materials 0.000 claims abstract description 10
- 150000002367 halogens Chemical class 0.000 claims abstract description 10
- 210000002381 plasma Anatomy 0.000 claims description 56
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 42
- 238000000034 method Methods 0.000 claims description 34
- 239000006104 solid solution Substances 0.000 claims description 28
- 150000002500 ions Chemical class 0.000 claims description 20
- 239000000203 mixture Substances 0.000 claims description 19
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 12
- 239000000758 substrate Substances 0.000 claims description 12
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 12
- VQCBHWLJZDBHOS-UHFFFAOYSA-N erbium(iii) oxide Chemical compound O=[Er]O[Er]=O VQCBHWLJZDBHOS-UHFFFAOYSA-N 0.000 claims description 10
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 9
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 9
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 8
- 229910000484 niobium oxide Inorganic materials 0.000 claims description 8
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 claims description 8
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 8
- 239000002243 precursor Substances 0.000 claims description 8
- HYXGAEYDKFCVMU-UHFFFAOYSA-N scandium oxide Chemical compound O=[Sc]O[Sc]=O HYXGAEYDKFCVMU-UHFFFAOYSA-N 0.000 claims description 7
- 229910052747 lanthanoid Inorganic materials 0.000 claims description 6
- 150000002602 lanthanoids Chemical class 0.000 claims description 6
- 239000010955 niobium Substances 0.000 claims description 6
- 229910017493 Nd 2 O 3 Inorganic materials 0.000 claims description 5
- UZLYXNNZYFBAQO-UHFFFAOYSA-N oxygen(2-);ytterbium(3+) Chemical compound [O-2].[O-2].[O-2].[Yb+3].[Yb+3] UZLYXNNZYFBAQO-UHFFFAOYSA-N 0.000 claims description 5
- 229910001954 samarium oxide Inorganic materials 0.000 claims description 5
- 229940075630 samarium oxide Drugs 0.000 claims description 5
- FKTOIHSPIPYAPE-UHFFFAOYSA-N samarium(iii) oxide Chemical compound [O-2].[O-2].[O-2].[Sm+3].[Sm+3] FKTOIHSPIPYAPE-UHFFFAOYSA-N 0.000 claims description 5
- 239000007787 solid Substances 0.000 claims description 5
- 229910003454 ytterbium oxide Inorganic materials 0.000 claims description 5
- 229940075624 ytterbium oxide Drugs 0.000 claims description 5
- 238000009826 distribution Methods 0.000 claims description 4
- 238000005245 sintering Methods 0.000 claims description 4
- 229910010413 TiO 2 Inorganic materials 0.000 claims description 3
- 238000005260 corrosion Methods 0.000 abstract description 22
- 230000007797 corrosion Effects 0.000 abstract description 22
- 238000010891 electric arc Methods 0.000 abstract description 4
- 238000012986 modification Methods 0.000 abstract 1
- 230000004048 modification Effects 0.000 abstract 1
- 239000000463 material Substances 0.000 description 42
- 230000008569 process Effects 0.000 description 12
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 10
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 9
- 230000000052 comparative effect Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 238000012360 testing method Methods 0.000 description 8
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 229910000838 Al alloy Inorganic materials 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- PLDDOISOJJCEMH-UHFFFAOYSA-N neodymium(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Nd+3].[Nd+3] PLDDOISOJJCEMH-UHFFFAOYSA-N 0.000 description 5
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 4
- 230000005855 radiation Effects 0.000 description 4
- 239000002904 solvent Substances 0.000 description 4
- 239000007921 spray Substances 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 229910052727 yttrium Inorganic materials 0.000 description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 3
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- JNDMLEXHDPKVFC-UHFFFAOYSA-N aluminum;oxygen(2-);yttrium(3+) Chemical compound [O-2].[O-2].[O-2].[Al+3].[Y+3] JNDMLEXHDPKVFC-UHFFFAOYSA-N 0.000 description 2
- 238000005524 ceramic coating Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000001747 exhibiting effect Effects 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 238000010285 flame spraying Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052758 niobium Inorganic materials 0.000 description 2
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000010587 phase diagram Methods 0.000 description 2
- 238000007750 plasma spraying Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000011253 protective coating Substances 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910019901 yttrium aluminum garnet Inorganic materials 0.000 description 2
- 241001416181 Axis axis Species 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 229910052779 Neodymium Chemical group 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical group [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical group [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 239000010436 fluorite Substances 0.000 description 1
- 230000008570 general process Effects 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical group [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical group [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- KZUNJOHGWZRPMI-UHFFFAOYSA-N samarium atom Chemical group [Sm] KZUNJOHGWZRPMI-UHFFFAOYSA-N 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical group [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000005477 sputtering target Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 239000004575 stone Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B35/00—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
- C04B35/50—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
- C04B35/505—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B35/00—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
- C04B35/01—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
- C04B35/48—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
- C04B35/486—Fine ceramics
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B35/00—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
- C04B35/01—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
- C04B35/495—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on vanadium, niobium, tantalum, molybdenum or tungsten oxides or solid solutions thereof with other oxides, e.g. vanadates, niobates, tantalates, molybdates or tungstates
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B35/00—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
- C04B35/50—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3217—Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3217—Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
- C04B2235/3222—Aluminates other than alumino-silicates, e.g. spinel (MgAl2O4)
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3224—Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3224—Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
- C04B2235/3225—Yttrium oxide or oxide-forming salts thereof
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3224—Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
- C04B2235/3229—Cerium oxides or oxide-forming salts thereof
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3231—Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
- C04B2235/3244—Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3231—Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
- C04B2235/3251—Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3231—Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
- C04B2235/3251—Niobium oxides, niobates, tantalum oxides, tantalates, or oxide-forming salts thereof
- C04B2235/3255—Niobates or tantalates, e.g. silver niobate
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/40—Metallic constituents or additives not added as binding phase
- C04B2235/404—Refractory metals
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/74—Physical characteristics
- C04B2235/76—Crystal structural characteristics, e.g. symmetry
- C04B2235/762—Cubic symmetry, e.g. beta-SiC
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/74—Physical characteristics
- C04B2235/77—Density
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/80—Phases present in the sintered or melt-cast ceramic products other than the main phase
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/80—Phases present in the sintered or melt-cast ceramic products other than the main phase
- C04B2235/81—Materials characterised by the absence of phases other than the main phase, i.e. single phase materials
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31—Surface property or characteristic of web, sheet or block
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Ceramic Engineering (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Structural Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Composite Materials (AREA)
- Drying Of Semiconductors (AREA)
- Compositions Of Oxide Ceramics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Abstract
【解決手段】腐食性プラズマはハロゲン含有プラズマであってよい。特殊なセラミック材料は修正されて、制御された電気抵抗率を与えて、プラズマアーク放電の可能性を低減する。
【選択図】図1
Description
本発明の実施形態は、半導体処理装置に存在する種類のプラズマに極めて抵抗性のある固溶体セラミックを主に含む特定の酸化イットリウム含有セラミックに関する。
この節には、本発明の開示された実施形態に関連する背景の主題を記載する。この節に明白か暗黙かのいずれかにより述べられた背景技術が、法的な先行技術を構成することは意図されていない。
(実施例)
図1に、本発明の例示の実施形態に従って作製したタイプA及びタイプBの材料をはじめとする様々なセラミック材料の電気抵抗率を例証するグラフを示す。抵抗率は軸104に、軸102に示す温度の関数として示されている。抵抗率は、ASTM D1829−66又はJIS C2141に従った標準試験条件を用いて、大気環境中1000Vで測定された。
図1に示す曲線108は、同じく表にサンプル番号1と記載された、本発明に従って作製された、HfO2含有焼結セラミック材料を表している。このセラミック材料は、Nb2O5含有セラミック材料よりも高い電気抵抗率を示すが、静電チャックや基板リフトピンに関して、アーク放電があまり重要でない場合に、半導体処理装置コンポーネントを製造するのに有用である。
図1に示す曲線110は、同じく表にサンプル2と記載された、本発明に従って作製された、Sc2O3含有焼結セラミック材料を表している。この材料も、抵抗率要件が1011Ω・cmである用途に用いてもよい。
図1に示す曲線112は、図2の相平衡状態図に示されるY2O3−ZrO3−Al2O3材料を表している。この材料は、制御された抵抗率セラミック材料に関して、比較例の目的でのみ記載されている。この焼結セラミック材料はY2O3及びZrO3から形成された固溶体と、Y2O3及びAl2O3酸化物から形成された化合物とを含む。代表的な焼結セラミック材料は、約60モル%〜約65モル%の範囲の濃度のY2O3、約20モル%〜約25モル%の範囲の濃度のZrO2、約10モル%〜約15モル%の範囲の濃度のAl2O3から形成されている。図2の相平衡状態図の領域「A」により示されていて、図1に示すY2O3−ZrO2−Ar2O3についてのグラフにより表されている焼結セラミック材料の一実施形態は、c−Y2O3が溶媒で、Zr2O3が溶質の、立方イットリアタイプの結晶構造を有する約60モル%の固溶体、ZrO2が溶媒で、Y2O3が溶質の、ホタル石タイプの結晶構造を有する約2モル%の固溶体、及び約38モル%のYAM(Y4Al2O9)化合物を含有する。
図1に示す曲線114は、表にサンプル番号3と記載された、Nd2O3含有焼結セラミック材料を表している。この材料は、アーク放電を防ぐのに必要な要件に適合しておらず、本発明を形成する独特なセラミック材料の一部ではない比較例と考えられる。
図1の曲線116は、純粋なY2O3の焼結セラミックについて観察された電気抵抗率特性を表す。この材料も比較例であり、基準として有用である。数多くの半導体装置コンポーネントが、純粋なY2O3から製造されているからである。純粋なY2O3の抵抗率の比較によって、本発明により得られる電気抵抗率に関して、非常に大きな改善が示される。
(実施例7)
(実施例8)
Claims (22)
- 半導体処理に用いるハロゲン含有プラズマによる浸食に対して抵抗性があり、約350℃から室温までの範囲の温度で約10−7〜10−15Ω・cmの範囲の制御された電気抵抗率を示すセラミック含有物品であって、前記セラミック物品は、酸化イットリウムを含有する少なくとも1種類の固溶体を含む表面を有し、酸化イットリウムを含む少なくとも1種類の固溶体がまた、酸化ジルコニウム、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせからなる群より選択される1種類又はそれ以上の酸化物も含有しているセラミック含有物品。
- 前記セラミック物品に、他のランタノイド系列の元素酸化物が含まれている請求項1記載のセラミック含有物品。
- 酸化イットリウム及び他の酸化物を含む前記少なくとも1種類の固溶体を2種類の酸化物を用いて形成し、前記他の酸化物が、酸化ジルコニウム、酸化セリウム、酸化ハフニウム及び酸化ニオブからなる群より選択される請求項1に記載のセラミック含有物品。
- 前記セラミック物品に、酸化スカンジウム、酸化サマリウム、酸化イッテルビウム、酸化エルビウム又は他のランタノイド系列元素酸化物が含まれている請求項3記載のセラミック含有物品。
- 酸化イットリウムを含む前記固溶体を3種類以上の前駆体酸化物を用いて形成し、前記前駆体酸化物が、酸化ジルコニウムと、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム及びこれらの組み合わせからなる群より選択される他の酸化物とを含む請求項1記載のセラミック含有物品。
- 前記セラミック含有物品に他のランタノイド系列元素が含まれている請求項5記載のセラミック含有物品。
- 前記セラミックが、約40モル%から100モル%未満の範囲の濃度の酸化イットリウム、及び0モル%を超え、約60モル%の範囲の濃度の酸化ジルコニウムから形成されている請求項3記載のセラミック含有物品。
- 前記セラミックが、約40モル%から100モル%未満の範囲の濃度の酸化イットリウム、及び0モル%を超え、約60モル%の範囲の濃度の酸化セリウムから形成されている請求項3記載のセラミック含有物品。
- 前記セラミックが、約40モル%から100モル%未満の範囲の濃度の酸化イットリウム及び0モル%を超え、約60モル%の範囲の濃度の酸化ハフニウムから形成されている請求項3記載のセラミック含有物品。
- 前記セラミックが、約40モル%から100モル%未満の範囲の濃度の酸化イットリウム及び0モル%を超え、約60モル%の範囲の濃度の酸化ニオブから形成されている請求項3記載のセラミック含有物品。
- 酸化イットリウムが約40モル%から100モル%未満の範囲の濃度で存在し、酸化ジルコニウムが0モル%を超え、約20モル%の範囲で存在しており、酸化スカンジウムが0モル%を超え、100モル%未満までの範囲で存在している請求項5記載のセラミック含有物品。
- 酸化イットリウムが、約70モル%から100モル%未満の範囲の濃度で存在し、酸化ジルコニウムが0モル%を超え、約17モル%の範囲で存在しており、酸化ハフニウムが0モル%を超え、約27モル%までの範囲で存在している請求項5記載のセラミック含有物品。
- 前記物品が3相焼結セラミックから形成されており、前記3相が、前記焼結セラミック材料の約60モル%から約90モル%を構成するY2O3−ZrO2−Nb2O5を含む固溶体の第1の相と、前記焼結セラミック材料の約5モル%から約30モル%を構成するY3NbO7の固溶体の第2の相と、前記焼結セラミック材料の約1モル%から約10モル%を構成する元素の形態にあるNbの第3の相とを含む請求項5記載のセラミック含有物品。
- 前記物品が、静電チャック又は基板リフトピン形、或いは、約350℃から室温までの範囲の温度で約10−7〜10−15Ω・cmの範囲の電気抵抗率を必要とする他の物品の形態にある請求項1記載のセラミック含有物品。
- 前記物品が、半導体処理チャンバの内部で用いる内部コンポーネント又はライナの形態にあり、前記セラミック物品の前記抵抗率が約350℃から室温までの範囲の温度で約10−7〜10−15Ω・cmの範囲にある請求項1記載のセラミック含有物品。
- 前記物品が、固体焼結セラミック物品である請求項1記載のセラミック含有物品。
- 前記物品が、静電チャック、蓋、ライナ、ノズル、ガス分配板、シャワーヘッド、静電チャックコンポーネント、シャドウフレーム、基板保持フレーム、処理キット及びチャンバライナからなる群より選択される請求項1記載のセラミック含有物品。
- 前記物品の前記表面が、前記セラミックで塗膜されている請求項1記載のセラミック含有物品。
- 半導体処理チャンバ内のプラズマアーク放電を減じる方法であって、前記半導体チャンバは、プラズマと接触する、セラミック材料を含む表面を有する静電チャック、ライナ又は内部コンポーネントを使用しており、前記方法が、
a)酸化物を選択して、酸化イットリウム及び少なくとも1種類の他の酸化物からセラミック材料を含める工程であって、前記他の酸化物の正イオンが、Y3+イオンとは大きく異なる価数を有していて、Y価を形成し、前記セラミック材料の電子抵抗率の減少を導く工程と、
b)前記酸化物を焼結して、少なくとも1種類の結晶固溶体を形成する工程と、
c)前記セラミック材料をプラズマに露出する工程とを含む方法。 - 前記Y3+イオンとは異なる価数を有する前記酸化物が、CeO2、TiO2、ZrO2、HfO2、Nb2O5及びこれらの組み合わせからなる群より選択される請求項19記載の方法。
- 半導体処理チャンバ内のプラズマアーク放電を減じる方法であって、前記半導体チャンバは、プラズマと接触する、セラミック材料を含む表面を有する静電チャック、ライナ又は内部コンポーネントを使用しており、前記方法が、
a)酸化物を選択して、酸化イットリウム及び少なくとも1種類の他の酸化物からセラミック材料を含める工程であって、前記他の酸化物の正イオンがY3+イオンと同じ価数を有しているが、Y3+イオンとかなり異なるイオン半径を有し、前記セラミック材料の電子抵抗率の減少を導く工程と、
b)前記酸化物を還元雰囲気中で焼結する工程と、
c)前記セラミック材料をプラズマに露出する工程とを含む方法。 - 前記大きく異なるイオン半径を有する前記酸化物が、Nd2O3、Sm2O3、Sc2O3、Yb2O3、Er2O3、Ho2O3、Dy2O3及びこれらの組み合わせからなる群より選択される請求項21記載の方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/890,156 US8367227B2 (en) | 2007-08-02 | 2007-08-02 | Plasma-resistant ceramics with controlled electrical resistivity |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012250961A Division JP2013063904A (ja) | 2007-08-02 | 2012-11-15 | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2009035469A true JP2009035469A (ja) | 2009-02-19 |
Family
ID=40229937
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007251717A Pending JP2009035469A (ja) | 2007-08-02 | 2007-09-27 | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
JP2012250961A Pending JP2013063904A (ja) | 2007-08-02 | 2012-11-15 | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012250961A Pending JP2013063904A (ja) | 2007-08-02 | 2012-11-15 | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
Country Status (7)
Country | Link |
---|---|
US (2) | US8367227B2 (ja) |
EP (1) | EP2030961A3 (ja) |
JP (2) | JP2009035469A (ja) |
KR (1) | KR100934516B1 (ja) |
CN (2) | CN104710178A (ja) |
SG (1) | SG149811A1 (ja) |
TW (1) | TWI361177B (ja) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2010024354A1 (ja) * | 2008-08-29 | 2010-03-04 | Toto株式会社 | 静電チャックおよびその製造方法 |
JP2012074650A (ja) * | 2010-09-30 | 2012-04-12 | Samco Inc | プラズマ処理用トレイ及びプラズマ処理装置 |
JP2013063904A (ja) * | 2007-08-02 | 2013-04-11 | Applied Materials Inc | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
JP2013076142A (ja) * | 2011-09-30 | 2013-04-25 | Covalent Materials Corp | 耐食性部材及びその製造方法 |
JP2013079155A (ja) * | 2011-09-30 | 2013-05-02 | Covalent Materials Corp | 耐プラズマ性部材 |
JP2013519790A (ja) * | 2010-02-11 | 2013-05-30 | アプライド マテリアルズ インコーポレイテッド | 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド |
JP2015530737A (ja) * | 2012-07-27 | 2015-10-15 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料 |
KR20160033700A (ko) * | 2013-07-20 | 2016-03-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
CN106892685A (zh) * | 2015-12-18 | 2017-06-27 | 北京有色金属研究总院 | 一种陶瓷金属化薄膜及其制备方法 |
JP2017143271A (ja) * | 2013-11-12 | 2017-08-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 希土類酸化物系モノリシックチャンバ材料 |
Families Citing this family (378)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7371467B2 (en) * | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US20080264564A1 (en) * | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
JP4936948B2 (ja) * | 2007-03-27 | 2012-05-23 | 日本碍子株式会社 | 複合材料及びその製造方法 |
US10242888B2 (en) | 2007-04-27 | 2019-03-26 | Applied Materials, Inc. | Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
JP2010064937A (ja) * | 2008-09-12 | 2010-03-25 | Covalent Materials Corp | プラズマ処理装置用セラミックス |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR101421112B1 (ko) * | 2012-10-26 | 2014-07-21 | 한양대학교 산학협력단 | 정전분무 슬러리 증착 공정을 이용한 내플라즈마 부재의 제조방법 및 이를 이용한 리프트 핀의 제조방법 |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
US9358702B2 (en) | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9669653B2 (en) | 2013-03-14 | 2017-06-06 | Applied Materials, Inc. | Electrostatic chuck refurbishment |
US9887121B2 (en) | 2013-04-26 | 2018-02-06 | Applied Materials, Inc. | Protective cover for electrostatic chuck |
US9666466B2 (en) | 2013-05-07 | 2017-05-30 | Applied Materials, Inc. | Electrostatic chuck having thermally isolated zones with minimal crosstalk |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US20150079370A1 (en) | 2013-09-18 | 2015-03-19 | Applied Materials, Inc. | Coating architecture for plasma sprayed chamber components |
WO2015061616A1 (en) | 2013-10-24 | 2015-04-30 | Surmet Corporation | High purity polycrystalline aluminum oxynitride bodies |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
JP6283532B2 (ja) * | 2014-02-26 | 2018-02-21 | 東京エレクトロン株式会社 | 静電チャックの製造方法 |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP6489467B2 (ja) * | 2014-09-05 | 2019-03-27 | 国立大学法人 香川大学 | 複合酸化物セラミックスおよび半導体製造装置の構成部材 |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US20170140902A1 (en) | 2015-11-16 | 2017-05-18 | Coorstek, Inc. | Corrosion-resistant components and methods of making |
US10020218B2 (en) | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
CN106892649B (zh) * | 2015-12-21 | 2020-06-09 | 中国石油天然气股份有限公司 | 一种球形氧化铝的制备方法 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
CN107344868B (zh) * | 2016-05-06 | 2019-08-27 | 山东大学 | 一种在SiC衬底上制备无缓冲层的单层石墨烯的方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP7054705B2 (ja) | 2016-11-16 | 2022-04-14 | クアーズテック,インコーポレイティド | 耐食性構成要素およびその製造方法 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
CN106854086B (zh) * | 2016-12-29 | 2021-11-19 | 北京华夏特材科技发展有限公司 | 一种耐高温的莫来石型气凝胶复合材料及其制备方法 |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
CN106866114B (zh) * | 2017-03-12 | 2019-10-22 | 江西理工大学 | 一种铅锌冶炼烟化炉渣基的建筑陶粒及其制备方法 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
CN106927839B (zh) * | 2017-03-24 | 2019-11-22 | 洛阳欧斯特节能科技有限公司 | 一种微孔绝热砖 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
CN107056310B (zh) * | 2017-04-17 | 2019-09-10 | 武汉科技大学 | 一种高强微孔莫来石耐火骨料及其制备方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
CN107140991B (zh) * | 2017-05-27 | 2019-10-29 | 山东工业陶瓷研究设计院有限公司 | 一种用于3d打印的陶瓷球形料及其制备方法与应用 |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
CN107140962B (zh) * | 2017-05-31 | 2019-09-06 | 山东工业陶瓷研究设计院有限公司 | 石英质陶瓷回转体的制备方法 |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
CN107337452B (zh) * | 2017-07-14 | 2019-10-25 | 陕西师范大学 | 高透明性和发光热稳定性的Sm3+掺杂钨青铜发光铁电陶瓷材料及其制备方法 |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
CN107445631B (zh) * | 2017-07-27 | 2019-09-03 | 浙江铁狮高温材料有限公司 | 一种抗侵蚀钢包长水口的制备方法 |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
CN107673740A (zh) * | 2017-11-09 | 2018-02-09 | 平定县冠窑砂器陶艺有限公司 | 一种多功能茶器及其制备方法 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11014853B2 (en) | 2018-03-07 | 2021-05-25 | Applied Materials, Inc. | Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
KR102640172B1 (ko) | 2019-07-03 | 2024-02-23 | 삼성전자주식회사 | 기판 처리 장치 및 이의 구동 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
CN110386817A (zh) * | 2019-08-21 | 2019-10-29 | 重庆臻宝实业有限公司 | 抗等离子体腐蚀陶瓷及制备方法 |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11976357B2 (en) | 2019-09-09 | 2024-05-07 | Applied Materials, Inc. | Methods for forming a protective coating on processing chamber surfaces or components |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN116332647A (zh) * | 2023-02-14 | 2023-06-27 | 沪硅精密陶瓷科技(苏州)有限公司 | 抗等离子体稀土氧化物固溶体陶瓷及其制造方法 |
Citations (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6131352A (ja) * | 1984-07-20 | 1986-02-13 | 富山県 | 感湿素子の後処理方法 |
JPH11251304A (ja) * | 1997-12-03 | 1999-09-17 | Matsushita Electric Works Ltd | プラズマ処理システム及びプラズマ処理方法 |
JP2000001362A (ja) * | 1998-06-10 | 2000-01-07 | Nippon Seratekku:Kk | 耐食性セラミックス材料 |
JP2000012666A (ja) * | 1998-06-19 | 2000-01-14 | Taiheiyo Cement Corp | 静電チャック |
JP2001089229A (ja) * | 1999-09-28 | 2001-04-03 | Taiheiyo Cement Corp | 導電性セラミックスおよびその製造方法 |
JP2001181042A (ja) * | 1999-12-27 | 2001-07-03 | Kyocera Corp | 耐食性セラミック部材およびその製造方法 |
JP2001203256A (ja) * | 2000-01-19 | 2001-07-27 | Taiheiyo Cement Corp | ウェハ保持具 |
JP2001244246A (ja) * | 2000-02-29 | 2001-09-07 | Taiheiyo Cement Corp | フォーカスリング |
JP2001322871A (ja) * | 2000-03-07 | 2001-11-20 | Shin Etsu Chem Co Ltd | 希土類元素を含有する酸化物焼結体およびその製造方法 |
JP2002080270A (ja) * | 2000-06-30 | 2002-03-19 | Kyocera Corp | 耐食性部材 |
JP2003282688A (ja) * | 2002-03-27 | 2003-10-03 | Kyocera Corp | 静電チャック |
JP2004292270A (ja) * | 2003-03-27 | 2004-10-21 | Kyocera Corp | 耐食性部材及びその製造方法 |
JP2005335991A (ja) * | 2004-05-25 | 2005-12-08 | Kyocera Corp | 耐食性部材とその製造方法および半導体・液晶製造装置用部材 |
JP2007145702A (ja) * | 2005-10-31 | 2007-06-14 | Toshiba Ceramics Co Ltd | 透光性酸化イットリウム焼結体及びその製造方法 |
JP2008273823A (ja) * | 2007-04-27 | 2008-11-13 | Applied Materials Inc | ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法 |
JP2010535288A (ja) * | 2007-08-02 | 2010-11-18 | アプライド マテリアルズ インコーポレイテッド | イットリウム含有保護皮膜による半導体処理装置の被覆方法 |
Family Cites Families (68)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SU868881A1 (ru) * | 1980-01-11 | 1981-09-30 | Московский Ордена Ленина,Ордена Октябрьской Революции И Ордена Трудового Красного Знамени Государственный Университет Им.М.В.Ломоносова | Материал дл вторичноэлектронных катодов |
US4507394A (en) * | 1982-12-24 | 1985-03-26 | Ngk Insulators, Ltd. | High electric resistant zirconia and/or hafnia ceramics |
US4656455A (en) | 1984-07-20 | 1987-04-07 | Toyama Prefecture | Humidity-sensing element |
US4906524A (en) | 1987-05-29 | 1990-03-06 | Orient Watch Co., Ltd. | Surface-coated article and a method for the preparation thereof |
JPH03287797A (ja) | 1990-04-03 | 1991-12-18 | Sumitomo Electric Ind Ltd | 耐食部材 |
US5366585A (en) | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
JPH07122500A (ja) | 1993-10-28 | 1995-05-12 | Fujitsu Ltd | ガス機器及びこれを利用したガス供給装置 |
US5798016A (en) | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5680013A (en) | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5605637A (en) | 1994-12-15 | 1997-02-25 | Applied Materials Inc. | Adjustable dc bias control in a plasma reactor |
EP0722919B1 (en) | 1995-01-19 | 1999-08-11 | Ube Industries, Ltd. | Ceramic composite |
US6447937B1 (en) | 1997-02-26 | 2002-09-10 | Kyocera Corporation | Ceramic materials resistant to halogen plasma and components using the same |
JP2003526191A (ja) | 1997-08-13 | 2003-09-02 | アプライド マテリアルズ インコーポレイテッド | 半導体デバイス用銅エッチング方法 |
TW503263B (en) * | 1997-12-03 | 2002-09-21 | Matsushita Electric Works Ltd | Plasma processing apparatus and method |
US6429400B1 (en) | 1997-12-03 | 2002-08-06 | Matsushita Electric Works Ltd. | Plasma processing apparatus and method |
US6123791A (en) | 1998-07-29 | 2000-09-26 | Applied Materials, Inc. | Ceramic composition for an apparatus and method for processing a substrate |
US6170429B1 (en) | 1998-09-30 | 2001-01-09 | Lam Research Corporation | Chamber liner for semiconductor process chambers |
US6383964B1 (en) * | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
TW465017B (en) | 1999-04-13 | 2001-11-21 | Applied Materials Inc | A corrosion-resistant protective coating for an apparatus and method for processing a substrate |
JP2001023908A (ja) | 1999-07-07 | 2001-01-26 | Texas Instr Japan Ltd | 真空処理装置 |
US6408786B1 (en) | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
DE19955134A1 (de) | 1999-11-17 | 2001-05-23 | Sms Demag Ag | Rekuperatorbrenner |
KR20010062209A (ko) | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
JP3510993B2 (ja) | 1999-12-10 | 2004-03-29 | トーカロ株式会社 | プラズマ処理容器内部材およびその製造方法 |
WO2001043965A1 (en) | 1999-12-14 | 2001-06-21 | The Penn State Research Foundation | Thermal barrier coatings and electron-beam, physical vapor deposition for making same |
TW488010B (en) | 2000-02-04 | 2002-05-21 | Kobe Steel Ltd | Chamber member made of aluminum alloy and heater block |
TW503449B (en) * | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
JP3967093B2 (ja) | 2000-07-10 | 2007-08-29 | 東芝セラミックス株式会社 | セラミックス部材およびその製造方法 |
JP3479633B2 (ja) * | 2000-07-21 | 2003-12-15 | 日本特殊陶業株式会社 | セラミックボール、ボールベアリング、ベアリング付きモータ、ハードディスク装置、ポリゴンスキャナ及びセラミックボールの製造方法 |
US6613442B2 (en) * | 2000-12-29 | 2003-09-02 | Lam Research Corporation | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof |
US6830622B2 (en) | 2001-03-30 | 2004-12-14 | Lam Research Corporation | Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof |
JP2002356387A (ja) | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
CN1309859C (zh) | 2001-05-17 | 2007-04-11 | 东京电子株式会社 | 基于圆筒的等离子体处理系统 |
US6730413B2 (en) * | 2001-07-31 | 2004-05-04 | General Electric Company | Thermal barrier coating |
US6682627B2 (en) | 2001-09-24 | 2004-01-27 | Applied Materials, Inc. | Process chamber having a corrosion-resistant wall and method |
JP2003146751A (ja) | 2001-11-20 | 2003-05-21 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材及びその製造方法 |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US7479304B2 (en) | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US20080264564A1 (en) * | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US6776873B1 (en) | 2002-02-14 | 2004-08-17 | Jennifer Y Sun | Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers |
JP2003257321A (ja) | 2002-03-06 | 2003-09-12 | Pioneer Electronic Corp | プラズマディスプレイパネル |
US6780787B2 (en) | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
US6565984B1 (en) | 2002-05-28 | 2003-05-20 | Applied Materials Inc. | Clean aluminum alloy for semiconductor processing equipment |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7147749B2 (en) | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7137353B2 (en) | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US20040245098A1 (en) | 2003-06-04 | 2004-12-09 | Rodger Eckerson | Method of fabricating a shield |
US7141522B2 (en) * | 2003-09-18 | 2006-11-28 | 3M Innovative Properties Company | Ceramics comprising Al2O3, Y2O3, ZrO2 and/or HfO2, and Nb2O5 and/or Ta2O5 and methods of making the same |
US20060037536A1 (en) | 2003-10-24 | 2006-02-23 | Toshiba Ceramics Co., Ltd. | Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat |
US20050123288A1 (en) | 2003-11-12 | 2005-06-09 | Ibiden Co., Ltd. | Gas injection head, method for manufacturing the same, semiconductor manufacturing device with the gas injection head and anti-corrosion product |
US7220497B2 (en) | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
US6983892B2 (en) | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
TWI291713B (en) * | 2004-04-13 | 2007-12-21 | Applied Materials Inc | Process chamber component having electroplated yttrium containing coating |
US7135426B2 (en) | 2004-05-25 | 2006-11-14 | Applied Materials, Inc. | Erosion resistant process chamber components |
US20050279457A1 (en) | 2004-06-04 | 2005-12-22 | Tokyo Electron Limited | Plasma processing apparatus and method, and plasma control unit |
US20060042754A1 (en) | 2004-07-30 | 2006-03-02 | Tokyo Electron Limited | Plasma etching apparatus |
US7119032B2 (en) | 2004-08-23 | 2006-10-10 | Air Products And Chemicals, Inc. | Method to protect internal components of semiconductor processing equipment using layered superlattice materials |
US20060043067A1 (en) | 2004-08-26 | 2006-03-02 | Lam Research Corporation | Yttria insulator ring for use inside a plasma chamber |
JP2006186306A (ja) | 2004-09-30 | 2006-07-13 | Toshiba Ceramics Co Ltd | ガス拡散プレートおよびその製造方法 |
TWI350828B (en) * | 2005-10-31 | 2011-10-21 | Covalent Materials Corp | Transparent rare-earth oxide sintered body and manufacturing method thereof |
US7648782B2 (en) * | 2006-03-20 | 2010-01-19 | Tokyo Electron Limited | Ceramic coating member for semiconductor processing apparatus |
US7696117B2 (en) * | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US8367227B2 (en) * | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
-
2007
- 2007-08-02 US US11/890,156 patent/US8367227B2/en active Active
- 2007-09-19 KR KR1020070095451A patent/KR100934516B1/ko not_active IP Right Cessation
- 2007-09-27 JP JP2007251717A patent/JP2009035469A/ja active Pending
- 2007-09-28 TW TW096136398A patent/TWI361177B/zh not_active IP Right Cessation
- 2007-09-28 EP EP07019163A patent/EP2030961A3/en not_active Withdrawn
- 2007-09-29 CN CN201510093578.0A patent/CN104710178A/zh active Pending
- 2007-09-29 CN CNA2007101615516A patent/CN101357846A/zh active Pending
-
2008
- 2008-07-31 SG SG200805709-3A patent/SG149811A1/en unknown
-
2012
- 2012-09-10 US US13/573,354 patent/US8871312B2/en not_active Expired - Fee Related
- 2012-11-15 JP JP2012250961A patent/JP2013063904A/ja active Pending
Patent Citations (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6131352A (ja) * | 1984-07-20 | 1986-02-13 | 富山県 | 感湿素子の後処理方法 |
JPH11251304A (ja) * | 1997-12-03 | 1999-09-17 | Matsushita Electric Works Ltd | プラズマ処理システム及びプラズマ処理方法 |
JP2000001362A (ja) * | 1998-06-10 | 2000-01-07 | Nippon Seratekku:Kk | 耐食性セラミックス材料 |
JP2000012666A (ja) * | 1998-06-19 | 2000-01-14 | Taiheiyo Cement Corp | 静電チャック |
JP2001089229A (ja) * | 1999-09-28 | 2001-04-03 | Taiheiyo Cement Corp | 導電性セラミックスおよびその製造方法 |
JP2001181042A (ja) * | 1999-12-27 | 2001-07-03 | Kyocera Corp | 耐食性セラミック部材およびその製造方法 |
JP2001203256A (ja) * | 2000-01-19 | 2001-07-27 | Taiheiyo Cement Corp | ウェハ保持具 |
JP2001244246A (ja) * | 2000-02-29 | 2001-09-07 | Taiheiyo Cement Corp | フォーカスリング |
JP2001322871A (ja) * | 2000-03-07 | 2001-11-20 | Shin Etsu Chem Co Ltd | 希土類元素を含有する酸化物焼結体およびその製造方法 |
JP2002080270A (ja) * | 2000-06-30 | 2002-03-19 | Kyocera Corp | 耐食性部材 |
JP2003282688A (ja) * | 2002-03-27 | 2003-10-03 | Kyocera Corp | 静電チャック |
JP2004292270A (ja) * | 2003-03-27 | 2004-10-21 | Kyocera Corp | 耐食性部材及びその製造方法 |
JP2005335991A (ja) * | 2004-05-25 | 2005-12-08 | Kyocera Corp | 耐食性部材とその製造方法および半導体・液晶製造装置用部材 |
JP2007145702A (ja) * | 2005-10-31 | 2007-06-14 | Toshiba Ceramics Co Ltd | 透光性酸化イットリウム焼結体及びその製造方法 |
JP2008273823A (ja) * | 2007-04-27 | 2008-11-13 | Applied Materials Inc | ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法 |
JP2010535288A (ja) * | 2007-08-02 | 2010-11-18 | アプライド マテリアルズ インコーポレイテッド | イットリウム含有保護皮膜による半導体処理装置の被覆方法 |
Non-Patent Citations (1)
Title |
---|
C. PASCUAL, ET AL.: "Electrical behaviour of doped-yttria stabilized zirconia ceramic materials", JOURNAL OF MATERIALS SCIENCE, vol. May 1983, Vol.18, No.5, JPN6012022346, pages 1315 - 1322, ISSN: 0002213935 * |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2013063904A (ja) * | 2007-08-02 | 2013-04-11 | Applied Materials Inc | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
WO2010024354A1 (ja) * | 2008-08-29 | 2010-03-04 | Toto株式会社 | 静電チャックおよびその製造方法 |
JP2013519790A (ja) * | 2010-02-11 | 2013-05-30 | アプライド マテリアルズ インコーポレイテッド | 半導体処理のためのコーティング材料を備えたガス分配シャワーヘッド |
JP2012074650A (ja) * | 2010-09-30 | 2012-04-12 | Samco Inc | プラズマ処理用トレイ及びプラズマ処理装置 |
JP2013076142A (ja) * | 2011-09-30 | 2013-04-25 | Covalent Materials Corp | 耐食性部材及びその製造方法 |
JP2013079155A (ja) * | 2011-09-30 | 2013-05-02 | Covalent Materials Corp | 耐プラズマ性部材 |
JP2015530737A (ja) * | 2012-07-27 | 2015-10-15 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料 |
KR102258598B1 (ko) * | 2013-07-20 | 2021-05-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR20170143027A (ko) * | 2013-07-20 | 2017-12-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR20160033700A (ko) * | 2013-07-20 | 2016-03-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR20210064432A (ko) * | 2013-07-20 | 2021-06-02 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR102260313B1 (ko) * | 2013-07-20 | 2021-06-02 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR102342795B1 (ko) * | 2013-07-20 | 2021-12-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
JP2017143271A (ja) * | 2013-11-12 | 2017-08-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 希土類酸化物系モノリシックチャンバ材料 |
CN106892685A (zh) * | 2015-12-18 | 2017-06-27 | 北京有色金属研究总院 | 一种陶瓷金属化薄膜及其制备方法 |
CN106892685B (zh) * | 2015-12-18 | 2020-04-28 | 有研工程技术研究院有限公司 | 一种陶瓷金属化薄膜及其制备方法 |
Also Published As
Publication number | Publication date |
---|---|
KR100934516B1 (ko) | 2009-12-31 |
KR20090013645A (ko) | 2009-02-05 |
US20090036292A1 (en) | 2009-02-05 |
TW200906759A (en) | 2009-02-16 |
US8871312B2 (en) | 2014-10-28 |
JP2013063904A (ja) | 2013-04-11 |
CN101357846A (zh) | 2009-02-04 |
SG149811A1 (en) | 2009-02-27 |
TWI361177B (en) | 2012-04-01 |
CN104710178A (zh) | 2015-06-17 |
EP2030961A3 (en) | 2009-08-12 |
US8367227B2 (en) | 2013-02-05 |
EP2030961A2 (en) | 2009-03-04 |
US20130022838A1 (en) | 2013-01-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100934516B1 (ko) | 전기 비저항이 제어된 플라즈마-내성 세라믹 | |
JP6259844B2 (ja) | ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法 | |
KR101491437B1 (ko) | 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치 | |
US9051219B2 (en) | Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20100927 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111123 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120423 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120515 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120815 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120820 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120912 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120918 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121012 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121017 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130129 |