KR102258598B1 - 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 - Google Patents
뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 Download PDFInfo
- Publication number
- KR102258598B1 KR102258598B1 KR1020167001721A KR20167001721A KR102258598B1 KR 102258598 B1 KR102258598 B1 KR 102258598B1 KR 1020167001721 A KR1020167001721 A KR 1020167001721A KR 20167001721 A KR20167001721 A KR 20167001721A KR 102258598 B1 KR102258598 B1 KR 102258598B1
- Authority
- KR
- South Korea
- Prior art keywords
- protective layer
- mol
- thin film
- ceramic
- iad
- Prior art date
Links
- 238000000869 ion-assisted deposition Methods 0.000 title claims abstract description 102
- 229910001404 rare earth metal oxide Inorganic materials 0.000 title claims abstract description 26
- 238000000576 coating method Methods 0.000 title description 68
- 239000011241 protective layer Substances 0.000 claims abstract description 237
- 230000003746 surface roughness Effects 0.000 claims abstract description 10
- 238000004519 manufacturing process Methods 0.000 claims abstract description 8
- 239000000919 ceramic Substances 0.000 claims description 157
- 238000000151 deposition Methods 0.000 claims description 104
- 230000008021 deposition Effects 0.000 claims description 101
- 150000001875 compounds Chemical class 0.000 claims description 90
- 238000000034 method Methods 0.000 claims description 52
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 36
- 239000006104 solid solution Substances 0.000 claims description 26
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 11
- 239000000203 mixture Substances 0.000 claims description 10
- 239000003086 colorant Substances 0.000 claims description 9
- 229910017493 Nd 2 O 3 Inorganic materials 0.000 claims description 8
- 238000005498 polishing Methods 0.000 claims description 4
- 239000010409 thin film Substances 0.000 description 183
- 239000010410 layer Substances 0.000 description 77
- 230000003628 erosive effect Effects 0.000 description 54
- 210000002381 plasma Anatomy 0.000 description 52
- 239000000463 material Substances 0.000 description 51
- 239000011248 coating agent Substances 0.000 description 48
- 150000002500 ions Chemical class 0.000 description 48
- 238000012545 processing Methods 0.000 description 39
- 230000008569 process Effects 0.000 description 37
- 239000002245 particle Substances 0.000 description 29
- 239000000758 substrate Substances 0.000 description 27
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 22
- 239000007789 gas Substances 0.000 description 18
- 238000002441 X-ray diffraction Methods 0.000 description 16
- 238000010894 electron beam technology Methods 0.000 description 15
- 238000011109 contamination Methods 0.000 description 14
- 238000010438 heat treatment Methods 0.000 description 14
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 239000000843 powder Substances 0.000 description 11
- 238000011179 visual inspection Methods 0.000 description 11
- 238000005336 cracking Methods 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- 230000015556 catabolic process Effects 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 230000007704 transition Effects 0.000 description 8
- 230000008859 change Effects 0.000 description 7
- 238000012360 testing method Methods 0.000 description 7
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 239000010408 film Substances 0.000 description 5
- 229910052727 yttrium Inorganic materials 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 230000004907 flux Effects 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- -1 oxygen ions Chemical class 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000007789 sealing Methods 0.000 description 4
- 238000005507 spraying Methods 0.000 description 4
- 229910052691 Erbium Inorganic materials 0.000 description 3
- 229910052688 Gadolinium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000008020 evaporation Effects 0.000 description 3
- 238000001704 evaporation Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052576 carbides based ceramic Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 239000011222 crystalline ceramic Substances 0.000 description 2
- 229910002106 crystalline ceramic Inorganic materials 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000009501 film coating Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000007731 hot pressing Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000012768 molten material Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000003825 pressing Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000035939 shock Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000013077 target material Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910001233 yttria-stabilized zirconia Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- 229910018138 Al-Y Inorganic materials 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000000740 bleeding effect Effects 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 239000010960 cold rolled steel Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 238000013401 experimental design Methods 0.000 description 1
- 239000007888 film coating Substances 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 239000000155 melt Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000007750 plasma spraying Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0015—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterized by the colour of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67023—Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B65—CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
- B65D—CONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
- B65D43/00—Lids or covers for rigid or semi-rigid containers
- B65D43/02—Removable lids or covers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0026—Activation or excitation of reactive gases outside the coating chamber
- C23C14/0031—Bombardment of substrates by reactive ion beams
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0047—Activation or excitation of reactive gases outside the coating chamber
- C23C14/0052—Bombardment of substrates by reactive ion beams
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0084—Producing gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0094—Reactive sputtering in transition mode
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/081—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/083—Oxides of refractory metals or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/088—Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/48—Ion implantation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/01—Selective coating, e.g. pattern coating, without pre-treatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
- C23C4/11—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/134—Plasma spraying
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/14—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/14—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
- C23C4/16—Wires; Tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32513—Sealing means, e.g. sealing between different parts of the vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32559—Protection means, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
- H01L21/4803—Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
- H01L21/4807—Ceramic parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/6708—Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/67086—Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/131—Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/131—Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
- Y10T428/1317—Multilayer [continuous layer]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/1352—Polymer or resin containing [i.e., natural or synthetic]
- Y10T428/139—Open-ended, self-supporting conduit, cylinder, or tube-type article
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/1352—Polymer or resin containing [i.e., natural or synthetic]
- Y10T428/139—Open-ended, self-supporting conduit, cylinder, or tube-type article
- Y10T428/1393—Multilayer [continuous layer]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Ceramic Engineering (AREA)
- Coating By Spraying Or Casting (AREA)
- Physical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
Abstract
물품을 제작하는 방법은 에치 반응기용 뚜껑 또는 노즐을 제공하는 것을 포함한다. 이후에, 이온 보조 증착(IAD)은 뚜껑 또는 노즐의 적어도 하나의 표면 상에 보호층을 증착시키기 위해 수행되는데, 여기서, 보호층은 300 ㎛ 미만의 두께 및 10 마이크로인치 또는 그 미만의 평균 표면 거칠기를 갖는 플라즈마 내성 희토류 옥사이드 막이다.
Description
본 발명의 구체예들은 일반적으로 박막 플라즈마 내성 보호층을 갖는 챔버 뚜껑들 및 챔버 노즐들에 관한 것이다.
반도체 산업에서, 디바이스들은 점차적으로 감소되고 있는 크기를 갖는 구조를 생산하는 다수의 제작 공정들에 의해 제작된다. 일부 제작 공정들, 예를 들어 플라즈마 에치 및 플라즈마 세정 공정들은 기재를 에칭시키거나 세정하기 위해 고속 플라즈마 스트림에 기재를 노출시킨다. 플라즈마는 매우 부식성일 수 있고, 가공 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다.
뚜껑들 및 노즐들은 전도체 및 유전체 에치에서 두 가지의 중요한 에치 챔버 부품들이다. 통상적으로, 뚜껑들 및 노즐들은 벌크 세라믹들로부터 제조된다. 그러나, 디바이스 노드(device node)의 연속적인 감소와 함께, 엄격한 결함 요건들에 영향을 미친다 이러한 보다 신규한 적용들 중 일부는 높은 작동 온도들(예를 들어, 대략 300℃ 이상)을 사용한다. 다수의 벌크 세라믹들은 이러한 고온 적용들에서 사용될 때 열적 쇼크로 인해 크랙을 발생시킬 수 있다. 또한, 플라즈마 내성 벌크 세라믹들은 통상적으로 매우 고가이다.
Al2O3은 Al2O3의 높은 열전도도 및 굽힘 강도로 인해 뚜껑들 및 노즐들용으로 사용될 수 있다. 그러나, 불소 화학 하에서, 노출된 Al2O3은 AlF 입자들 뿐만 아니라 처리된 웨이퍼들 상에 Al 금속 오염을 형성시킨다. 최근에 두꺼운 보호 코팅으로 뚜껑 및 노즐의 플라즈마 대향 측을 코팅시키고자 하는 노력이 있었다. 두꺼운 막 코팅들, 예를 들어 플라즈마 분사 코팅은 온-웨이퍼 금속 오염을 감소시키기 위해 탐구되었다. 그러나, 일부 경우들에서 플라즈마 분사 코팅이 고유 기공들 및 크랙들로 인하여 진공을 유지시키지 못하기 때문에 플라즈마 분사 코팅의 진공 시일링은 우려되고 있다. 추가적으로, 플라즈마 분사 코팅은 긴 소요 시간(lead time)을 가지고, 통상적으로 특별한 표면 제조에 의해 선형되고, 결과적으로, 비용을 증가시킨다. 또한, 코팅을 재정비하는 것(refurbishing)은 표면 제조 및 비용으로 인해 과제가 될 수 있다.
물리적 증기 증착(PVD)으로서 공지된 박막 코팅 기술은 뚜껑들 및 노즐들을 코팅하기 위해 고려된다. 그러나, PVD 코팅 공정은 매우 느리고(코팅의 최종 비용에 영향을 미치고) 이에 따라, 부품(특히, 뚜껑 및 노즐과 같은 비-소비성 부분을 위한)의 수명 요건을 충족시키기에 충분히 두꺼운 코팅들을 형성시키지 못할 수 있다. 추가적으로, PVD 코팅은 통상적으로, 높은 잔류 응력을 갖는데, 이는 일부 경우들에서, 코팅 크래킹 및 필링(peeling)으로 인해 부품 수명을 감소시킬 것이다.
본 발명은 유사한 참조기호들이 유사한 구성요소들을 지시하는 첨부된 도면에서의 도들에서, 일 예로서 예시된 것으로서, 한정적인 것으로 예시된 것은 아니다. 본 명세서에서 "일 구체예"에 대한 상이한 언급들이 반드시 동일한 구체예를 언급하는 것이 아니며 이러한 언급들은 적어도 하나를 의미한다는 것이 주지되어야 한다.
도 1은 가공 챔버의 일 구체예의 단면도를 도시한 것이다.
도 2a는 이온 보조 증착(IAD)과 같은 고에너지 입자들을 사용하는 다양한 증착 기술들에 적용 가능한 증착 메카니즘을 도시한 것이다.
도 2b는 IAD 증착 장비의 개략도를 도시한 것이다.
도 3 및 도 4는 하나 이상의 박막 보호층들에 덮혀진 물품들(예를 들어, 뚜껑들 및/또는 노즐들)의 측단면도들을 예시한 것이다.
도 5a는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 뚜껑의 사시도를 예시한 것이다.
도 5b는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 뚜껑의 측단면도를 예시한 것이다.
도 5c는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 노즐의 사시도를 예시한 것이다.
도 6은 뚜껑 또는 노즐 위에 하나 이상의 보호층들을 형성시키기 위한 공정의 일 구체예를 예시한 것이다.
도 7은 본원에 기술된 구체예들에 따라 생성된 여러 상이한 IAD 코팅들의 침식률들을 포함하는, 유전체 에치 CF4 화학물질에 노출된 다양한 물질들의 침식률들을 도시한 것이다.
도 8 및 도 9는 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 CH4-Cl2 및 CHF3-NF3-Cl2 화학물질들 각각 하에서의 침식률들을 예시한 것이다.
도 10 및 도 11은 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 CH4-Cl2 및 CHF3-NF3-Cl2 화학물질들 각각 하에서의 거칠기 프로파일들을 예시한 것이다.
도 12는 저 바이어스(low bias)에서 CF4-CHF3 트렌치 화학물질(trench chemistry)에 노출된 다양한 물질들의 침식률들을 도시한 것이다.
도 1은 가공 챔버의 일 구체예의 단면도를 도시한 것이다.
도 2a는 이온 보조 증착(IAD)과 같은 고에너지 입자들을 사용하는 다양한 증착 기술들에 적용 가능한 증착 메카니즘을 도시한 것이다.
도 2b는 IAD 증착 장비의 개략도를 도시한 것이다.
도 3 및 도 4는 하나 이상의 박막 보호층들에 덮혀진 물품들(예를 들어, 뚜껑들 및/또는 노즐들)의 측단면도들을 예시한 것이다.
도 5a는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 뚜껑의 사시도를 예시한 것이다.
도 5b는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 뚜껑의 측단면도를 예시한 것이다.
도 5c는 일 구체예에 따라, 희토류 옥사이드 플라즈마 내성 층을 갖는 챔버 노즐의 사시도를 예시한 것이다.
도 6은 뚜껑 또는 노즐 위에 하나 이상의 보호층들을 형성시키기 위한 공정의 일 구체예를 예시한 것이다.
도 7은 본원에 기술된 구체예들에 따라 생성된 여러 상이한 IAD 코팅들의 침식률들을 포함하는, 유전체 에치 CF4 화학물질에 노출된 다양한 물질들의 침식률들을 도시한 것이다.
도 8 및 도 9는 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 CH4-Cl2 및 CHF3-NF3-Cl2 화학물질들 각각 하에서의 침식률들을 예시한 것이다.
도 10 및 도 11은 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 CH4-Cl2 및 CHF3-NF3-Cl2 화학물질들 각각 하에서의 거칠기 프로파일들을 예시한 것이다.
도 12는 저 바이어스(low bias)에서 CF4-CHF3 트렌치 화학물질(trench chemistry)에 노출된 다양한 물질들의 침식률들을 도시한 것이다.
본 발명의 구체예들은 물품의 하나 이상의 플라즈마 대향 표면들 상에 박막 보호층을 갖는 에치 반응기용 뚜껑 및/또는 노즐과 같은 물품을 제공한다. 보호층은 대략 300 ㎛ 이하의 두께를 가질 수 있고, 물품의 보호를 위해 플라즈마 부식 내성을 제공할 수 있다. 보호층은 이온 보조 증착 (IAD)을 사용하여 (예를 들어, 전자빔 IAD (EB-IAD)를 사용하여) 물품 상에 형성될 수 있다. 박막 보호층은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드, 또는 다른 희토류 옥사이드일 수 있다. 박막 보호층에 의해 제공된 개선된 내침식성은 유지 및 제작 비용을 감소시키면서, 물품의 사용 수명을 개선시킬 수 있다. 추가적으로, IAD 코팅은 뚜껑 및/또는 노즐에 대한 보다 긴 수명을 제공하기에 충분히 두껍게 적용될 수 있고, 진공을 유지시키기 위해 양호한 기밀 시일링을 가질 수 있다. IAD 코팅들은 저비용으로 적용되고 후에 재가공될 수 있다.
도 1은 본 발명의 구체예들에 따라 박막 보호층으로 코팅된 하나 이상의 챔버 부품들을 갖는 반도체 가공 챔버(100)의 단면도이다. 가공 챔버(100)는 부식성 플라즈마 환경이 제공되는 공정들을 위해 사용될 수 있다. 예를 들어, 가공 챔버(100)는 플라즈마 에칭기 또는 플라즈마 에치 반응기(또한, 플라즈마 에칭기로서 알려짐), 플라즈마 세척기, 등을 위한 챔버일 수 있다. 박막 보호층을 포함할 수 있는 챔버 부품들의 예들은 기재 지지 어셈블리(148), 정전 척(ESC)(150), 고리(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버 벽, 베이스, 가스 분배 플레이트, 샤워헤드, 라이너, 라이너 키트, 차폐물(shield), 플라즈마 스크린, 흐름 평형기(flow equalizer), 냉각 베이스, 챔버 뷰포트(chamber viewport), 챔버 뚜껑(104), 노즐, 등을 포함한다. 하나의 특정 구체예에서, 보호층은 챔버 뚜껑(104) 및/또는 챔버 노즐(132) 위에 적용된다.
박막 보호층은 하기에 보다 상세히 기술되는 것으로서, 이는 이온 보조 증착 (IAD)에 의해 증착된 희토류 옥사이드 층이다. 박막 보호층은 Y2O3 및 Y2O3 기반 세라믹들, Y3Al5O12 (YAG), Y4Al2O9 (YAM), Er2O3 및 Er2O3 기반 세라믹들, Gd2O3 및 Gd2O3 기반 세라믹들, Er3Al5O12 (EAG), Gd3Al5O12 (GAG), Nd2O3 및 Nd2O3 기반 세라믹들, YAlO3 (YAP), Er4Al2O9 (EAM), ErAlO3 (EAP), Gd4Al2O9 (GdAM), GdAlO3 (GdAP), Nd3Al5O12 (NdAG), Nd4Al2O9 (NdAM), NdAlO3 (NdAP), 및/또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드을 포함할 수 있다. 박막 보호층은 또한, YF3, Er-Y 조성물들 (예를 들어, Er 80wt% 및 Y 20wt%), Er-Al-Y 조성물들 (예를 들어, Er 70wt%, Al 10 wt%, 및 Y 20wt%) , Er-Y-Zr 조성물들 (예를 들어, Er 70wt%, Y 20wt% 및 Zr-10wt%), 또는 Er-Al 조성물들 (예를 들어, Er 80wr% 및 Al 20 wt%)을 포함할 수 있다.
박막 보호층은 또한, 임의의 상술된 세라믹들에 의해 형성된 고용체를 기반으로 할 수 있다. 일 구체예에서, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드과 관련하여, 세라믹 컴파운드는 62.93 몰비율 (mol%) Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3를 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 50 내지 75 mol% 범위의 Y2O3, 10 내지 30 mol% 범위의 ZrO2, 및 10 내지 30 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 40 내지 100 mol% 범위의 Y2O3, 0 내지 60 mol% 범위의 ZrO2, 및 0 내지 10 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 40 내지 60 mol% 범위의 Y2O3, 30 내지 50 mol% 범위의 ZrO2, 및 10 내지 20 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 40 내지 50 mol% 범위의 Y2O3, 20 내지 40 mol% 범위의 ZrO2, 및 20 내지 40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 70 내지 90 mol% 범위의 Y2O3, 0 내지 20 mol% 범위의 ZrO2, 및 10 내지 20 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 60 내지 80 mol% 범위의 Y2O3, 0 내지 10 mol% 범위의 ZrO2, 및 20 내지 40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예에서, 세라믹 컴파운드는 40 내지 60 mol% 범위의 Y2O3, 0 내지 20 mol% 범위의 ZrO2, 및 30 내지 40 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예들에서, 세라믹 컴파운드에 대해 다른 분포들이 또한 사용될 수 있다.
일 구체예에서, Y2O3, ZrO2, Er2O3, Gd2O3 및 SiO2의 조합을 포함하는 대안적인 세라믹 컴파운드가 보호층을 위해 사용된다. 일 구체예에서, 대안적인 세라믹 컴파운드는 40 내지 45 mol% 범위의 Y2O3, 0 내지 10 mol% 범위의 ZrO2, 35 내지 40 mol% 범위의 Er2O3, 5 내지 10 mol% 범위의 Gd2O3 및 5 내지 15 mol% 범위의 SiO2를 포함할 수 있다. 제1 예에서, 대안적인 세라믹 컴파운드는 40 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 5 mol% Gd2O3 및 15 mol% SiO2를 포함한다. 제2 예에서, 대안적인 세라믹 컴파운드는 45 mol% Y2O3, 5 mol% ZrO2, 35 mol% Er2O3, 10 mol% Gd2O3 및 5 mol% SiO2를 포함한다. 제3 예에서, 대안적인 세라믹 컴파운드는 40 mol% Yㅍ, 5 mol% ZrO2, 40 mol% Er2O3, 7 mol% Gd2O3 및 8 mol% SiO2를 포함한다.
임의의 상술된 박막 보호층들은 미량의 다른 물질들, 예를 들어 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 옥사이드들을 포함할 수 있다.
박막 보호층은 옥사이드 기반 세라믹들, 니트라이드 기반 세라믹들 및 카바이드 기반 세라믹들을 포함하는 상이한 세라믹들 위에 적용된 IAD 코팅일 수 있다. 옥사이드 기반 세라믹들의 예는 SiO2 (석영), Al2O3, Y2O3, 등을 포함한다. 카바이드 기반 세라믹들의 예는 SiC, Si-SiC, 등을 포함한다. 니트라이드 기반 세라믹들의 예는 AlN, SiN, 등을 포함한다. IAD 코팅 타겟 물질은 소성된 분말들, 예비성형된 덩어리들 (예를 들어, 그린 바디 프레싱, 핫 프레싱, 등에 의해 형성됨), 소결된 바디 (예를 들어, 50 내지 100% 밀도를 가짐), 또는 기계처리된 바디 (예를 들어, 세라믹, 금속, 또는 금속 합금일 수 있음)일 수 있다.
예시된 바와 같이, 뚜껑(130) 및 노즐(132) 각각은 일 구체예에 따르면, 박막 보호층(133, 134)을 가질 수 있다. 그러나, 상기에 나열된 것과 같은 임의의 다른 챔버 부품들이 또한 박막 보호층을 포함할 수 있는 것으로 이해되어야 한다.
일 구체예에서, 가공 챔버(100)는 내부 용적(106)을 둘러싸는 뚜껑(130) 및 챔버 바디(102)를 포함한다. 뚜껑(130)은 이의 중심에 홀을 가질 수 있으며, 노즐(132)은 홀에 삽입될 수 있다. 챔버 바디(102)는 알루미늄, 스테인레스강 또는 다른 적합한 물질로부터 제작될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108) 및 바닥(110)을 포함한다. 임의의 뚜껑(130), 노즐(132), 측벽들(108) 및/또는 바닥(110)은 박막 보호층을 포함할 수 있다.
외부 라이너(116)는 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하게 배치될 수 있다. 외부 라이너(116)는 박막 보호층으로 제작되고/거나 이로 코팅될 수 있다. 일 구체예에서, 외부 라이너(116)는 알루미늄 옥사이드로부터 제작된다.
배기 포트(126)는 챔버 바디(102)에서 한정될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 연결시킬 수 있다. 펌프 시스템(128)은 가공 챔버(100)의 내부 용적(106)의 압력을 배기시키고 조절하기 위해 사용되는 하나 이상의 펌프들 및 쓰로틀 밸브들을 포함할 수 있다.
뚜껑(130)은 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 뚜껑(130)은 가공 챔버(100)의 내부 용적(106)에 접근할 수 있도록 개방될 수 있고, 폐쇄되어 있는 동안 가공 챔버(100)를 위한 시일(seal)을 제공할 수 있다. 가스 판넬(158)은 공정 및/또는 세정 가스들을 노즐(132)을 통해 내부 용적(106)에 제공하기 위해 가공 챔버(100)에 연결될 수 있다. 뚜껑(130)은 세라믹, 예를 들어 Al2O3, Y2O3, YAG, SiO2, AlN, SiN, SiC, Si-SiC, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다. 노즐(132)은 또한 세라믹, 예를 들어 뚜껑에 대해 언급된 임의의 이러한 세라믹들일 수 있다. 뚜껑(130) 및/또는 노즐(132)은 각각 박막 보호층(133, 134)으로 코팅될 수 있다.
가공 챔버(100)에서 기재들을 가공하기 위해 사용될 수 있는 가공 가스들의 예들은 할로겐-함유 가스들, 예를 들어 그중에서도, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 예를 들어 O2, 또는 N2O를 포함한다. 운반 가스들의 예는 N2, He, Ar, 및 공정 가스들에 대해 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 기재 지지 어셈블리(148)는 가공 챔버(100)의 내부 용적(106)에서 뚜껑(130) 아래에 배치된다. 기재 지지 어셈블리(148)는 가공 동안 기재(144)를 유지시킨다. 고리(146)(예를 들어, 단일 고리)는 정전 척(150)의 일부를 덮을 수 있고, 가공 동안 덮혀진 부분이 플라즈마에 노출되는 것을 방지할 수 있다. 고리(146)는 일 구체예에서 실리콘 또는 석영일 수 있다.
내부 라이너(118)는 기재 지지 어셈블리(148)의 주변부(periphery) 상에 코팅될 수 있다. 내부 라이너(118)는 할로겐-함유 가스 내성 물질, 예를 들어, 외부 라이너(116)에 관한여 논의된 것들일 수 있다. 일 구체예에서, 내부 라이너(118)는 외부 라이너(116)와 동일한 물질들로부터 제작될 수 있다. 추가적으로, 내부 라이너(118)는 박막 보호층으로 코팅될 수 있다.
일 구체예에서, 기재 지지 어셈블리(148)는 받침대(152)를 지지하는 마운팅 플레이트(162), 및 정전 척(150)을 포함한다. 정전 척(150)은 열전도성 베이스(164) 및 일 구체예에서 실리콘 접합부일 수 있는 접합부(138)에 의해 열전도성 베이스에 결합된 정전 퍽(166)을 추가로 포함한다. 마운팅 플레이트(162)는 챔버 바디(102)의 바닥(110)에 연결되고, 유틸리티들(utility)(예를 들어, 유체들, 전선들, 센서 리드들, 등)을 열전도성 베이스(164) 및 정전 퍽(166)으로 전달하기 위한 통로들을 포함한다.
열전도성 베이스(164) 및/또는 정전 퍽(166)은 지지 어셈블리(148)의 측면 온도 프로파일을 제어하기 위해 하나 이상의 임의적 엠베딩된 가열 부재들(176), 엠베딩된 단열기들(174) 및/또는 도관들(168, 170)을 포함할 수 있다. 도관들(168, 170)은 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 공급원(172)에 유체적으로 연결될 수 있다. 엠베딩된 단열기(174)는 일 구체예에서 도관들(168, 170) 사이에 배치될 수 있다. 가열기(176)는 가열기 전력 공급원(178)에 의해 조절된다. 도관들(168, 170) 및 가열기(176)는 열전도성 베이스(164)의 온도를 제어하기 위해 사용되어, 정전 퍽(166) 및 가공될 기재들(예를 들어, 웨이퍼)(144)을 가열시키고/거나 냉각시킬 수 있다. 정전 퍽(166) 및 열전도성 베이스(164)의 온도는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있고, 이는 제어기(195)를 이용하여 모니터링될 수 있다.
정전 퍽(166)은 퍽(166)의 상부 표면에 형성될 수 있는, 그루브들, 메사들 및 다른 표면 피쳐들과 같은 다수의 가스 통로들을 추가로 포함할 수 있다. 가스 통로들은 퍽(166)에 천공된 홀들을 통해 He와 같은 열전달 (또는 배면) 가스의 공급원에 유체적으로 연결될 수 있다. 작동 시에, 배면 가스는 정전 퍽(166)과 기재(144) 간의 열전달을 향상시키기 위해 가스 통로들에 조절된 압력으로 제공될 수 있다.
정전 퍽(166)은 척킹 전력원(chucking power source; 182)에 의해 제어된 적어도 하나의 클램핑 전극(180)을 포함한다. 전극(180)(또는 퍽(166) 또는 베이스(164)에 배치된 다른 전극)은 가공 챔버(100) 내에 공정 가스 및/또는 다른 가스로부터 형성된 플라즈마를 유지시키기 위해 매칭 회로(188)를 통해 하나 이상의 RF 전원들(184, 186)에 추가로 연결될 수 있다. 전원들(184, 186)은 일반적으로 약 50 kHz 내지 약 3 GHz의 주파수 및 약 10,000 와트 이하의 출력을 갖는 RF 신호를 형성시킬 수 있다.
도 2a는 이온 보조 증착 (IAD)과 같은 고에너지 입자들을 사용하는 다양한 증착 기술들에 적용 가능한 증착 메카니즘을 도시한 것이다. 예시적인 IAD 방법들은 본원에 기술된 바와 같이 플라즈마 내성 코팅들을 형성시키기 위한 이온 충격, 예를 들어 증발 (예를 들어, 활성화된 반응성 증발(ARE)) 및 이온 충격의 존재 하에서의 스퍼터링을 도입한 증착 공정들을 포함한다. 구체예들에서 수행된 하나의 특정 타입의 IAD는 전자빔 IAD (EB-IAD)이다. 임의의 IAD 방법들은 반응성 가스 종들, 예를 들어, O2, N2, 할로겐들, 등의 존재 하에 수행될 수 있다. 이러한 반응성 종들은 증착 전 및/또는 동안에 표면 유기 오염물들을 연소시킬 수 있다. 추가적으로, 세라믹 타겟 증착 대 금속 타겟 증착을 위한 IAD 증착 공정은 구체예들에서 O2 이온들의 부분압에 의해 조절될 수 있다. 예를 들어, Y2O3 코팅은 Y 금속의 증발 및 부품의 표면 상에 이트륨 물질의 옥사이드들을 형성시키기 위해 산소 이온들의 공급(bleeding)에 의해 제조될 수 있다. 대안적으로, 세라믹 타겟은 산소 없이 또는 감소된 산소와 함께 사용될 수 있다.
나타낸 바와 같이, 박막 보호층(215)은 이온들과 같은 고에너지 입자들(203)의 존재 하에 증착 물질들(202)의 축적에 의해 물품(210) 상에 또는 다수의 물품들(210A, 210B) 상에 형성된다. 증착 물질들(202)은 원자들, 이온들, 라디칼들, 등을 포함할 수 있다. 고에너지 입자들(203)은 이러한 것이 형성됨에 따라 충돌하고 박막 보호층(215)을 조밀하게 할 수 있다.
일 구체예에서, EB IAD는 박막 보호층(215)을 형성시키기 위해 사용된다. 도 2b는 IAD 증착 장비의 개략도를 도시한 것이다. 도시된 바와 같이, 물질 공급원(250)은 증착 물질들(202)의 플럭스를 제공하며, 고에너지 입자 공급원(255)은 고에너지 입자들(203)의 플럭스를 제공하며, 둘 모두는 IAD 공정 전반에 걸쳐 물품(210, 210A, 210B)에 충돌한다. 고에너지 입자 공급원(255)은 산소 또는 다른 이온 공급원일 수 있다. 고에너지 입자 공급원(255)은 또한, 입자 발생 공급원들로부터(예를 들어, 플라즈마, 반응성 가스들로부터, 또는 증착 물질들을 제공하는 물질 공급원으로부터) 유래하는 다른 타입들의 고에너지 입자들, 예를 들어 불활성 라디칼들, 뉴트론 원자들, 및 나노-크기의 입자들을 제공할 수 있다.
증착 물질들(202)을 제공하기 위해 사용되는 물질 공급원(예를 들어, 타겟 바디)(250)은 박막 보호층(215)을 구성하는 동일한 세라믹에 상응하는 벌크 소결된 세라믹일 수 있다. 예를 들어, 물질 공급원은 벌크 소결된 세라믹 컴파운드 바디, 또는 벌크 소결된 YAG, Er2O3, Gd2O3, Er3Al5O12, 또는 Gd3Al5O12, 또는 다른 언급된 세라믹들일 수 있다. 또한, 다른 타겟 물질들, 예를 들어 분말들, 소성된 분말들, 예비성형된 물질(예를 들어, 그린 바디(green body) 가압 또는 고온 가압에 의해 형성됨) 또는 기계처리된 바디(예를 들어, 융해된 물질)가 사용될 수 있다. 모든 상이한 타입들의 물질 공급원들(250)은 증착 동안 용융된 물질 공급원으로 용융된다. 그러나, 상이한 타입들의 출발 물질은 용융시키는데 상이한 양의 시간을 소요한다. 융해된 물질들 및/또는 기계처리된 바디들은 가장 빠르게 용융할 수 있다. 예비성형된 물질은 융해된 물질들 보다 늦게 용융하며, 소성된 분말들은 예비성형된 물질들 보다 느리게 용융하며, 표준 분말들은 소성된 분말들 보다 더욱 느리게 용융한다.
IAD는 물질 및 고에너지 이온 공급원들을 제공하기 위해 하나 이상의 플라즈마들 또는 빔들(예를 들어, 전자빔들)을 사용할 수 있다. 반응성 종들이 또한, 플라즈마 내성 코팅의 증착 동안 제공될 수 있다. 일 구체예에서, 고에너지 입자들(203)은 적어도 하나의 비-반응성 종들(예를 들어, Ar) 또는 반응성 종들(예를 들어, O) 중 적어도 하나를 포함한다. 추가 구체예들에서, 반응성 종들, 예를 들어 CO 및 할로겐들(Cl, F, Br, 등)은 또한 박막 보호층(215)에 가장 약하게 결합된 증착된 물질을 선택적으로 제거하는 경향을 추가로 증가시키기 위해 플라즈마 내성 코팅의 형성 동안 도입될 수 있다.
IAD 공정들과 관련하여, 고에너지 입자들(203)은 다른 증착 파라미터들과는 독립적으로 고에너지 이온 (또는 다른 입자) 공급원(255)에 의해 제어될 수 있다. 고에너지 이온 플럭스의 에너지(예를 들어, 속도), 밀도 및 입사각에 따라, 박막 보호층의 조성, 구조, 결정상 배향 및 그레인 크기가 조절될 수 있다.
조정될 수 있는 추가 파라미터들은 증착 동안 물품의 온도 뿐만 아니라 증착 시간이다. 일 구체예에서, IAD 증착 챔버(및 그안의 챔버 뚜껑 또는 노즐)는 증착 전에 160℃ 이상의 출발 온도로 가열된다. 일 구체예에서, 출발 온도는 160℃ 내지 500℃이다. 일 구체예에서, 출발 온도는 200℃ 내지 270℃이다. 챔버 및 뚜껑 또는 노즐의 온도는 이후에 증착 동안 출발 온도에서 유지될 수 있다. 일 구체예에서, IAD 챔버는 가열을 수행하는 열 램프들을 포함한다. 대안적인 구체예에서, IAD 챔버 및 뚜껑 또는 노즐이 가열되지 않는다. 챔버가 가열되지 않는 경우에, 이는 IAD 공정의 결과로서 자연적으로 약 160℃로의 온도를 증가시킬 것이다. 증착 동안 온도가 높을수록, 보호층의 밀도를 증가시킬 수 있지만, 또한 보호층의 기계적 응력을 증가시킬 수 있다. 코팅 동안 저온을 유지시키기 위해 챔버에 능동 냉각(Active cooling)이 부가될 수 있다. 저온은 일 구체예에서, 160℃ 이하의 임의 온도에서 0℃에 이르기까지 유지될 수 있다.
조정될 수 있는 추가 파라미터들은 작업 거리(270) 및 입사각(272)이다. 작업 거리(270)는 물질 공급원(250)과 물품(210A, 210B) 사이의 거리이다. 일 구체예에서, 작업 거리는 0.2 내지 2.0 미터이며, 하나의 특정 구체예에서 작업 거리는 1.0 미터이다. 작업 거리의 감소는 증착률을 증가시키고, 이온 에너지의 효과를 증가시킨다. 그러나, 작업 거리를 특정 포인트 미만으로 감소시키는 것은 보호층의 균일성을 감소시킬 수 있다. 입사각은 증착 물질들(202)이 물품들(210A, 210B)에 충돌하는 각도이다. 일 구체예에서, 입사각은 10 내지 90도이며, 하나의 특정 구체예에서 입사각은 약 30도이다.
IAD 코팅들은 약 0.5 마이크로인치(μin) 내지 약 180 μin의 거칠기를 갖는 광범위한 표면 조건들에 대해 적용될 수 있다. 그러나, 보다 매끄러운 표면은 균일한 코팅 커버리지를 촉진시킨다. 코팅 두께는 약 300 마이크론(㎛) 이하일 수 있다. 생산에서, 부품들 상의 코팅 두께는 코팅 층 스택의 바닥에서 Nd2O3, Sm2O3, Er2O3, 등과 같은 희토류 옥사이드 기반 착색제를 의도적으로 첨가함으로써 평가될 수 있다. 두께는 또한, 타원법(ellipsometry)을 이용하여 정확하게 측정될 수 있다.
IAD 코팅들은 코팅을 형성시키기 위해 사용되는 희토류 옥사이드 복합물에 따라 비정질 또는 결정상일 수 있다. 예를 들어, EAG 및 YAG는 비정질 코팅들이며, Er2O3 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드는 통상적으로 결정상이다. 비정질 코팅들은 더욱 콘포말하고, 격자 불일치 유도 에픽탁셜 크랙들을 감소시키는 반면, 결정상 코팅들은 더욱 내침식성을 나타낸다.
코팅 구조(architecture)는 이중층 또는 다중층 구조일 수 있다. 이중층 구조에서, 에피탁셜 크랙들을 최소화하기 위해 버퍼층으로서 비정질 층이 증착될 수 있고, 이후에 상단 상에 내침식성을 나타낼 수 있는 결정상 층이 증착될 수 있다. 다중층 디자인에서, 층 물질들은 기재에서 상단 층으로 매끄러운 열적 구배를 야기시키기 위해 사용될 수 있다.
다중 전자빔(e-빔) 건들을 사용한 다중 타겟들의 동시-증착은 보다 두꺼운 코팅들, 뿐만 아니라 층상 구조들을 형성시키기 위해 달성될 수 있다. 예를 들어, 동일한 물질 타입을 갖는 두 개의 타겟들은 동시에 사용될 수 있다. 각 타겟은 상이한 전자빔 건에 의해 충격을 받을 수 있다. 이는 보호층의 증착률 및 두께를 증가시킬 수 있다. 다른 예에서, 두 개의 타겟들은 상이한 세라믹 물질들일 수 있다. 제1 전자빔 건은 제1 보호층을 증착시키기 위해 제1 타겟을 충돌시킬 수 있으며, 제2 전자빔 건은 후속하여 제1 보호층과는 다른 물질 조성을 갖는 제2 보호층을 형성시키기 위해 제2 타겟을 충돌시킬 수 있다.
코팅후 열처리는 개선된 코팅 성질들을 달성하기 위해 사용될 수 있다. 예를 들어, 이는 비정질 코팅을 보다 높은 내침식성을 갖는 결정상 코팅으로 전환시키기 위해 사용될 수 있다. 다른 예는 반응 구역 또는 전이 층의 형성에 의해 기재에 대한 코팅의 접합 강도를 개선시키기 위한 것이다.
일 구체예에서, 다수의 뚜껑들 및/또는 노즐들은 IAD 챔버에서 동시에 가공된다. 예를 들어, 일 구체예에서, 최대 5개의 뚜껑들 및/또는 노즐들이 동시에 가공될 수 있다. 각 뚜껑 또는 노즐은 상이한 고정부에 의해 지지될 수 있다. 대안적으로, 단일 고정부는 다수의 뚜껑들 및/또는 노즐들을 유지시키기도록 구성될 수 있다. 고정부들은 증착 동안 지지된 뚜껑들 및/또는 노즐들을 이동시킬 수 있다.
일 구체예에서, 뚜껑 및/또는 노즐을 유지시키기 위한 고정부는 Al2O3, Y2O3, 등과 같은 세라믹들 또는 냉연강과 같은 금속 부품들로부터 설계될 수 있다. 고정부는 물질 공급원 및 전자빔 건 위 또는 아래에 뚜껑 또는 노즐을 지지하기 위해 사용될 수 있다. 고정부는 보다 안전하고 보다 용이한 조작을 위해 뿐만 아니라 코팅 동안 뚜껑 및/또는 노즐을 고정시키기 위한 척킹(chucking) 능력을 가질 수 있다. 또한, 고정부는 뚜껑들 및 노즐들을 배향시키거나 정렬시키기 위해 피쳐(feature)를 가질 수 있다. 일 구체예에서, 고정부는 공급원 물질에 대한 지지된 뚜껑 또는 노즐의 배향을 변경시키기 위해 하나 이상의 축들에 대해 재정위되고/거나 회전될 수 있다. 고정부는 또한, 증착 전 및/또는 동안 작업 거리 및/또는 입사각을 변경시키기 위해 재정위될 수 있다. 고정부는 코팅 동안 뚜껑 및 노즐 온도를 조절하기 위해 냉각 또는 가열 채널들을 가질 수 있다. 뚜껑 또는 노즐을 재정위시키고 회전시키는 능력은 IAD가 사이트 공정(sight process)의 라인이기 때문에, 홀들과 같은 3D 표면들의 최대 코팅 커버리지를 가능하게 할 수 있다.
도 3 및 도 4는 하나 이상의 박막 보호층들에 의해 덮혀진 물품들(예를 들어, 뚜껑들 및/또는 노즐들)의 측단면도들을 예시한 것이다. 전도체 에치를 위해 사용되는 플라즈마 에치 반응기용 뚜껑은 소결된 세라믹, 예를 들어, Al2O3일 수 있는데, 왜냐하면 Al2O3가 높은 굽힘 강도 및 높은 열전도도를 갖기 때문이다. 그러나, 불소 화학물질들에 노출된 Al2O3는 AlF 입자들 뿐만 아니라 웨이퍼들 상의 알루미늄 금속 오염을 형성시킨다. 또한, 뚜껑 및/또는 노즐을 위한 다른 물질들이 사용될 수 있다.
도 3을 참조로 하여, 물품(300)의 바디(305)는 제1 박막 보호층(308) 및 제2 박막 보호층(310)을 갖는 박막 스택(306)을 포함한다. 대안적으로, 물품(300)은 바디(305) 상에 단지 단일 박막 보호층(308)을 포함할 수 있다. 일 구체예에서, 박막 보호층들(308, 310)은 약 300 ㎛ 이하의 두께를 갖는다. 추가 구체예에서, 박막 보호층들은 약 20 마이크론 미만의 두께, 및 하나의 특정 구체예에서 약 0.5 마이크론 내지 약 7 마이크론의 두께를 갖는다. 일 구체예에서 박막 보호층 스택의 전체 두께는 300 ㎛ 이상이다.
박막 보호층들(308, 310)은 전자빔 이온 보조 증착(EB-IAD) 공정을 이용하여 물품(300)의 바디(305) 상에 형성될 수 있는 증착된 세라믹 층들이다. EB-IAD 증착된 박막 보호층들(308, 310)은 비교적 낮은 막 응력(예를 들어, 플라즈마 분사 또는 스퍼터링에 의해 야기된 막 응력과 비교)을 가질 수 있다. 비교적 낮은 막 응력은 바디(305)의 하부 표면을 12 인치 직경을 갖는 바디의 경우에 전체 바디에 걸쳐 약 50 마이크론 미만의 곡률로 매우 평평하게 할 수 있다. IAD 증착된 박막 보호층들(308, 310)은 추가적으로 1% 미만, 및 일부 구체예들에서 약 0.1% 미만인 공극률을 가질 수 있다. 이러한 낮은 공극률은 뚜껑이 가공 동안 효과적인 진공을 제공하게 할 수 있게 한다. 이에 따라, IAD 증착된 보호층은 조밀한 구조로서, 이는 챔버 뚜껑 또는 노즐 상에 적용하기 위해 성능 잇점들을 가질 수 있다. 추가적으로, IAD 증착된 보호층은 낮은 크랙 밀도 및 바디(305)에 대한 높은 접착력을 가질 수 있다. 추가적으로, IAD 증착된 보호층들(308, 310)은 바디(305)의 상부 표면을 1차 조면화시키거나 다른 시간 소비 표면 제조 단계들을 수행하지 않으면서 증착될 수 있다.
박막 보호층(308, 310)을 형성시키기 위해 사용될 수 있는 세라믹들의 예들은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드(Y2O3-ZrO2 고용체), 또는 상기에서 확인된 임의의 다른 세라믹 물질들을 포함한다. 다른 Er 기반 및/또는 Gd 기반 플라즈마 내성 희토류 옥사이드들이 또한 박막 보호층들(308, 310)을 형성시키기 위해 사용될 수 있다. 일 구체예에서, 동일한 세라믹 물질은 두 개의 인접한 박막 보호층들에 대해 사용되지 않는다. 그러나, 다른 구체예에서, 인접한 층들은 동일한 세라믹으로 이루어질 수 있다.
IAD 박막 보호층들을 갖는 뚜껑들 및 노즐들은 광범위한 온도들을 적용하는 적용들에서 사용될 수 있다. 예를 들어, IAD 박막 보호층들을 갖는 뚜껑들 및 노즐들은 0℃의 온도 내지 1000℃의 온도를 갖는 공정들에서 사용될 수 있다. 뚜껑들 및 노즐들은 열 충격에 의해 야기되는 크래킹 없이 고온들(예를 들어, 300℃ 이상)에서 사용될 수 있다.
표 1: IAD 증착된 YAG, Er2O3, EAG 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드에 대한 물질 성질들
표 1은 92% Al2O3 (알루미나)의 기재 및 92% Al2O3의 기재를 코팅하는 다양한 IAD 박막 보호층들에 대한 물질 성질들을 나타낸 것이다. 표에서, "C"는 결정상 구조를 나타내며, "A"는 비정질 구조를 나타낸다. 나타낸 바와 같이, 알루미나 기재는 363 볼트/mil (V/mil)의 파괴 전압을 갖는다. 반면, IAD 증착된 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드의 5 마이크론(㎛) 코팅은 427 V의 파괴 전압을 갖는다(이는 알루미나에 대한 363 볼트/mil의 일반화된 수치 보다 훨씬 높은 것이다). IAD 증착된 YAG의 5 ㎛ 코팅은 1223 V의 파괴 전압을 갖는다. IAD 증착된 Er2O3의 5 ㎛ 코팅은 527 V의 파괴 전압을 갖는다. IAD 증착된 EAG의 5 ㎛ 코팅은 900 V의 파괴 전압을 갖는다. IAD 증착된 Y2O3의 5 ㎛ 코팅은 1032 V의 파괴 전압을 갖는다. IAD 증착된 YZ20의 5 ㎛ 코팅은 423 V의 파괴 전압을 갖는다. IAD 증착된 YF3의 5 ㎛ 코팅은 522V의 파괴 전압을 갖는다.
알루미나의 체적 저항률은 실온에서 대략 0.01 x 1016 (0.01E16) Ω·cm이다. 세라믹 컴파운드 박막 보호층의 체적 저항률은 실온에서 약 4.1E16 Ω·cm이며, YAG 박막 보호층의 체적 저항률은 실온에서 약 11.3E16 Ω·cm이다.
알루미나의 유전율은 약 9.2이며, 세라믹 컴파운드 박막의 유전율은 약 9.83이며, YAG 박막의 유전율은 약 9.76이며, Er2O3 박막의 유전율은 약 9.67이며, EAG 박막의 유전율은 약 9.54이다. 알루미나의 손실 탄젠트는 약 5E-4이며, 세라믹 컴파운드 박막의 손실 탄젠트는 약 4E-4이며, YAG 박막의 손실 탄젠트는 약 4E-4이며, Er2O3 박막의 손실 탄젠트는 약 4E-4이며, EAG 박막의 손실 탄젠트는 약 4E-4이다. 알루미나의 열전도율은 약 18 W/m-K이며, 세라믹 컴파운드 박막의 열전도율은 약 19.9 W/m-K이며, YAG 박막의 열전도율은 약 20.1 W/m-K이며, Er2O3 박막의 열전도율은 약 19.4 W/m-K이며, EAG 박막의 열전도율은 약 19.2 W/m-K이다.
알루미나 기재는 대략 8 내지 16 마이크로인치의 초기 거칠기를 가질 수 있다. 일 구체예에서, 초기 거칠기는 모든 박막 보호층들에서 대략적으로 변하지 않을 수 있다. 보호층은 증착 후에 표면 거칠기를 8 마이크로인치 이하로 감소시키기 위해 폴리싱될 수 있다. 일 구체예에서, 보호층은 6 내지 8 마이크로인치의 표면 거칠기로 폴리싱된다.
알루미나 기재에 대한 박막 보호층들의 접착 강도는 세라믹 컴파운드 박막에 대해 28 메가 파스칼 (MPa)을 초과하고 YAG 박막에 대해 32 MPa를 초과할 수 있다. 접착 강도는 기재로부터 박막 보호층을 분리시키기 위해 사용되는 힘의 양을 측정함으로써 결정될 수 있다. 기밀성(Hermicity)은 박막 보호층을 사용하여 달성될 수 있는 시일링 용량(sealing capacity)을 측정한다. 나타낸 바와 같이, 초당 대략 1E-6의 입방 센티미터(cm3/s)의 He 누설률은 알루미나를 사용하여 달성될 수 있으며, 대략 1.2E-9의 He 누설률은 세라믹 컴파운드를 사용하여 달성될 수 있으며, 대략 4.4E-10의 He 누설률은 YAG를 사용하여 달성될 수 있으며, 대략 5.5E-9의 He 누설률은 Er2O3을 사용하여 달성될 수 있으며, 대략 2.6E-9의 누설률은 YF3을 사용하여 달성될 수 있으며, 대략 1.6E-7의 He 누설률은 YZ20을 사용하여 달성될 수 있으며, 대략 9.5E-10의 He 누설률은 EAG를 사용하여 달성될 수 있다. 보다 낮은 He 누설률들은 개선된 시일을 지시하는 것이다. 각 예의 박막 보호층들은 통상적인 Al2O3 보다 낮은 He 누설률을 갖는다.
각 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드는 플라즈마 가공 동안 마모에 저항할 수 있는 높은 경도를 갖는다. 나타낸 바와 같이, 알루미나는 대략 12.14 기가 파스칼 (GPa)의 비커스 경도 (5 Kgf)를 가지며, 세라믹 컴파운드는 대략 7.825 GPa의 경도를 가지며, YAG는 대략 8.5 GPa의 경도를 가지며, Er2O3은 대략 5.009 GPa의 경도를 가지며, YZ20은 대략 5.98 GPa의 경도를 가지며, YF3은 대략 3.411 GPa의 경도를 가지며, EAG는 대략 9.057 GPa의 경도를 갖는다. 알루미나의 측정된 마모율은 무선주파수 시간 당 대략 0.2 나노미터(nm/RFhr)이며, 세라믹 컴파운드의 마모율은 약 0.14 nm/RFhr이며, Er2O3의 마모율은 약 0.113 nm/RFhr이며, EAG의 마모율은 약 0.176 nm/RFhr이다.
Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 세라믹 컴파운드는 상기 확인된 물질 성질들 및 특징들이 일부 구체예들에서 30% 이하로 달라질 수 있도록 개질될 수 있다는 것이 주지된다. 이에 따라, 이러한 물질 성질들에 대한 기술된 수치들은 일 예의 달성 가능한 수치들로서 이해되어야 한다. 본원에 기술된 세라믹 박막 보호층들은 제공된 수치들로 한정되는 것으로서 해석되지 않아야 한다.
도 4는 물품(400)의 바디(405) 위에 증착된 박막 보호층 스택(406)을 갖는 물품(400)의 다른 구체예의 측단면도를 예시한 것이다. 물품(400)은, 박막 보호층 스택(406)이 네 개의 박막 보호층들(408, 410, 415, 418)을 갖는 것을 제외하고 물품(300)와 유사하다.
박막 보호층 스택들(예를 들어, 예시된 바와 같음)은 임의 수의 박막 보호층들을 가질 수 있다. 스택에서 박막 보호층들은 모두 동일한 두께를 가질 수 있거나, 이러한 것들은 다양한 두께를 가질 수 있다. 일부 구체예들에서, 각 박막 보호층들은 대략 20 마이크론 미만의 두께를 가질 수 있다. 일 예에서, 제1 층(408)은 10 마이크론의 두께를 가질 수 있으며, 제2 층(410)은 10 마이크론의 두께를 가질 수 있다. 다른 예에서, 제1 층(408)은 5 마이크론의 두께를 갖는 YAG 층일 수 있으며, 제2 층(410)은 5 마이크론의 두께를 갖는 컴파운드 세라믹 층일 수 있으며, 제3 층(415)은 5 마이크론의 두께를 갖는 YAG 층일 수 있으며, 제4 층(418)은 5 마이크론의 두께를 갖는 컴파운드 세라믹 층일 수 있다.
세라믹 층들의 수 및 사용하기 위한 세라믹 층들의 조성의 선택은 요망되는 적용 및/또는 코팅될 물품의 타입을 기초로 할 수 있다. IAD에 의해 형성된 EAG 및 YAG 박막 보호층들은 통상적으로 비정질 구조를 갖는다. 반면, IAD 증착된 컴파운드 세라믹 및 Er2O3 층들은 통상적으로 결정상 또는 나노-결정상 구조를 갖는다. 결정상 및 나노-결정상 세라믹 층들은 일반적으로 비정질 세라믹 층들 보다 큰 내침식성을 나타낼 수 있다. 그러나, 일부 경우들에서, 결정상 구조 또는 나노-결정상 구조를 갖는 박막 세라믹 층들은 우발적인 수직 크랙들(대략 막 두께의 방향으로 그리고 대략 코팅된 표면에 대해 수직으로 진행하는 크랙들)을 나타낼 수 있다. 이러한 수직 크랙들은 격자 불일치에 의해 야기될 수 있고 플라즈마 화학물질에 대한 공격 포인트들일 수 있다. 물품이 가열되고 냉각될 때마다, 박막 보호층과 이로 코팅되는 기재 간의 열팽창계수들의 불일치는 박막 보호층 상에 응력을 야기시킬 수 있다. 이러한 응력은 수직 크랙들에 집중될 수 있다. 이는 결국 박막 보호층이 이러한 것이 코팅되는 기재로부터 박리되게 할 수 있다. 반면, 수직 크랙들이 존재하지 않는 경우에, 응력은 박막을 가로질러 대략 균일하게 분포된다. 이에 따라, 일 구체예에서, 박막 보호층 스택(406)에서 제1 층(408)은 비정질 세라믹, 예를 들어 YAG 또는 EAG이며, 박막 보호층 스택(406)에서 제2 층(410)은 결정상 또는 나노-결정상 세라믹, 예를 들어 세라믹 컴파운드 또는 Er2O3이다. 이러한 구체예에서, 제2 층(410)은 제1 층(408)과 비교하여 보다 큰 플라즈마 내성을 제공할 수 있다. 바디(405) 바로 위 보다는, 제1 층(408) 위에 제2 층(410)을 형성시킴으로써, 제1 층(408)은 후속 층 상에 격자 불일치를 최소화하기 위한 버퍼(buffer)로서 작용한다. 이에 따라, 제2 층(410)의 수명이 증가될 수 있다.
다른 예에서, 바디, Y3Al5O12 (YAG), Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드, 및 다른 세라믹들 각각은 상이한 열팽창계수를 가질 수 있다. 두 개의 인접한 물질들 간의 열팽창계수의 불일치가 클수록, 이러한 물질들 중 하나가 결국 크랙, 박리 또는 그밖에 다른 물질에 대한 이의 결합을 손실시킬 가능성이 더욱 커진다. 보호층 스택들(306, 406)은 인접한 층들 간의(또는 층과 바디(305, 405) 간의) 열팽창계수의 불일치를 최소화하는 방식으로 형성될 수 있다. 예를 들어, 바디(405)는 알루미나일 수 있으며, EAG는 알루미나와 가장 가깝고 이후에 YAG에 대한 열팽창계수, 이후에 컴파운드 세라믹에 대한 열팽창계수를 따르는 열팽창계수를 가질 수 있다. 이에 따라, 일 구체예에서, 제1 층(408)은 EAG일 수 있으며, 제2 층(410)은 YAG일 수 있으며, 제3 층(415)은 컴파운드 세라믹일 수 있다.
다른 예에서, 보호층 스택(406)에서의 층들은 두 개의 상이한 세라믹들의 교대하는 층들일 수 있다. 예를 들어, 제1 층(408) 및 제3 층(415)은 YAG일 수 있으며, 제2 층(410) 및 제4 층(418)은 컴파운드 세라믹일 수 있다. 이러한 교대하는 층들은 교대하는 층들에서 사용되는 하나의 물질이 비정질이며 교대하는 층들에서 사용되는 다른 물질이 결정상 또는 나노-결정상인 경우들에서 상술된 것과 유사한 장점들을 제공할 수 있다.
일부 구체예들에서, 박막 보호층 스택들(306, 406)에서 층들 중 하나 이상은 열처리를 사용하여 형성된 전이 층들이다. 바디(305, 405)가 세라믹 바디인 경우에, 고온 열처리는 박막 보호층과 바디 간의 상호확산을 촉진시키기 위해 수행될 수 있다. 추가적으로, 열처리는 인접한 박막 보호층들 간 또는 두꺼운 보호층과 박막 보호층 간의 상호확산을 촉진시키기 위해 수행될 수 있다. 특히, 전이 층은 비-다공성 층일 수 있다. 전이 층은 두 개의 세라믹들 간의 확산 접합으로서 작용할 수 있고, 인접한 세라믹들 간의 개선된 접착성을 제공할 수 있다. 이는 플라즈마 가공 동안 크래킹, 박리, 또는 스트립핑으로부터 보호층을 보호하는데 도움을 줄 수 있다.
열처리는 최대 약 1400 내지 1600℃에서 최대 약 24시간(예를 들어, 일 구체예에서 3 내지 6시간)의 시간 동안의 열처리일 수 있다. 이는 제1 박막 보호층과 인접한 세라믹 바디, 또는 제2 박막 보호층 중 하나 이상 사이에 상호확산 층을 형성할 수 있다. 세라믹 바디가 Al2O3이며, 보호층이 컴파운드 세라믹 Y4Al2O9 (YAM) 및 고용체 Y2-xZrxO3 (Y2O3-ZrO2 고용체)로 이루어진 경우에, Y3Al5O12 (YAG) 계면층이 형성될 것이다. 유사하게, 열처리는 Er2O3과 Al2O3 사이에 EAG의 전이 층을 형성시킬 것이다. 열처리는 또한, Y2O3과 Al2O3 사이에 YAG의 전이 층을 형성시킬 것이다. 열처리는 또한, Gd2O3과 Al2O3 사이에 GAG를 형성시킬 수 있다. Al2O3 위의 이트리아 안정화된 지르코니아(YSZ)의 열처리는 Y4Al2O9 (YAM) 및 고용체 Y2- xZrxO3의 컴파운드 세라믹의 전이 층을 형성시킬 수 있다. 다른 전이 층들이 다른 인접한 세라믹들 사이에 형성될 수 있다.
일 구체예에서, 착색제는 제1 보호층(308, 408)의 증착 동안 첨가된다. 이에 따라, 제2 보호층(310, 410)이 닳아 없어질 때, 작업자는 뚜껑 또는 노즐을 개조하거나 교체할 시간인 시각적 줄(visual queue)을 가질 수 있다.
도 5a는 일 구체예에 따른, 박막 희토류 옥사이드 플라즈마 내성 층(510)을 갖는 챔버 뚜껑(505)의 사시도를 예시한 것이다. 도 5b는 일 구체예에 따른, 박막 희토류 옥사이드 플라즈마 내성 층(510)을 갖는 챔버 뚜껑(505)의 측단면도를 예시한 것이다. 챔버 뚜껑(505)은 홀(520)을 포함하는데, 이는 뚜껑의 중심에 존재하거나 뚜껑의 다른 곳에 존재할 수 있다. 뚜껑(505)은 또한, 뚜껑이 닫혀있는 동안 챔버의 벽들과 접촉되는 뚜껑(515)을 가질 수 있다. 일 구체예에서, 보호층(510)은 뚜껑(515)을 덮지 않는다. 보호층이 뚜껑(515)을 덮지 않게 하기 위하여, 증착 동안 뚜껑(515)을 덮는 경질 또는 연질 마스크가 사용될 수 있다. 이후에, 마스크는 증착 후에 제거될 수 있다. 대안적으로, 보호층(510)은 뚜껑의 전체 표면을 코팅할 수 있다. 이에 따라, 보호층(510)은 가공 동안 챔버의 측벽들 상에 존재할 수 있다. 추가적으로, 뚜껑(505)의 외측벽들은 또한 일부 구체예들에서 플라즈마 내성 층(555)으로 코팅될 수 있다.
도 5b에 도시된 바와 같이, 보호층(510)은 홀(520)의 내부를 코팅하는 측벽 부분(530)을 가질 수 있다. 보호층(510)의 측벽 부분(530)은 뚜껑(505)의 표면 부근에서 더욱 두꺼울 수 있고, 점진적으로 홀(520) 쪽으로 보다 얇고 더욱 깊게 될 수 있다. 측벽 부분(530)은 이러한 구체예들에서 홀(520)의 전체 측벽들을 코팅하지 않을 수 있다.
도 5c는 일 구체예에 따르면, 희토류 옥사이드 플라즈마 내성 층(555)을 갖는 챔버 노즐(550)의 사시도를 예시한 것이다. 도시된 바와 같이, 희토류 옥사이드 플라즈마 내성 층(555)은 챔버 노즐(550)의 단부 및 측벽을 코팅할 수 있다. 챔버노즐(550)은 뚜껑(505)에 삽입될 수 있다. 일 구체예에서, 노즐(550)은 뚜껑(505) 상에 존재하는 뚜껑(565)을 포함한다. 뚜껑(565)은 플라즈마 내성 층(555)으로 코팅되지 않을 수 있다. 대안적으로, 뚜껑(565)은 플라즈마 내성 층(555)으로 코팅될 수 있다.
도 6은 플라즈마 에치 반응기용 챔버 뚜껑 또는 챔버 노즐의 바디 위에 박막 보호층을 형성시키기 위한 공정(600)의 일 구체예를 예시한 것이다. 공정(600)의 블록(605)에서, 챔버 뚜껑 또는 노즐이 제공된다. 뚜껑 또는 노즐은 벌크 소결된 세라믹 바디를 가질 수 있다. 벌크 소결된 세라믹 바디는 Al2O3, Y2O3, SiO2, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다.
블록(620)에서, 이온 보조 증착 (IAD) 공정이 뚜껑 또는 노즐의 적어도 하나의 표면 상에 희토류 옥사이드 보호층을 증착시키기 위해 수행된다. 일 구체예에서, 전자빔 이온 보조 증착 공정 (EB-IAD)이 수행된다. IAD 공정은 증착될 물질을 용용시키고 물질을 이온들과 충돌시킴으로써 수행될 수 있다.
박막 보호층은 Y3Al6O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al6O12, Gd3Al6O12, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체의 세라믹 컴파운드, 또는 본원에 기술된 다른 희토류 옥사이드들일 수 있다. 박막 보호층에 대한 증착률은 일 구체예에서 초당 약 0.02 내지 20 옹스트롱(Å/s)일 수 있고, 증착 파라미터들을 조정함으로써 달라질 수 있다. 일 구체예에서, 0.25 내지 1 Å/s의 증착률이 기재 상에 잘 접착하는 정합하는 코팅을 달성하기 위해 초기에 사용된다. 2 내지 10 Å/s의 증착률은 이후에 보다 짧은 시간에 보다 두꺼운 코팅을 달성하기 위하여 박막 보호층의 나머지를 증착시키기 위해 사용될 수 있다. 박막 보호층들은 매우 정합할 수 있고, 두께에 있어서 균일할 수 있고, 이러한 것이 증착되는 바디/기재에 대한 양호한 접착성을 가질 수 있다.
일 구체예에서, 물질은 증착된 보호층이 특정 칼라를 가질 수 있게 하는 착색제를 포함한다. 사용될 수 있는 착색제들의 예는 Nd2O3, Sm2O3 및 Er2O3을 포함한다. 다른 착색제들이 또한 사용될 수 있다.
블록(625)에서, 임의 추가 박막 보호층들을 증착시킬 지의 여부가 결정된다. 추가 박막 보호층이 증착되어야 하는 경우에, 공정은 블록(630)으로 계속된다. 블록(630)에서, 다른 박막 보호층은 제1 박막 보호층 위에 형성된다. 다른 박막 보호층은 제1 박막 보호층의 세라믹과는 다른 세라믹으로 이루어질 수 있다. 대안적으로, 다른 박막 보호층은 동일한 세라믹 또는 제1 보호층을 형성시키기 위해 사용된 세라믹으로 이루어질 수 있다.
일 구체예에서, 다른 박막 보호층은 임의 착색제를 포함하지 않는다. 이에 따라, 후속 보호층들은 이러한 것이 거의 동일한 세라믹 물질들로 이루어짐에도 불구하고, 바닥 보호층과는 상이한 칼라를 가질 수 있다. 이는 보호층 스택이 바닥 보호층까지 침식될 때 뚜껑 또는 노즐이 칼라를 변화시킬 수 있다. 칼라의 변화는 작업자에 대한 가공 챔버의 뚜껑 또는 노즐을 교체하는 시간인 신호일 수 있다.
후속 보호층이 증착된 후에, 본 방법은 블록(625)로 돌아간다. 블록(625)에서, 추가 박막 보호층들이 적용되지 않는 경우, 공정은 블록(635)로 진행한다. 블록(635)에서, 보호층의 표면은 폴리싱된다. 표면은 화학적 기계적 폴리싱(CMP) 또는 다른 폴리싱 절차들을 이용하여 폴리싱될 수 있다. 일 구체예에서, 상부 보호층의 표면은 8 마이크로인치 미만의 표면 거칠기로 폴리싱된다. 다른 구체예에서, 상부 보호층의 표면은 약 6 마이크로인치 미만의 표면으로 폴리싱된다.
공정(600)은 신규한 뚜껑들 및 노즐들 상에 또는 사용된 뚜껑들 및 노즐들을 개조하기 위해 사용된 뚜껑들 및 노즐들 상에서 수행될 수 있다. 일 구체예에서, 사용된 뚜껑들 및 노즐들은 공정(600)을 수행하기 전에 폴리싱된다. 예를 들어, 이전 보호층들은 공정(600)을 수행하기 전에 폴리싱에 의해 제거될 수 있다.
IAD 공정들과 관련하여, 고에너지 입자들은 다른 증착 파라미터들과는 독립적으로 고에너지 이온(또는 다른 입자) 공급원에 의해 조절될 수 있다. 고에너지 이온 플럭스의 에너지(예를 들어, 속도), 밀도 및 입사각에 따라, 박막 보호층의 조성, 구조, 결정상 배향 및 입자 크기가 조정될 수 있다. 조정될 수 있는 추가 파라미터들은 증착 동안 물품의 온도, 뿐만 아니라 증착 시간이다. 이온 에너지는 크게 저에너지 이온 어시스트(low energy ion assist) 및 고에너지 이온 어시스트(high energy ion assist)로 분류될 수 있다. 저에너지 이온 어시스트는 약 230V의 전압 및 약 5A의 전류를 포함할 수 있다. 고에너지 이온 어시스트는 약 270V의 전압 및 약 7A의 전류를 포함할 수 있다. 이온 어시스트를 위한 저 및 고 에너지는 본원에 언급된 수치들로 한정되지 않는다. 높은 및 낮은 수준 지정은 추가적으로 사용되는 이온들의 타입 및/또는 IAD 공정을 수행하기 위해 사용되는 챔버의 기하학적 구조에 따를 수 있다. 이온들은 저에너지 이온 어시스테 보다 고에너지 이온 어시스트에서 더욱 높은 속도로 발사된다. 증착 동안 기재(물품) 온도는 크게 저온(일 구체예에서 통상적인 실온인 대략 120 내지 150℃) 및 고온(일 구체예에서, 대략 270℃)로 나누어질 수 있다. 고온 IAD 증착 공정들에 대하여, 뚜껑 또는 노즐은 증착 전 또는 동안 가열될 수 있다.
표 2A: IAD를 이용하여 형성된 박막 보호층들의 예
표 2B: IAD를 이용하여 형성된 박막 보호층들의 예
표 2A 및 2B는 다양한 증착 파라미터들과 함께 IAD를 이용하여 형성된 박막 보호층들의 여러 예를 나타낸 것이다. 실험 결과는 이온 보조 에너지, 정합하고 조밀한 미세구조를 얻기 위한 증착률 및 온도를 변화시키는 다중-인자 실험 디자인(DOE)를 기초로 한 최적화된 코팅 공정을 확인한다. 코팅들은 물질 성질들(미세구조 및/또는 결정상) 및 기계적 성질들(경도 및 접착성), 뿐만 아니라 크랙 밀도 및 진공 시일링 능력의 측면에서 특징분석된다. IAD 코팅 공정 최적화는 낮은 잔류 응력을 갖는 고밀도 박막들(두께에 있어서 ~300 ㎛ 이하)을 갖는 IAD 코팅들을 형성시킬 수 있다. 최적화된 파라미터들은 대부분의 희토류 옥사이드 기반 코팅 물질들에 대해 사용될 수 있다.
6개의 상이한 예들은 Y4Al2O9 및 Y2O3-ZrO2의 고용체의 세라믹 컴파운드로부터 형성된 박막 보호층들에 대해 나타낸 것이다. 제1 예 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트 및 소결된 플러그 타겟, 270℃의 증착 온도, 및 초당 2 옹스트롱(Å/s)의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 예의 컴파운드 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 제1 예의 컴파운드 세라믹 박막 보호층은 또한 4.11 GPa의 경도를 가지며, 시각적 검사에서는 하부 기재에 대한 양호한 정합성(conformance), 뿐만 아니라 일부 수직 크랙들 및 일부 스파이크들을 나타내었다.
제2 예의 컴파운드 세라믹 박막 보호층은 6 마이크론의 두께를 가지고, 저에너지 이온 어시스트 및 소결된 플러그 어시스트, 270℃의 증착 온도, 및 제1의 2 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 예의 컴파운드 세라믹 박막 보호층이 나노-결정상 구조(여기서 일부는 결정상이며 일부는 비정질임)를 가짐을 나타내었다. 시일로서 사용될 때, 제2 예의 컴파운드 세라믹 박막 보호층은 초당 5E-6 입방센티미터(cm3/s)까지 진공을 유지시킬 수 있었다. 제2 예의 컴파운드 세라믹 박막 보호층의 시각적 검사는 양호한 정합성 및 제1 예의 컴파운드 세라믹 박막 보호층 보다 적은 수직 크랙들을 나타내었다.
제3 예의 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트 및 소결된 플러그 타겟, 270℃의 증착 온도 및 1Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제3 예의 컴파운드 세라믹 박막 보호층이 나노-결정상 구조를 가짐을 나타내었다. 시일로서 사용될 때, 제3 예의 컴파운드 세라믹 박막 보호층은 6.3E-6 cm3/s까지 진공을 유지시킬 수 있었다. 제3 예의 세라믹 박막 보호층의 시각적 검사는 양호한 정합성 및 제1 예의 컴파운드 세라믹 박막 보호층 보다 적은 수직 크랙들을 나타내었다.
제4 예의 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소결된 플러그 타겟, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제4 예의 컴파운드 세라믹 박막 보호층이 대략 비정질 구조를 가짐을 나타내었다. 시일로서 사용될 때, 제4 예의 컴파운드 세라믹 박막 보호층은 1.2E-9 cm3/s까지 진공을 유지시킬 수 있었다. 제4 예의 컴파운드 세라믹 박막 보호층의 시각적 검사는 양호한 정합성, 매끄러운 표면 및 매우 적은 수직 크랙들을 나타내었다. 추가적으로, 제4 예의 컴파운드 세라믹 박막 보호층은 7.825 GPa의 경도를 갖는다.
제5 예의 컴파운드 박막 보호층은 실온(대략 120 내지 150℃)에서의 증착 온도를 가지고 소성된 분말 타겟을 갖는 것을 제외하고, 제4 예의 캄파운드 박막 보호층과 동일한 파라미터들을 이용하여 형성되었다. 제5 예의 캄파운드 박막 보호층은 제4 예의 캄파운드 박막 보호층과 유사한 성질들을 나타내었다.
제6 예의 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소성된 분말 타겟, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 4 Å/s에서 IAD를 이용하여 형성되었다. X-선 회절에서는 제6 예의 컴파운드 세라믹 박막 보호층이 대략 비정질 구조를 가짐을 나타낸다. 시일로서 사용될 때, 제6 예의 컴파운드 세라믹 박막 보호층은 진공을 1.2E-9 cm3/s로 유지시킬 수 있다. 제6 예의 컴파운드 세라믹 박막 보호층은 7.812 GPa의 경도를 갖는다.
제1 예의 YAG 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트 및 융해된 덩어리 타겟, 270℃의 증착온도, 및 2.5Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 YAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었다. 제1 YAG 박막 보호층은 또한, 5.7 GPa의 경도를 가지며, 시각적 검사는 양호한 정합성, 최소 크래킹 및 매끄러운 표면을 나타내었다.
제2 예의 YAG 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 융해된 덩어리 타겟, 270℃의 증착온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 YAG 박막 보호층이 비정질 구조를 가짐을 나타내었다. 제2 YAG 박막 보호층은 또한 8.5 GPa의 경도를 가지며, 시각적 검사는 양호한 정합성, 제1 YAG 박막에 비해 감소된 크래킹, 및 매끄러운 표면을 나타내었다.
교대하는 컴파운드 세라믹 및 YAG 층들을 갖는 일 예의 박막 보호층 스택은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착온도, 및 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 교대하는 층들이 비정질(YAG 층들에 대해) 및 결정상 또는 나노-결정상(컴파운드 세라믹 층들에 대해)임을 나타내었다. 시각적 검사는 컴파운드 세라믹 층들에 대해 감소된 수직 크랙들을 나타내었다.
제1 예의 Er2O3 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트 및 소결된 덩어리 타겟, 270℃의 증착온도, 및 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 Er2O3 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 시각적 검사는 양호한 정합성 및 수직 크래킹을 나타내었다.
제2 예의 Er2O3 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소결된 덩어리 타겟, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 Er2O3 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 시각적 검사는 양호한 정합성 및 제1 Er2O3 세라믹 박막 보호층에 비해 적은 수직 크래킹을 나타내었다.
제1 예의 EAG 박막 보호층은 7.5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소결된 덩어리 타겟, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 EAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었고, 층은 8.485 GPa의 경도를 갖는다. 시각적 검사는 양호한 정합성 및 최소 크래킹을 나타내었다.
제2 예의 EAG 박막 보호층은 7.5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소결된 덩어리 타겟, 120 내지 150℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 EAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었고, 층은 9.057 GPa의 경도를 가졌다. 시각적 검사는 양호한 정합성 및 제1 EAG 세라믹 박막 보호층에 비해 적은 크래킹을 나타내었다.
제3 실시예의 EAG 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 소성된 분말 타겟, 및 제1 마이크론에서 1 Å/s의 증착률 및 후속 마이크론에서 2 Å/s의 증착률에서 IAD를 이용하여 형성되었다. X-선 회절에서는 제3 EAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었다.
일 실시예의 Y2O3 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 융해된 덩어리 타겟, 270℃의 온도, 및 제1 마이크론에서 1 Å/s의 증착률 및 후속 마이크론에서 2 Å/s의 증착률에서 IAD를 이용하여 형성된다. X-선 회절에서는 Y2O3 세라믹 박막 보호층이 결정상 구조를 가짐을 나타낸다.
일 예의 YZ20 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 분말 타겟, 120 내지 150℃의 온도, 및 제1 마이크론에서 1 Å/s의 증착률 및 후속 마이크론에서 2 Å/s의 증착률에서 IAD를 이용하여 형성되었다. X-선 회절에서는 YZ20 세라믹 박막 보호층이 결정상 구조를 가짐을 나타낸다. 시일로서 사용될 때, YZ20 세라믹 박막 보호층은 진공을 1.6E-7 cm3/s로 유지시킬 수 있었다. YZ20 세라믹 박막 보호층은 5.98 GPa의 경도를 갖는다.
일 예의 YF3 박막 보호층 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트 및 분말 타겟, 120 내지 150℃의 온도, 및 제1 마이크론에서 1 Å/s의 증착률 및 후속 마이크론에서 2 Å/s의 증착률에서 IAD를 이용하여 형성되었다. X-선 회절에서는 YF3 세라믹 박막 보호층이 비정질 구조를 가짐을 나타낸다. 시일로서 사용될 때, YF3 세라믹 박막 보호층은 진공을 2.6E-9 cm3/s까지 유지시킬 수 있었다. YF3 세라믹 박막 보호층은 3.411 GPa의 경도를 갖는다.
표 3: IAD 뚜껑 및 노즐 최적화된 코팅 공정 파라미터들
표 3은 일 구체예에서 따라, 챔버 뚜껑 또는 노즐을 코팅시키기 위한 최적화된 IAD 가공 파라미터들을 나타낸 것이다. 표 3은 추가적으로 박막 보호층들을 증착시키기 위해 일부 구체예들에서 사용될 수 있는 가공 파라미터 범위들을 나타낸 것이다. 다른 구체예들에서, 보다 넓은 범위들의 일부 가공 수치들이 사용될 수 있다. 일 구체예에서, IAD 공정은 150 내지 270 볼트(V)의 전압, 5 내지 7 Amp(A)의 전류, 100 내지 270℃의 온도, 초당 0.01 내지 20 옹스트롱(Å/s)의 증착률, 0 내지 90도의 입사각, 및 10 내지 300 인치(in)의 작업 거리를 사용하여 수행된다. 다른 구체예에서, IAD 공정은 50 내지 500 볼트(V)의 전압, 1 내지 50 Amp(A)의 전류, 20 내지 500℃의 온도, 초당 0.01 내지 20 옹스트롱(Å/s)의 증착률, 10 내지 300 인치의 작업 거리 및 10 내지 90도의 입사각을 사용하여 수행된다.
코팅 증착률은 전자빔에 의해 적용된 열량을 조정함으로써 제어될 수 있다. 이온 어시스트 에너지는 코팅을 조밀화시키고 뚜껑 또는 노즐의 표면 상에 물질의 증착을 가속화시키기 위해 사용될 수 있다. 이온 어시스트 에너지는 이온 공급원의 전압 및/또는 전류를 조정함으로써 변경될 수 있다. 전류 및 전압은 높은 및 낮은 코팅 밀도를 달성하기 위해, 코팅의 응력을 조절하기 위해, 그리고 또한 코팅의 결정도에 영향을 주기 위해 조정될 수 있다. 이온 어시스트 에너지는 50 내지 500 V 및 1 내지 50 A에서 달라질 수 있다. 증착률은 0.01 내지 20 Å/s에서 달라질 수 있다.
일 구체예에서, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드과 함께 사용되는 높은 이온 어시스트 에너지는 비정질 보호층을 형성하며, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드과 함께 사용되는 낮은 이온 어시스트 에너지는 결정상 보호층을 형성한다. 이온 어시스트 에너지는 또한 보호층의 화학양론을 변화시키기 위해 사용될 수 있다. 예를 들어, 금속성 타겟이 사용될 수 있으며, 증착 동안 금속성 물질은 뚜껑 또는 노즐의 표면에 산소 이온들의 도입에 의해 금속 옥사이드로 전환한다. 또한, 산소 건을 사용하여, 임의 금속 옥사이드 코팅의 수준이 요망되는 성질들을 달성하기 위해 변하고 최적화될 수 있다. 예를 들어, 대부분의 희토류 옥사이드들은 진공 챔버 내측에서 산소를 잃는다. 챔버 내측에 보다 많은 산소를 흘려보냄으로써, 옥사이드 코팅 물질의 산소 부족이 보상될 수 있다.
코팅 온도는 가열기들(예를 들어, 가열 램프들)을 이용하여 그리고 증착률을 조절함으로써 조절될 수 있다. 증착률이 높을수록 통상적으로 뚜껑 또는 노즐의 온도가 증가할 것이다. 증착 온도는 막 응력, 결정도, 등을 조절하기 위해 달라질 수 있다. 온도는 20℃ 내지 500℃에서 다양할 수 있다.
작업 거리는 균일성, 밀도 및 증착률을 개질시키기 위해 조정될 수 있다. 작업 거리는 10 내지 300 인치에서 달라질 수 있다. 증착 각도는 전자빔 건 또는 전자빔 난로(hearth)의 위치에 의해 또는 전자빔 건 또는 전자빔 난로에 대한 뚜껑 또는 노즐의 위치를 변화시킴으로써 달라질 수 있다. 증착 각도를 최적화함으로써, 3차원 기하학적 구조에서의 균일한 코팅이 달성될 수 있다. 증착 각도는 0 내지 90도, 및 하나의 구체예에서 10 내지 90도에서 달라질 수 있다.
일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 약 188V의 전압을 사용하여 수행된다. 일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 약 7A의 전류를 사용하여 수행된다. 일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 약 150℃의 온도를 사용하여 수행된다. 일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 1 Å/s의 증착률을 사용하여 수행된다. 추가 구체예에서, 2 Å/s의 증착률은 증착된 박막이 1 ㎛의 두께에 도달할 때까지 사용되며, 그 후에 2 Å/s의 증착률이 사용된다. 다른 구체예에서, 0.25 내지 1 Å/s의 증착률은 기재 상에 잘 접착하는 정합하는 코팅을 달성하기 위해 초기에 사용된다. 2 내지 10 Å/s의 증착률은 이후에 보다 짧은 시간에 보다 두꺼운 코팅을 달성하기 위하여 박막 보호층의 나머지를 증착시키기 위해 사용될 수 있다.
일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 약 30도의 입사각을 이용하여 수행된다. 일 구체예에서, IAD 공정은 임의 관련된 가공 파라미터 범위들을 갖는 다른 가공 파라미터들과 조합하여 약 50인치의 작업 거리를 이용하여 수행된다.
도 7은 본원에 기술된 구체예들에 따라 발생된 여러 상이한 IAD 코팅들의 침식률들을 포함하는, 유전체 에치 CF4 화학물질에 노출된 다양한 물질들의 침식률들을 도시한 것이다. 도시된 바와 같이, 92% 알루미나의 침식률은 무선주파수 시간 당 1.38 마이크론 (㎛/Rfhr)이며, 99.8% 알루미나의 침식률은 대략 1.21 ㎛/Rfhr이며, IAD 증착된 YAG의 침식률은 대략 0.28 ㎛/Rfhr이며, IAD 증착된 EAG의 침식률은 약 0.24 ㎛/Rfhr이며, IAD 증착된 Y2O3의 침식률은 약 0.18 ㎛/Rfhr이며, IAD 증착된 Er2O3의 침식률은 약 0.18 ㎛/Rfhr이며, IAD 증착된 컴파운드 세라믹의 침식률은 약 0.18 ㎛/Rfhr이다. 무선주파수 시간은 가공 시간이다.
도 8 및 도 9는 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 침식률들을 예시한 것이다. 도 8은 CH4/Cl2 플라즈마 화학물질에 노출될 때 박막 보호층들의 침식률들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 Al2O3와 비교하여 훨씬 개선된 내침식성을 나타낸다. 예를 들어, 92% 순도를 갖는 알루미나는 무선주파수 시간 당 대략 18 나노미터(nm/RFHr)의 침식률을 나타내었으며, 99.8% 순도를 갖는 알루미나는 약 56 nm/RFHr의 침식률을 나타내었다. 반면, IAD 증착된 컴파운드 세라믹 박막 보호층은 약 3 nm/RFHr의 침식률을 나타내었으며, IAD 증착된 YAG 박막 보호층은 약 1 nm/RFHr의 침식률을 나타내었다.
도 9는 H2/NF3 플라즈마 화학에 노출될 때 박막 보호층들의 침식률들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 Al2O3과 비교하여 훨씬 개선된 내침식성을 나타낸다. 예를 들어, 92% 순도를 갖는 알루미나는 대략 190 nm/RFHr의 침식률을 나타내며, 99.8% 순도를 갖는 알루미나는 약 165 nm/RFHr의 침식률을 나타내었다. 반면, IAD 증착된 YAG 박막 보호층은 약 52 nm/RFHr의 침식률을 나타내었다. 유사하게, 저에너지 이온들로 IAD를 이용하여 증착된 컴파운드 세라믹 박막 보호층은 약 45 nm/RFHr의 침식률을 나타내었으며, 고에너지 이온들로 IAD를 이용하여 증착된 컴파운드 세라믹 박막 보호층은 약 35 nm/RFHr의 침식률을 나타내었다. 높은 증착 온도(예를 들어, 대략 270℃)와 함께 IAD를 이용하여 증착된 EAG 박막 보호층은 약 약 95 nm/RFHr의 침식률을 나타내었으며, 낮은 증착 온도(예를 들어, 대략 120 내지 150℃)와 함께 IAD를 이용하여 증착된 EAG 박막 보호층은 약 70 nm/RFHr의 침식률을 나타내었다. 고에너지 이온들과 함께 IAD를 이용하여 증착된 Er2O3 박막 보호층은 약 35 nm/RFHr의 침식률을 나타내었다.
도 10 및 도 11은 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 거칠기 프로파일들을 예시한 것이다. 도 10은 100 RFHr 동안 CH4/Cl2 플라즈마 화학물질에 노출시키기 전 및 후에 도 8의 박막 보호층들의 표면 거칠기 프로파일들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 100 RFHr 동안 CH4/Cl2 플라즈마 화학물질에 노출된 후 표면 거칠기에서의 최소 변화를 나타낸다.
도 11은 35 RFHr 동안 H2/NF3 플라즈마 화학물질에 노출되기 전 및 후에 도 9의 박막 보호층들의 표면 거칠기 프로파일들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 35 RFHr 동안 H2/NF3 플라즈마 화학물질에 노출 후에 표면 거칠기의 최소 변화를 나타낸다.
도 12는 본원에 기술된 구체예들에 따라 형성된 여러 상이한 IAD 코팅들의 침식률들을 포함하는, 저 바이어스에서 CF4-CHF3 트랜치 화학물질에 노출된 다양한 물질들의 침식률들을 도시한 것이다. 도시된 바와 같이, 92% 알루미나의 침식률은 무선주파수 시간 당 대략 0.26 마이크론 (㎛/Rfhr)이며, IAD 증착된 EAG의 침식률은 대략 0.18 ㎛/Rfhr이며, IAD 증착된 YAG의 침식률은 약 0.15 ㎛/Rfhr이며, 플라즈마 분무 증착된 컴파운드 세라믹의 침식률은 약 0.09 ㎛/Rfhr이며, IAD 증착된 Y2O3의 침식률은 약 0.08 ㎛/Rfhr이며, IAD 증착된 세라믹 컴파운드의 침식률은 약 0.07 ㎛/Rfhr이며, 벌크 Y2O3의 침식률은 약 0.07 ㎛/Rfhr이며, 벌크 세라믹 컴파운드의 침식률은 약 0.065 ㎛/Rfhr이며, IAD 증착된 Er2O3의 침식률은 약 0.05 ㎛/Rfhr이다. 유사한 에치 결과는 이러한 물질들이 고 바이어스에서 CF4-CHF3 트랜치 화학물질을 이용하여 에칭될 때 일어난다. 예를 들어, 고 바이어스에서 92% 알루미나의 에칭률은 대략 1.38 ㎛/Rfhr이며, IAD 증착된 EAG의 침식률은 대략 0.27 ㎛/Rfhr이며, IAD 증착된 YAG의 침식률은 약 0.27 ㎛/Rfhr이며, 플라즈마 분무 증착된 컴파운드 세라믹의 침식률은 약 0.35 ㎛/Rfhr이며, IAD 증착된 Y2O3의 침식률은 약 0.18 ㎛/Rfhr이며, IAD 증착된 세라믹 컴파운드의 침식률은 약 0.19 ㎛/Rfhr이며, 벌크 Y2O3의 침식률은 약 0.4 ㎛/Rfhr이며, 벌크 세라믹 컴파운드의 침식률은 약 0.4 ㎛/Rfhr이며, IAD 증착된 Er2O3의 침식률은 약 0.18 ㎛/Rfhr이다.
표 4: 금속 오염 결과들
본 발명의 구체예들에 따라 형성된 IAD 증착된 박막 보호층들로 코팅된 뚜껑들 및 노즐들은 표 4에 나타낸 명시된 사양을 충족시키는 금속 오염 결과들을 갖는다. 표는 금속 오염 원소들, 검출 한계, 사양 한계, 제1 시험 결과 및 제2 시험 결과를 나타낸다. 검출 한계는 최소 검출 가능한 오염 수준을 한정한다. 사양 한계는 시험들에서 처리되는 기재(예를 들어, 웨이퍼) 상에서 확인되는 제곱 센티미터 당 원자들(원자/cm2)이다. 나타낸 바와 같이, 두 개의 별도의 금속 오염 시험들에서, 알루미늄 및 이트륨 오염 수준은 100 원자/cm2 미만이며, 니켈, 철, 크롬, 구리, 티탄 및 칼슘 오염 수준은 10 원자/cm2 미만이다. 각 시험들에 대하여, Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드의 박막 보호층을 포함하는 뚜껑 및 노즐은 시험 공정 동안 사용되었다. 금속 오염 수준은 각 시험 공정 후에 측정되었다. 유사한 오염 결과들은 본원에 기술된 구체예들에 따라 형성된 다른 IAD 증착된 박막 보호층들을 사용하여 달성될 수 있다.
Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드의 박막 보호층을 갖는 뚜껑 및 노즐을 사용하는 100 RFhr의 가공 후에, 가공된 300 mm 웨이퍼 상의 전체 입자 오염은 크기가 65 nm 초과의 약 50개의 입자들, 크기가 90 nm 초과의 약 40개의 입자들, 및 크기가 165 nm 초과의 약 20개의 입자들인 것으로 시험되었다. 측정된 입자 오염은 300 mm 웨이퍼 상의 제공된 크기 보다 큰 입자들의 총 수이다. 50 RFhr의 가공 후에, 전체 입자 오염은 크기가 65 nm 초과의 약 40개의 입자들, 크기가 90 nm 초과의 약 30개의 입자들, 및 크기가 165 nm 초과의 약 18개의 입자들인 것으로 시험되었다.
상기 설명은 본 발명의 여러 구체예들의 양호한 이해를 제공하기 위하여 특정 시스템들, 부품들, 방법들의 예들, 등과 같은 다수의 특정 세부사항들을 기술한다. 그러나, 당업자에게 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항들 없이 실행될 수 있다는 것이 명백하게 될 것이다. 다른 경우들에서, 널리 공지된 부품들 또는 방법들은 상세히 기술되지 않거나 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위하여 단순한 블록 다아이그램 포맷으로 제시된다. 이에 따라, 기술된 특정 세부사항들은 단지 예시적인 것이다. 특정 실행들은 이러한 예시적인 세부사항들로부터 다양해질 수 있고, 또한 본 발명의 범위에 있는 것으로 고려될 수 있다.
본 명세서 전반에 걸쳐 "하나의 구체예" 또는 "일 구체예"에 대한 언급은, 구체예와 관련하여 기술된 특정 특성, 구조, 또는 특징이 적어도 하나의 구체예에 포함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치에서 구 "하나의 구체예에서" 또는 "일 구체예에서"의 출현은 반드시 모두 동일한 구체예를 언급하는 것은 아니다. 또한, 용어 "또는"은 배타적인 "또는"이기 보다는 포괄적인 "또는"을 의미하는 것으로 의도된다. 용어 "약" 또는 "대략"이 본원에서 사용될 때, 이는 제시된 공칭 수치가 ±30% 내에서 정확함을 의미하기 위해 의도된다.
본원의 방법들의 작동들이 실제 순서로 도시되고 기술되어 있지만, 이러한 방법의 작동들의 순서는 특정 작동들이 역순으로 수행될 수 있거나 특정 작동들이 적어도 일부, 다른 작동들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별도의 작동들의 명령 또는 하위-작동은 간헐적 및/또는 교차 방식으로 이루어질 수 있다.
상기 설명이 예시적인 것으로 의도되고 한정적인 것으로 의도되지 않는 것으로 이해될 것이다. 다수의 다른 구체예들은 상기 설명을 읽고 이해할 때에 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 청구항들이 권리를 갖는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.
Claims (15)
- 에치 반응기(etch reactor)용 챔버 부품으로서,
세라믹 바디를 포함하는 뚜껑 또는 노즐; 및
세라믹 바디의 하나 이상의 표면 상에 이온 보조 증착(ion assisted deposition)을 이용하여 형성된 보호층을 포함하며,
보호층이 8 마이크로인치 또는 그 미만의 평균 표면 거칠기를 갖는 300 ㎛ 미만의 두께를 갖는 플라즈마 내성 희토류 옥사이드 막이고,
보호층이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, YF3, Nd2O3, Er4Al2O9, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드 중 하나 이상을 포함하는, 챔버 부품. - 제1항에 있어서, 보호층이 10 내지 30 ㎛의 두께를 갖는 챔버 부품.
- 제1항에 있어서, 보호층이 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함하며, 세라믹 컴파운드가 하기로 이루어진 군으로부터 선택된 조성을 갖는 챔버 부품:
40 mol% 내지 100 mol% 미만의 Y2O3, 0 mol% 초과 내지 60 mol% 미만의 ZrO2, 및 0 mol% 초과 내지 10 mol%의 Al2O3;
40 내지 60 mol%의 Y2O3, 30 내지 50 mol%의 ZrO2, 및 10 내지 20 mol%의 Al2O3;
40 내지 50 mol%의 Y2O3, 20 내지 40 mol%의 ZrO2, 및 20 내지 40 mol%의 Al2O3;
70 mol% 내지 90 mol% 미만의 Y2O3, 0 mol% 초과 내지 20 mol%의 ZrO2, 및 10 내지 20 mol%의 Al2O3;
60 mol% 내지 80 mol% 미만의 Y2O3, 0 mol% 초과 내지 10 mol%의 ZrO2, 및 20 mol% 내지 40 mol% 미만의 Al2O3; 및
40 내지 60 mol%의 Y2O3, 0 mol% 초과 내지 20 mol%의 ZrO2, 및 30 내지 40 mol%의 Al2O3. - 제1항에 있어서, 보호층이 40 내지 45 mol%의 Y2O3, 5 내지 10 mol%의 ZrO2, 35 내지 40 mol%의 Er2O3, 5 내지 10 mol%의 Gd2O3, 및 5 내지 15 mol%의 SiO2의 조성을 갖는 챔버 부품.
- 제1항에 있어서, 보호층의 공극률이 1% 미만인 챔버 부품.
- 제1항에 있어서, 보호층이 8 마이크로인치 미만의 폴리싱후 거칠기(post polished roughness)를 갖는 챔버 부품.
- 제1항에 있어서, 세라믹 바디가 Al2O3, Y2O3, SiO2, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드 중 하나 이상을 포함하는 벌크 소결된 세라믹 바디인 챔버 부품.
- 제1항에 있어서, 보호층이 하나 이상의 표면 상에 제1 플라즈마 내성 희토류 옥사이드 막 및 제1 플라즈마 내성 희토류 옥사이드 막 상의 제2 플라즈마 내성 희토류 옥사이드 막을 포함하는 보호층 스택을 포함하며, 제1 플라즈마 내성 희토류 옥사이드 막이, 제1 플라즈마 내성 희토류 옥사이드 막이 제2 플라즈마 내성 희토류 옥사이드 막과 상이한 칼라를 갖도록 하는 착색제를 포함하는 챔버 부품.
- 제8항에 있어서, 착색제가 Nd2O3, Sm2O3 또는 Er2O3 중 하나 이상을 포함하는 챔버 부품.
- 제1항에 있어서, 세라믹 바디의 하나 이상의 표면이 8 내지 16 마이크로인치의 거칠기를 갖는 챔버 부품.
- 물품을 제작하는 방법으로서,
에치 반응기용 뚜껑 또는 노즐을 제공하는 단계;
뚜껑 또는 노즐의 하나 이상의 표면 상에 보호층을 증착시키기 위해 이온 보조 증착을 수행하는 단계로서, 보호층이 300 ㎛ 미만의 두께를 갖는 플라즈마 내성 희토류 옥사이드 막인 단계; 및
보호층을 8 마이크로인치 또는 그 미만의 평균 표면 거칠기로 폴리싱하는 단계를 포함하는 방법. - 제11항에 있어서, 보호층이 10 내지 30 ㎛의 두께를 가지며, 초당 1 내지 2 옹스트롱의 증착률이 보호층을 증착시키기 위해 사용되는 방법.
- 제11항에 있어서, 제1 보호층 상에 제2 보호층을 증착시키기 위해 이온 보조 증착을 수행하는 단계를 더 포함하며, 제2 보호층이 5 내지 30 ㎛의 두께를 갖는 추가 플라즈마 내성 희토류 옥사이드 막인 방법.
- 제13항에 있어서, 제1 보호층이, 제1 보호층이 제2 보호층과는 다른 칼라를 갖도록 하는 착색제를 포함하는 방법.
- 제11항 내지 제14항 중 어느 한 항에 있어서, 보호층이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, YF3, Nd2O3, Er4Al2O9, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드 중 하나 이상을 포함하는 방법.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020177036604A KR102260313B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361856696P | 2013-07-20 | 2013-07-20 | |
US61/856,696 | 2013-07-20 | ||
US14/034,315 US9583369B2 (en) | 2013-07-20 | 2013-09-23 | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US14/034,315 | 2013-09-23 | ||
PCT/US2014/046732 WO2015013070A1 (en) | 2013-07-20 | 2014-07-15 | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020177036604A Division KR102260313B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20160033700A KR20160033700A (ko) | 2016-03-28 |
KR102258598B1 true KR102258598B1 (ko) | 2021-05-28 |
Family
ID=52342733
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020217041557A KR102516707B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR1020217016029A KR102342795B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR1020167001721A KR102258598B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR1020177036604A KR102260313B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020217041557A KR102516707B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
KR1020217016029A KR102342795B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020177036604A KR102260313B1 (ko) | 2013-07-20 | 2014-07-15 | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 |
Country Status (6)
Country | Link |
---|---|
US (5) | US9583369B2 (ko) |
JP (4) | JP6661241B2 (ko) |
KR (4) | KR102516707B1 (ko) |
CN (4) | CN110016645B (ko) |
TW (5) | TWI687996B (ko) |
WO (1) | WO2015013070A1 (ko) |
Families Citing this family (55)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US11017984B2 (en) * | 2016-04-28 | 2021-05-25 | Applied Materials, Inc. | Ceramic coated quartz lid for processing chamber |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US20180016678A1 (en) | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
KR20180071695A (ko) * | 2016-12-20 | 2018-06-28 | 주식회사 티씨케이 | 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법 |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
US20180251406A1 (en) * | 2017-03-06 | 2018-09-06 | Applied Materials, Inc. | Sintered ceramic protective layer formed by hot pressing |
US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
US10755900B2 (en) | 2017-05-10 | 2020-08-25 | Applied Materials, Inc. | Multi-layer plasma erosion protection for chamber components |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US11279656B2 (en) | 2017-10-27 | 2022-03-22 | Applied Materials, Inc. | Nanopowders, nanoceramic materials and methods of making and use thereof |
CN109841475B (zh) * | 2017-11-28 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 预处理方法及晶片处理方法 |
JP6975972B2 (ja) * | 2018-01-19 | 2021-12-01 | 学校法人 芝浦工業大学 | Yf3成膜体の製造方法 |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
US10443126B1 (en) * | 2018-04-06 | 2019-10-15 | Applied Materials, Inc. | Zone-controlled rare-earth oxide ALD and CVD coatings |
CN112236839A (zh) * | 2018-06-14 | 2021-01-15 | 应用材料公司 | 具保护性涂层的处理腔室的处理配件 |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
CN109065479B (zh) * | 2018-07-27 | 2020-06-16 | 上海华力集成电路制造有限公司 | 硅刻蚀机及其操作方法 |
US11562890B2 (en) | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
US11180847B2 (en) | 2018-12-06 | 2021-11-23 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature ceramic components |
US10858741B2 (en) | 2019-03-11 | 2020-12-08 | Applied Materials, Inc. | Plasma resistant multi-layer architecture for high aspect ratio parts |
CN112017932B (zh) * | 2019-05-31 | 2022-11-29 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置中气体输送系统的耐腐蚀结构 |
EP3990678A4 (en) * | 2019-06-25 | 2023-01-04 | Picosun Oy | PLASMA IN A SUBSTRATE PROCESSING DEVICE |
KR20210012178A (ko) * | 2019-07-24 | 2021-02-03 | 삼성전자주식회사 | 기판 처리장치 및 이를 구비하는 기판 처리 시스템 |
US11842890B2 (en) * | 2019-08-16 | 2023-12-12 | Applied Materials, Inc. | Methods and apparatus for physical vapor deposition (PVD) dielectric deposition |
CN112713073B (zh) * | 2019-10-24 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | 一种耐腐蚀气体输送部件及其等离子体处理装置 |
KR102371936B1 (ko) * | 2019-12-04 | 2022-03-08 | 한국세라믹기술원 | 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법 |
US11661650B2 (en) * | 2020-04-10 | 2023-05-30 | Applied Materials, Inc. | Yttrium oxide based coating composition |
CN113707525A (zh) * | 2020-05-20 | 2021-11-26 | 中微半导体设备(上海)股份有限公司 | 零部件、形成耐等离子体涂层的方法和等离子体反应装置 |
CN114277340B (zh) * | 2020-09-28 | 2023-12-29 | 中微半导体设备(上海)股份有限公司 | 零部件、耐等离子体涂层的形成方法和等离子体反应装置 |
EP4228894A1 (en) * | 2020-10-15 | 2023-08-23 | Heraeus Conamic North America LLC | Multilayer sintered ceramic body and method of making |
CN114678248A (zh) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | 形成涂层的装置和方法、零部件和等离子体装置 |
JP2022143281A (ja) * | 2021-03-17 | 2022-10-03 | キオクシア株式会社 | 基板処理装置及び基板の処理方法 |
TW202237397A (zh) * | 2021-03-29 | 2022-10-01 | 日商Toto股份有限公司 | 複合結構物及具備複合結構物之半導體製造裝置 |
TW202238998A (zh) * | 2021-03-29 | 2022-10-01 | 日商Toto股份有限公司 | 複合結構物及具備複合結構物之半導體製造裝置 |
US11875973B2 (en) * | 2021-07-16 | 2024-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for preparing void-free coatings for plasma treatment components |
WO2024080251A1 (ja) * | 2022-10-11 | 2024-04-18 | 株式会社シンクロン | イットリウム系皮膜及びその製造方法 |
WO2024101102A1 (ja) * | 2022-11-11 | 2024-05-16 | Agc株式会社 | 部材およびその製造方法 |
WO2024101367A1 (ja) * | 2022-11-11 | 2024-05-16 | Agc株式会社 | イットリウム質保護膜およびその製造方法ならびに部材 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004010981A (ja) * | 2002-06-07 | 2004-01-15 | Nihon Ceratec Co Ltd | 耐食性部材およびその製造方法 |
JP2007516921A (ja) * | 2003-12-18 | 2007-06-28 | ラム リサーチ コーポレーション | 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法 |
US20080108225A1 (en) * | 2006-10-23 | 2008-05-08 | Sun Jennifer Y | Low temperature aerosol deposition of a plasma resistive layer |
JP2009035469A (ja) * | 2007-08-02 | 2009-02-19 | Applied Materials Inc | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
Family Cites Families (199)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3796182A (en) | 1971-12-16 | 1974-03-12 | Applied Materials Tech | Susceptor structure for chemical vapor deposition reactor |
SE8000480L (sv) | 1979-02-01 | 1980-08-02 | Johnson Matthey Co Ltd | Artikel lemplig for anvendning vid hoga temperaturer |
US4695439A (en) | 1986-09-25 | 1987-09-22 | Gte Products Corporation | Yttrium oxide stabilized zirconium oxide |
EP0299870B1 (en) | 1987-07-13 | 1994-04-13 | Sumitomo Electric Industries Limited | Method for preparing a superconducting thin film |
US4773928A (en) | 1987-08-03 | 1988-09-27 | Gte Products Corporation | Plasma spray powders and process for producing same |
US4880614A (en) | 1988-11-03 | 1989-11-14 | Allied-Signal Inc. | Ceramic thermal barrier coating with alumina interlayer |
US5573862A (en) | 1992-04-13 | 1996-11-12 | Alliedsignal Inc. | Single crystal oxide turbine blades |
US5630314A (en) | 1992-09-10 | 1997-05-20 | Hitachi, Ltd. | Thermal stress relaxation type ceramic coated heat-resistant element |
US5415756A (en) | 1994-03-28 | 1995-05-16 | University Of Houston | Ion assisted deposition process including reactive source gassification |
WO1996011288A1 (en) | 1994-10-05 | 1996-04-18 | United Technologies Corporation | Multiple nanolayer coating system |
US5741544A (en) | 1995-08-31 | 1998-04-21 | Olin Corporation | Articles using specialized vapor deposition processes |
DE69717182T2 (de) * | 1996-03-07 | 2003-07-24 | Tadahiro Ohmi | Excimerlasergenerator |
US6500314B1 (en) | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
US5837058A (en) | 1996-07-12 | 1998-11-17 | Applied Materials, Inc. | High temperature susceptor |
TW392215B (en) | 1997-02-19 | 2000-06-01 | Anelva Corp | Surface processing apparatus |
US6217662B1 (en) | 1997-03-24 | 2001-04-17 | Cree, Inc. | Susceptor designs for silicon carbide thin films |
JP3362113B2 (ja) | 1997-07-15 | 2003-01-07 | 日本碍子株式会社 | 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法 |
JP2000001362A (ja) | 1998-06-10 | 2000-01-07 | Nippon Seratekku:Kk | 耐食性セラミックス材料 |
US6383964B1 (en) | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
EP1013623B1 (en) * | 1998-12-21 | 2004-09-15 | Shin-Etsu Chemical Co., Ltd. | Corrosion-resistant composite oxide material |
US6433403B1 (en) * | 1999-04-21 | 2002-08-13 | Micron Technology, Inc. | Integrated circuit having temporary conductive path structure and method for forming the same |
US6444083B1 (en) | 1999-06-30 | 2002-09-03 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof |
JP3510993B2 (ja) | 1999-12-10 | 2004-03-29 | トーカロ株式会社 | プラズマ処理容器内部材およびその製造方法 |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6949203B2 (en) | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6962524B2 (en) | 2000-02-17 | 2005-11-08 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
TW503449B (en) | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
NL1015550C2 (nl) | 2000-06-28 | 2002-01-02 | Xycarb Ceramics B V | Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor. |
EP1167565B1 (en) | 2000-06-29 | 2007-03-07 | Shin-Etsu Chemical Co., Ltd. | Method for thermal spray coating and rare earth oxide powder used therefor |
AU2001280609A1 (en) | 2000-07-20 | 2002-02-05 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
US6579818B2 (en) | 2000-08-28 | 2003-06-17 | Kyocera Corporation | Glass ceramic sintered product |
US6746539B2 (en) | 2001-01-30 | 2004-06-08 | Msp Corporation | Scanning deposition head for depositing particles on a wafer |
EP1239055B1 (en) | 2001-03-08 | 2017-03-01 | Shin-Etsu Chemical Co., Ltd. | Thermal spray spherical particles, and sprayed components |
US6723209B2 (en) | 2001-03-16 | 2004-04-20 | 4-Wave, Inc. | System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals |
JP2002356387A (ja) | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
TWI234417B (en) | 2001-07-10 | 2005-06-11 | Tokyo Electron Ltd | Plasma procesor and plasma processing method |
JP4277973B2 (ja) | 2001-07-19 | 2009-06-10 | 日本碍子株式会社 | イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材 |
US20030047464A1 (en) | 2001-07-27 | 2003-03-13 | Applied Materials, Inc. | Electrochemically roughened aluminum semiconductor processing apparatus surfaces |
US7507268B2 (en) | 2001-08-02 | 2009-03-24 | 3M Innovative Properties Company | Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same |
KR100885329B1 (ko) | 2001-08-02 | 2009-02-26 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | Al₂O₃-희토류 산화물-ZrO₂/HfO₂물질, 및그의 제조 및 사용 방법 |
KR100912306B1 (ko) | 2001-08-02 | 2009-08-14 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 무정질 물질 및 세라믹의 제조 방법 |
JP4921652B2 (ja) | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
US20030029563A1 (en) | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
JP4663927B2 (ja) | 2001-08-29 | 2011-04-06 | 信越化学工業株式会社 | 希土類含有酸化物部材 |
KR20030025007A (ko) | 2001-09-19 | 2003-03-28 | 삼성전자주식회사 | 쉴드링을 가지는 식각장비 |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6884514B2 (en) * | 2002-01-11 | 2005-04-26 | Saint-Gobain Ceramics & Plastics, Inc. | Method for forming ceramic layer having garnet crystal structure phase and article made thereby |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US20080213496A1 (en) * | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US20030175142A1 (en) | 2002-03-16 | 2003-09-18 | Vassiliki Milonopoulou | Rare-earth pre-alloyed PVD targets for dielectric planar applications |
JP2003277051A (ja) | 2002-03-22 | 2003-10-02 | Ngk Insulators Ltd | イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法 |
US6852433B2 (en) | 2002-07-19 | 2005-02-08 | Shin-Etsu Chemical Co., Ltd. | Rare-earth oxide thermal spray coated articles and powders for thermal spraying |
DE60319470T2 (de) | 2002-08-02 | 2009-03-26 | Fujikura Ltd. | Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement |
KR100772740B1 (ko) | 2002-11-28 | 2007-11-01 | 동경 엘렉트론 주식회사 | 플라즈마 처리 용기 내부재 |
JP4208580B2 (ja) | 2003-01-15 | 2009-01-14 | 日本碍子株式会社 | 複合焼結体およびその製造方法 |
CN100401478C (zh) | 2003-02-12 | 2008-07-09 | 松下电器产业株式会社 | 半导体器件的制造方法 |
US7560376B2 (en) | 2003-03-31 | 2009-07-14 | Tokyo Electron Limited | Method for adjoining adjacent coatings on a processing element |
JP2004332081A (ja) | 2003-05-12 | 2004-11-25 | Shin Etsu Chem Co Ltd | 耐プラズマ部材及びその製造方法 |
US20050016684A1 (en) * | 2003-07-25 | 2005-01-27 | Applied Materials, Inc. | Process kit for erosion resistance enhancement |
US7645526B2 (en) | 2003-09-16 | 2010-01-12 | Shin-Etsu Quartz Products, Ltd. | Member for plasma etching device and method for manufacture thereof |
US20060037536A1 (en) * | 2003-10-24 | 2006-02-23 | Toshiba Ceramics Co., Ltd. | Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat |
JP2005170728A (ja) * | 2003-12-10 | 2005-06-30 | Toshiba Ceramics Co Ltd | Y2o3焼結体およびその製造方法 |
US20050142393A1 (en) | 2003-12-30 | 2005-06-30 | Boutwell Brett A. | Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase |
US8110043B2 (en) | 2004-01-08 | 2012-02-07 | University Of Virginia Patent Foundation | Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom |
JP4606121B2 (ja) | 2004-01-29 | 2011-01-05 | 京セラ株式会社 | 耐食膜積層耐食性部材およびその製造方法 |
JP2005260040A (ja) | 2004-02-12 | 2005-09-22 | Sony Corp | ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法 |
US7354663B2 (en) | 2004-04-02 | 2008-04-08 | Mitsubishi Heavy Industries, Ltd. | Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine |
TWI291713B (en) * | 2004-04-13 | 2007-12-21 | Applied Materials Inc | Process chamber component having electroplated yttrium containing coating |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
DE112005001601T5 (de) | 2004-07-07 | 2007-05-16 | Gen Electric | Schützende Beschichtung auf einem Substrat und Verfahren zum Herstellen derselben |
JP2006108602A (ja) | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2006186306A (ja) | 2004-09-30 | 2006-07-13 | Toshiba Ceramics Co Ltd | ガス拡散プレートおよびその製造方法 |
KR101226120B1 (ko) | 2004-10-26 | 2013-01-24 | 쿄세라 코포레이션 | 내식성 부재 및 그 제조방법 |
JP2006128000A (ja) | 2004-10-29 | 2006-05-18 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
KR20060041497A (ko) * | 2004-11-09 | 2006-05-12 | 동부일렉트로닉스 주식회사 | 건식 식각장치 |
US20060110609A1 (en) | 2004-11-19 | 2006-05-25 | Eaton Harry E | Protective coatings |
JP4513541B2 (ja) * | 2004-12-17 | 2010-07-28 | 宇部興産株式会社 | 光変換用セラミック複合体を用いた発光装置 |
US7959984B2 (en) * | 2004-12-22 | 2011-06-14 | Lam Research Corporation | Methods and arrangement for the reduction of byproduct deposition in a plasma processing system |
US7989095B2 (en) | 2004-12-28 | 2011-08-02 | General Electric Company | Magnetic layer with nanodispersoids having a bimodal distribution |
US7838083B1 (en) | 2005-01-28 | 2010-11-23 | Sandia Corporation | Ion beam assisted deposition of thermal barrier coatings |
US8124240B2 (en) | 2005-06-17 | 2012-02-28 | Tohoku University | Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure |
KR20070013118A (ko) | 2005-07-25 | 2007-01-30 | 삼성전자주식회사 | 플라즈마 식각 장치 |
US7672110B2 (en) | 2005-08-29 | 2010-03-02 | Applied Materials, Inc. | Electrostatic chuck having textured contact surface |
JP4985928B2 (ja) | 2005-10-21 | 2012-07-25 | 信越化学工業株式会社 | 多層コート耐食性部材 |
US7968205B2 (en) | 2005-10-21 | 2011-06-28 | Shin-Etsu Chemical Co., Ltd. | Corrosion resistant multilayer member |
US20070119370A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
JP4372748B2 (ja) | 2005-12-16 | 2009-11-25 | トーカロ株式会社 | 半導体製造装置用部材 |
US7736759B2 (en) | 2006-01-20 | 2010-06-15 | United Technologies Corporation | Yttria-stabilized zirconia coating with a molten silicate resistant outer layer |
WO2007088904A1 (ja) | 2006-01-31 | 2007-08-09 | Tokyo Electron Limited | マイクロ波プラズマ処理装置 |
JP2007223828A (ja) | 2006-02-22 | 2007-09-06 | Toshiba Ceramics Co Ltd | イットリアセラミックス焼結体およびその製造方法 |
US20080009417A1 (en) | 2006-07-05 | 2008-01-10 | General Electric Company | Coating composition, article, and associated method |
US7718029B2 (en) * | 2006-08-01 | 2010-05-18 | Applied Materials, Inc. | Self-passivating plasma resistant material for joining chamber components |
US20080029032A1 (en) | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
JP4258536B2 (ja) * | 2006-08-11 | 2009-04-30 | 独立行政法人産業技術総合研究所 | 結晶化金属酸化物薄膜の製造方法 |
US7722959B2 (en) | 2006-09-06 | 2010-05-25 | United Technologies Corporation | Silicate resistant thermal barrier coating with alternating layers |
US20100028572A1 (en) | 2006-10-06 | 2010-02-04 | Asahi Tech Co., Ltd. | Corrosion-resistant member and process for producing the same |
US7919722B2 (en) * | 2006-10-30 | 2011-04-05 | Applied Materials, Inc. | Method for fabricating plasma reactor parts |
JP5159204B2 (ja) * | 2006-10-31 | 2013-03-06 | 株式会社フジミインコーポレーテッド | 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー |
US20080142755A1 (en) | 2006-12-13 | 2008-06-19 | General Electric Company | Heater apparatus and associated method |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
JPWO2008114753A1 (ja) | 2007-03-22 | 2010-07-08 | 東京エレクトロン株式会社 | 基板載置台,基板処理装置,基板載置台の表面加工方法 |
US7659204B2 (en) | 2007-03-26 | 2010-02-09 | Applied Materials, Inc. | Oxidized barrier layer |
JP2008251765A (ja) | 2007-03-30 | 2008-10-16 | Hitachi High-Technologies Corp | プラズマエッチング装置 |
US7718559B2 (en) | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
TWI483291B (zh) * | 2007-04-27 | 2015-05-01 | Applied Materials Inc | 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備 |
US7696117B2 (en) | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
JP5047741B2 (ja) | 2007-09-13 | 2012-10-10 | コバレントマテリアル株式会社 | 耐プラズマ性セラミックス溶射膜 |
JP2009068067A (ja) | 2007-09-13 | 2009-04-02 | Covalent Materials Corp | 耐プラズマ性セラミックス溶射膜 |
CN100522607C (zh) * | 2007-09-24 | 2009-08-05 | 北京航空航天大学 | Al2O3/Al-Si-Cr复合涂层及其制备方法 |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
US20090214825A1 (en) | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
JP5466831B2 (ja) | 2008-04-28 | 2014-04-09 | 株式会社フェローテックセラミックス | イットリア焼結体およびプラズマプロセス装置用部材 |
JP2010006641A (ja) * | 2008-06-27 | 2010-01-14 | Kyocera Corp | 耐食性部材およびこれを用いた処理装置 |
JP2010070854A (ja) * | 2008-08-20 | 2010-04-02 | Kyocera Corp | 耐食性部材およびこれを用いた半導体製造装置 |
EP2342951B1 (en) | 2008-10-31 | 2019-03-06 | Lam Research Corporation | Lower electrode assembly of plasma processing chamber |
US8206829B2 (en) * | 2008-11-10 | 2012-06-26 | Applied Materials, Inc. | Plasma resistant coatings for plasma chamber components |
US9017765B2 (en) | 2008-11-12 | 2015-04-28 | Applied Materials, Inc. | Protective coatings resistant to reactive plasma processing |
US8858745B2 (en) | 2008-11-12 | 2014-10-14 | Applied Materials, Inc. | Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas |
JP5407324B2 (ja) | 2008-12-24 | 2014-02-05 | 堺化学工業株式会社 | 酸化ジルコニウム分散液の製造方法 |
US8262923B2 (en) | 2008-12-17 | 2012-09-11 | Lam Research Corporation | High pressure bevel etch process |
CN102348643A (zh) | 2009-01-22 | 2012-02-08 | 3M创新有限公司 | 表面改性的氧化锆纳米粒子 |
TWI456679B (zh) | 2009-03-27 | 2014-10-11 | Advanced Micro Fab Equip Inc | 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室 |
RU2441103C2 (ru) * | 2009-04-28 | 2012-01-27 | Общество с ограниченной ответственностью "Производственное предприятие "Турбинаспецсервис" | Способ получения теплозащитного покрытия |
TW201100578A (en) | 2009-06-19 | 2011-01-01 | Saint Gobain Ceramics & Plastics Inc | Sealed plasma coatings |
EP2292357B1 (en) | 2009-08-10 | 2016-04-06 | BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG | Ceramic article and methods for producing such article |
JP5346256B2 (ja) * | 2009-09-02 | 2013-11-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20110086178A1 (en) | 2009-10-14 | 2011-04-14 | General Electric Company | Ceramic coatings and methods of making the same |
US20110091700A1 (en) | 2009-10-20 | 2011-04-21 | Saint-Gobain Ceramics & Plastics, Inc. | Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer |
JP2013512573A (ja) * | 2009-11-25 | 2013-04-11 | グリーン, ツイード オブ デラウェア, インコーポレイテッド | プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板 |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
FR2957358B1 (fr) * | 2010-03-12 | 2012-04-13 | Snecma | Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique |
WO2011150311A1 (en) | 2010-05-28 | 2011-12-01 | Praxair Technology, Inc. | Substrate supports for semiconductor applications |
US20110315081A1 (en) | 2010-06-25 | 2011-12-29 | Law Kam S | Susceptor for plasma processing chamber |
US20120196139A1 (en) | 2010-07-14 | 2012-08-02 | Christopher Petorak | Thermal spray composite coatings for semiconductor applications |
WO2012012431A1 (en) | 2010-07-23 | 2012-01-26 | Rolls-Royce Corporation | Thermal barrier coatings including c mas-resistant thermal barrier coating layers |
KR101108692B1 (ko) | 2010-09-06 | 2012-01-25 | 한국기계연구원 | 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법 |
US10720350B2 (en) * | 2010-09-28 | 2020-07-21 | Kla-Tencore Corporation | Etch-resistant coating on sensor wafers for in-situ measurement |
US8916021B2 (en) | 2010-10-27 | 2014-12-23 | Applied Materials, Inc. | Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof |
JP2012128135A (ja) | 2010-12-15 | 2012-07-05 | Seiko Epson Corp | 光学物品およびその製造方法 |
JP2012221979A (ja) | 2011-04-04 | 2012-11-12 | Toshiba Corp | プラズマ処理装置 |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8979087B2 (en) | 2011-07-29 | 2015-03-17 | Applied Materials, Inc. | Substrate supporting edge ring with coating for improved soak performance |
US20130048606A1 (en) | 2011-08-31 | 2013-02-28 | Zhigang Mao | Methods for in-situ chamber dry clean in photomask plasma etching processing chamber |
US20130071685A1 (en) | 2011-09-21 | 2013-03-21 | Iwaki Film Processing Co., Ltd. | Product and method for manufacturing the product |
CN103890219A (zh) | 2011-09-26 | 2014-06-25 | 福吉米株式会社 | 包含稀土元素的喷镀用粉末和覆膜、以及具备前述覆膜的构件 |
WO2013070520A2 (en) | 2011-11-03 | 2013-05-16 | Barson Composites Corporation | Corrosion-resistant diffusion coatings |
JP5665726B2 (ja) | 2011-12-14 | 2015-02-04 | 株式会社東芝 | エッチング装置およびフォーカスリング |
BR112014015249B1 (pt) | 2011-12-19 | 2021-04-13 | Praxair S.T. Technology, Inc | Composição de pasta fluida aquosa |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US20130273313A1 (en) | 2012-04-13 | 2013-10-17 | Applied Materials, Inc. | Ceramic coated ring and process for applying ceramic coating |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US20130288037A1 (en) | 2012-04-27 | 2013-10-31 | Applied Materials, Inc. | Plasma spray coating process enhancement for critical chamber components |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9988702B2 (en) | 2012-05-22 | 2018-06-05 | Kabushiki Kaisha Toshiba | Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus |
US9150602B2 (en) | 2012-07-24 | 2015-10-06 | Atomic Energy Council, Institute Of Nuclear Energy Research | Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US20140034242A1 (en) | 2012-07-31 | 2014-02-06 | Lam Research Corporation | Edge ring assembly for plasma processing chamber and method of manufacture thereof |
US20140037969A1 (en) | 2012-08-03 | 2014-02-06 | General Electric Company | Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition |
JP5934069B2 (ja) | 2012-09-14 | 2016-06-15 | 日本碍子株式会社 | 積層構造体、半導体製造装置用部材及び積層構造体の製造方法 |
CN103794445B (zh) | 2012-10-29 | 2016-03-16 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室的静电夹盘组件及制造方法 |
CN103794460B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于半导体装置性能改善的涂层 |
CN103794458B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室内部的部件及制造方法 |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
WO2014119177A1 (ja) | 2013-01-30 | 2014-08-07 | 京セラ株式会社 | ガスノズルおよびこれを用いたプラズマ装置 |
CN103215535B (zh) * | 2013-04-16 | 2014-10-22 | 中国科学院金属研究所 | 一种等离子刻蚀腔体表面防护涂层的制备方法 |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US9624593B2 (en) | 2013-08-29 | 2017-04-18 | Applied Materials, Inc. | Anodization architecture for electro-plate adhesion |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
JP5894198B2 (ja) | 2014-01-06 | 2016-03-23 | 株式会社フジミインコーポレーテッド | 溶射用スラリー及び溶射皮膜の形成方法 |
KR20160119187A (ko) | 2014-03-31 | 2016-10-12 | 가부시끼가이샤 도시바 | 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치 |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US20150311043A1 (en) | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
KR20160030812A (ko) | 2014-09-11 | 2016-03-21 | 삼성전자주식회사 | 플라즈마 처리 장치 |
JP5927656B2 (ja) | 2014-11-08 | 2016-06-01 | リバストン工業株式会社 | 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材 |
US9790582B2 (en) | 2015-04-27 | 2017-10-17 | Lam Research Corporation | Long lifetime thermal spray coating for etching or deposition chamber application |
US20160379806A1 (en) | 2015-06-25 | 2016-12-29 | Lam Research Corporation | Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers |
US20160375515A1 (en) | 2015-06-29 | 2016-12-29 | Lam Research Corporation | Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing |
JP5987097B2 (ja) | 2015-09-07 | 2016-09-06 | 株式会社フジミインコーポレーテッド | 溶射皮膜 |
KR101916872B1 (ko) | 2015-10-15 | 2018-11-08 | 아이원스 주식회사 | 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품 |
-
2013
- 2013-09-23 US US14/034,315 patent/US9583369B2/en active Active
-
2014
- 2014-07-15 KR KR1020217041557A patent/KR102516707B1/ko active IP Right Grant
- 2014-07-15 KR KR1020217016029A patent/KR102342795B1/ko active IP Right Grant
- 2014-07-15 KR KR1020167001721A patent/KR102258598B1/ko active IP Right Grant
- 2014-07-15 CN CN201910305677.9A patent/CN110016645B/zh active Active
- 2014-07-15 CN CN201711374831.5A patent/CN107964650A/zh active Pending
- 2014-07-15 CN CN201910304795.8A patent/CN109972091A/zh active Pending
- 2014-07-15 CN CN201480041007.6A patent/CN105392913B/zh active Active
- 2014-07-15 JP JP2016527047A patent/JP6661241B2/ja active Active
- 2014-07-15 KR KR1020177036604A patent/KR102260313B1/ko active IP Right Grant
- 2014-07-15 WO PCT/US2014/046732 patent/WO2015013070A1/en active Application Filing
- 2014-07-18 TW TW106144567A patent/TWI687996B/zh active
- 2014-07-18 TW TW107102339A patent/TWI745534B/zh active
- 2014-07-18 TW TW103124797A patent/TWI656572B/zh active
- 2014-07-18 TW TW107102340A patent/TWI751269B/zh active
- 2014-07-18 TW TW110141496A patent/TWI773579B/zh active
-
2017
- 2017-01-23 US US15/413,198 patent/US9869012B2/en active Active
- 2017-01-23 US US15/413,192 patent/US9812341B2/en active Active
- 2017-12-11 US US15/837,787 patent/US10930526B2/en active Active
- 2017-12-19 JP JP2017242625A patent/JP6596060B2/ja active Active
-
2020
- 2020-02-11 JP JP2020021056A patent/JP6929397B2/ja active Active
- 2020-12-29 US US17/137,076 patent/US11424136B2/en active Active
-
2021
- 2021-08-10 JP JP2021130529A patent/JP2021185267A/ja active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004010981A (ja) * | 2002-06-07 | 2004-01-15 | Nihon Ceratec Co Ltd | 耐食性部材およびその製造方法 |
JP2007516921A (ja) * | 2003-12-18 | 2007-06-28 | ラム リサーチ コーポレーション | 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法 |
US20080108225A1 (en) * | 2006-10-23 | 2008-05-08 | Sun Jennifer Y | Low temperature aerosol deposition of a plasma resistive layer |
JP2009035469A (ja) * | 2007-08-02 | 2009-02-19 | Applied Materials Inc | 制御された電気抵抗率を備えた耐プラズマ性セラミック |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102258598B1 (ko) | 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착 | |
KR102171714B1 (ko) | 공정 고리들 상에 희토류 옥사이드 기반 박막 코팅을 위한 이온 보조 증착 | |
JP6630345B2 (ja) | 希土類酸化物のイオンアシスト蒸着トップコート | |
KR102586972B1 (ko) | 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |