JP2018087129A - 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 - Google Patents
蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 Download PDFInfo
- Publication number
- JP2018087129A JP2018087129A JP2017242625A JP2017242625A JP2018087129A JP 2018087129 A JP2018087129 A JP 2018087129A JP 2017242625 A JP2017242625 A JP 2017242625A JP 2017242625 A JP2017242625 A JP 2017242625A JP 2018087129 A JP2018087129 A JP 2018087129A
- Authority
- JP
- Japan
- Prior art keywords
- protective layer
- thin film
- film protective
- deposition
- conformal protective
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910001404 rare earth metal oxide Inorganic materials 0.000 title claims abstract description 27
- 238000000869 ion-assisted deposition Methods 0.000 title claims description 110
- 238000000576 coating method Methods 0.000 title description 66
- 239000011241 protective layer Substances 0.000 claims abstract description 233
- 239000000919 ceramic Substances 0.000 claims abstract description 150
- 238000012545 processing Methods 0.000 claims abstract description 35
- 230000003746 surface roughness Effects 0.000 claims abstract description 20
- 238000000151 deposition Methods 0.000 claims description 100
- 230000008021 deposition Effects 0.000 claims description 96
- 150000001875 compounds Chemical class 0.000 claims description 87
- 239000010410 layer Substances 0.000 claims description 81
- 238000000034 method Methods 0.000 claims description 59
- 230000008569 process Effects 0.000 claims description 43
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 26
- 239000006104 solid solution Substances 0.000 claims description 23
- 238000010894 electron beam technology Methods 0.000 claims description 17
- 238000010438 heat treatment Methods 0.000 claims description 17
- 239000003086 colorant Substances 0.000 claims description 8
- 238000005498 polishing Methods 0.000 claims description 6
- 239000010409 thin film Substances 0.000 abstract description 184
- 239000010408 film Substances 0.000 abstract description 5
- 239000011248 coating agent Substances 0.000 description 54
- 150000002500 ions Chemical class 0.000 description 50
- 230000003628 erosive effect Effects 0.000 description 47
- 239000000463 material Substances 0.000 description 45
- 210000002381 plasma Anatomy 0.000 description 43
- 239000002245 particle Substances 0.000 description 28
- 239000000758 substrate Substances 0.000 description 27
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 22
- 239000007789 gas Substances 0.000 description 20
- 238000002441 X-ray diffraction Methods 0.000 description 16
- 238000011109 contamination Methods 0.000 description 14
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 239000000843 powder Substances 0.000 description 11
- 238000011179 visual inspection Methods 0.000 description 11
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- 239000013078 crystal Substances 0.000 description 9
- 230000015556 catabolic process Effects 0.000 description 8
- 230000008859 change Effects 0.000 description 8
- 230000007797 corrosion Effects 0.000 description 8
- 238000005260 corrosion Methods 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 230000007704 transition Effects 0.000 description 8
- 238000012360 testing method Methods 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 229910017493 Nd 2 O 3 Inorganic materials 0.000 description 5
- 238000005336 cracking Methods 0.000 description 5
- 229910052727 yttrium Inorganic materials 0.000 description 5
- 229910052691 Erbium Inorganic materials 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000012768 molten material Substances 0.000 description 4
- -1 oxygen ions Chemical class 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 238000005507 spraying Methods 0.000 description 4
- 238000007740 vapor deposition Methods 0.000 description 4
- 229910052688 Gadolinium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 230000004907 flux Effects 0.000 description 3
- 239000000155 melt Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000013400 design of experiment Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000009501 film coating Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 239000002159 nanocrystal Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000035939 shock Effects 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000013077 target material Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- 229910001233 yttria-stabilized zirconia Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- 229910018138 Al-Y Inorganic materials 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 206010021143 Hypoxia Diseases 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052576 carbides based ceramic Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 239000010960 cold rolled steel Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000007888 film coating Substances 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 229910052574 oxide ceramic Inorganic materials 0.000 description 1
- 239000011224 oxide ceramic Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000007750 plasma spraying Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000013464 silicone adhesive Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67023—Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/48—Ion implantation
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B65—CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
- B65D—CONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
- B65D43/00—Lids or covers for rigid or semi-rigid containers
- B65D43/02—Removable lids or covers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0015—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterized by the colour of the layer
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0026—Activation or excitation of reactive gases outside the coating chamber
- C23C14/0031—Bombardment of substrates by reactive ion beams
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0047—Activation or excitation of reactive gases outside the coating chamber
- C23C14/0052—Bombardment of substrates by reactive ion beams
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0084—Producing gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/0021—Reactive sputtering or evaporation
- C23C14/0036—Reactive sputtering
- C23C14/0094—Reactive sputtering in transition mode
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/081—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/083—Oxides of refractory metals or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/088—Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/01—Selective coating, e.g. pattern coating, without pre-treatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
- C23C4/11—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/134—Plasma spraying
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/14—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/14—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
- C23C4/16—Wires; Tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32513—Sealing means, e.g. sealing between different parts of the vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32559—Protection means, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
- H01L21/4803—Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
- H01L21/4807—Ceramic parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/6708—Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/67086—Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/131—Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/131—Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
- Y10T428/1317—Multilayer [continuous layer]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/1352—Polymer or resin containing [i.e., natural or synthetic]
- Y10T428/139—Open-ended, self-supporting conduit, cylinder, or tube-type article
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/13—Hollow or container type article [e.g., tube, vase, etc.]
- Y10T428/1352—Polymer or resin containing [i.e., natural or synthetic]
- Y10T428/139—Open-ended, self-supporting conduit, cylinder, or tube-type article
- Y10T428/1393—Multilayer [continuous layer]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Ceramic Engineering (AREA)
- Coating By Spraying Or Casting (AREA)
- Physical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
Abstract
【解決手段】8〜16マイクロインチの第1の平均表面粗さを有する少なくとも1つの表面を有するセラミック体と、セラミック体の少なくとも1つの表面上のコンフォーマルな保護層とを含み、コンフォーマルな保護層は、40〜100モル%のY2O3と、0〜60モル%のZrO2と、0〜10モル%のAl2O3とを含む耐プラズマ性希土類酸化物膜であり、コンフォーマルな保護層は、前記少なくとも1つの表面の上に300μm未満の均一な厚さを有し、10マイクロインチ以下の第2の平均表面粗さを有し、第2の平均表面粗さは、第1の平均表面粗さよりも小さい処理チャンバ用のチャンバコンポーネント。
【選択図】図6
Description
ンバノズルに関する。
デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチング及びプラ
ズマ洗浄プロセス)は、基板をエッチング又は洗浄するために、プラズマの高速流に基板
を曝露させる。プラズマは、非常に浸食性がある可能性があり、処理チャンバ及びプラズ
マに曝露される他の表面を浸食する可能性がある。
ンポーネントである。通常、蓋とノズルは、バルクセラミックスから作られている。しか
しながら、デバイスノードの連続的な減少に伴い、厳しい欠陥要件が指示される。これら
の新しい用途のいくつかは、高い動作温度(例えば、約300℃以上)を使用する。この
ような高温用途で使用される場合、多くのバルクセラミックスは熱衝撃によるクラックが
発生する可能性がある。また、耐プラズマ性バルクセラミックスは、一般的に非常に高価
である。
することができる。しかしながら、フッ素化学の下では、曝露されたAl2O3は、処理
されたウェハ上にAlF粒子並びにAl金属汚染を形成する。最近の取り組みでは、厚い
保護コーティングで蓋及びノズルのプラズマ対向面をコーティングすることが行われてい
る。厚膜コーティング(例えば、プラズマ溶射コーティング)が、ウェハ上の金属汚染を
低減するために検討されている。しかしながら、いくつかの例では、プラズマ溶射コーテ
ィングは、固有の細孔及び亀裂により真空を維持しないので、プラズマ溶射コーティング
の真空シールは懸案事項となっている。また、プラズマ溶射コーティングは、長いリード
タイムを有し、典型的には、最終的にコストを増加させる特別な表面処理によって先行さ
れる。また、コーティングを再生(改装)することは、表面準備及びコストのため課題で
ある可能性がある。
ティングするために検討されている。しかしながら、PVDコーティングプロセスは非常
に遅い(コーティングの最終的なコストに影響する)ので、(特に、蓋及びノズルのよう
な非消耗部品用の)コンポーネントの寿命要件を満たすのに十分に厚いコーティングを生
成しない場合がある。また、PVDコーティングは、典型的には、いくつかの事例でコー
ティングの割れ及び剥離に起因してコンポーネントの寿命を低下させる高い残留応力を有
する。
号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照
は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味
することに留意すべきである。
グリアクタ用の物品(例えば、蓋及び/又はノズル)を提供する。保護層は、最大約30
0μmの厚さを有することができ、物品を保護するためにプラズマ耐食性を提供すること
ができる。保護層は、イオンアシスト蒸着(IAD)を用いて(例えば、電子ビームIA
D(EB−IAD)を用いて)物品上に形成することができる。薄膜保護層は、Y3Al
5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al
5O12、Y4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物、
又は、別の希土類酸化物とすることができる。薄膜保護層によって提供される改善された
耐食性は、メンテナンス及び製造コストを低減しつつ、物品の耐用年数を向上させること
ができる。また、IADコーティングは、蓋及び/又はノズルに対してより長い寿命を提
供するのに十分に厚く塗布することができ、真空を維持するために良好な気密シールを有
することができる。IADコーティングは塗布され、後に低コストで再生することができ
る。
ントを有する半導体処理チャンバ100の断面図である。処理チャンバ100は、内部に
腐食性のプラズマ環境が提供されるプロセスのために使用することができる。例えば、処
理チャンバ100は、プラズマエッチングリアクタ(プラズマエッチング装置としても知
られる)、プラズマ洗浄機などのためのチャンバとすることができる。薄膜保護層を含む
ことができるチャンバコンポーネントの例は、基板支持アセンブリ148、静電チャック
(ESC)150、リング(例えば、プロセスキットリング又は単一リング)、チャンバ
壁、ベース、ガス分配プレート、シャワーヘッド、ライナー、ライナーキット、シールド
、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャン
バ蓋104、ノズルなどを含む。特定の一実施形態では、保護層は、チャンバ蓋104及
び/又はチャンバノズル132上に塗布される。
積された希土類酸化物層である。薄膜保護層は、Y2O3及びY2O3系セラミックス、
Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er2O3及びEr2O3系
セラミックス、Gd2O3及びGd2O3系セラミックス、Er3Al5O12(EAG
)、Gd3Al5O12(GAG)、Nd2O3及びNd2O3系セラミックス、YAl
O3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Gd4Al2
O9(GdAM)、GdAlO3(GdAP)、Nd3Al5O12(NdAG)、Nd
4Al2O9(NdAM)、NdAlO3(NdAP)、及び/又は、Y4Al2O9と
Y2O3−ZrO2の固溶体とを含むセラミックス化合物を含むことができる。薄膜保護
層は、YF3、Er−Y組成物(例えば、Erを80重量%、及びYを20重量%)、E
r−Al−Y組成物(例えば、Erを70重量%、Alを10重量%、及びYを20重量
%)、Er−Y−Zr系組成物(例えば、Erを70重量%、Yを20重量%、及びZrを
10重量%)、又はEr−Al組成物(例えば、Erを80重量%、及びAlを20重量
%)を含むこともできる。
とができる。Y4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物
を参照すると、一実施形態では、セラミックス化合物は、62.93モル比(モル%)の
Y2O3と、23.23モル%のZrO2と、13.94モル%のAl2O3を含む。別
の一実施形態では、セラミックス化合物は、50〜75モル%の範囲内のY2O3と、1
0〜30モル%の範囲内のZrO2と、10〜30モル%の範囲内のAl2O3を含むこ
とができる。別の一実施形態では、セラミックス化合物は、40〜100モル%の範囲内
のY2O3と、0〜60モル%の範囲内のZrO2と、0〜10モル%の範囲内のAl2
O3を含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル
%の範囲内のY2O3と、30〜50モル%の範囲内のZrO2と、10〜20モル%の
範囲内のAl2O3を含むことができる。別の一実施形態では、セラミックス化合物は、
40〜50モル%の範囲内のY2O3と、20〜40モル%の範囲内のZrO2と、20
〜40モル%の範囲内のAl2O3を含むことができる。別の一実施形態では、セラミッ
クス化合物は、70〜90モル%の範囲内のY2O3と、0〜20モル%の範囲内のZr
O2と、10〜20モル%の範囲内のAl2O3を含むことができる。別の一実施形態で
は、セラミックス化合物は、60〜80モル%の範囲内のY2O3と、0〜10モル%の
範囲内のZrO2と、20〜40モル%の範囲内のAl2O3を含むことができる。別の
一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のY2O3と、0〜
20モル%の範囲内のZrO2と、30〜40モル%の範囲内のAl2O3を含むことが
できる。他の実施形態では、他の配分もまた、セラミックス化合物のために使用すること
ができる。
合わせを含む代替セラミックス化合物が、保護層用に使用される。一実施形態では、代替
セラミックス化合物は、40〜45モル%の範囲内のY2O3と、0〜10モル%の範囲
内のZrO2と、35〜40モル%の範囲内のEr2O3と、5〜10モル%の範囲内の
Gd2O3と、5〜15モル%の範囲内のSiO2を含むことができる。第1実施例では
、代替セラミックス化合物は、40モル%のY2O3、5モル%のZrO2、35モル%
のEr2O3、5モル%Gd2O3、及び15モル%のSiO2を含む。第2実施例では
、代替セラミックス化合物は、45モル%のY2O3、5モル%のZrO2、35モル%
のEr2O3、10モル%Gd2O3、及び5モル%のSiO2を含む。第3実施例では
、代替セラミックス化合物は、40モル%のY2O3、5モル%のZrO2、40モル%
のEr2O3、7モル%Gd2O3、及び8モル%のSiO2を含む。
2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O
3、又は他の酸化物)を含んでもよい。
クスを含む異なるセラミックス上に塗布されたIADコーティングとすることができる。
酸化物系セラミックスの例は、SiO2(石英)、Al2O3、Y2O3などを含む。炭
化物系セラミックスの例は、SiC、Si−SiCなどを含む。窒化物系セラミックスの
例は、AlN、SiNなどを含む。IADコーティングターゲット材料は、か焼粉末、予
め形成された(例えば、グリーン体プレス、ホットプレスなどによって形成された)塊、
(例えば、50〜100%の密度を有する)焼結体、又は(例えば、セラミックス、金属
、又は金属合金とすることができる)機械加工体とすることができる。
護層133、134を有する。しかしながら、他のチャンバコンポーネント(例えば、上
に列挙したもの)のいずれも、薄膜保護層もまた含むことができることを理解すべきであ
る。
び蓋130を含む。蓋130は、その中心に穴を有し、ノズル132を穴に挿入すること
ができる。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料か
ら製造することができる。チャンバ本体102は、一般的に、側壁108及び底部110
を含む。蓋130、ノズル132、側壁108、及び/又は底部110のいずれも、薄膜
保護層を含むことができる。
配置することができる。外側ライナー116は、薄膜保護層で製造及び/又は被覆するこ
とができる。一実施形態では、外側ライナー116は、酸化アルミニウムから製造される
。
ンプシステム128に結合することができる。ポンプシステム128は、排気して処理チ
ャンバ100の内部容積106の圧力を調整するために使用される1以上のポンプ及びス
ロットルバルブを含むことができる。
、処理チャンバ100の内部容積106へのアクセスを可能にするために開くことができ
、閉じると同時に処理チャンバ100に対するシールを提供することができる。ガスパネ
ル158は、処理チャンバ100に結合され、これによってノズル132を通して内部容
積106に処理ガス及び/又は洗浄ガスを提供することができる。蓋130は、セラミッ
クス(例えば、Al2O3、Y2O3、YAG、SiO2、AlN、SiN、SiC、S
i−SiC、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化
合物)とすることができる。ノズル132もまた、セラミックス(例えば、蓋用に挙げた
これらのセラミックスのいずれか)とすることができる。蓋130及び/又はノズル13
2はそれぞれ、薄膜保護層133、134でコーティングすることができる。
ハロゲン含有ガス(例えば、とりわけ、C2F6、SF6、SiCl4、HBr、NF3
、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3、及びSi
F4)及び他のガス(例えば、O2、又はN2O)を含む。キャリアガスの例は、N2、
He、Ar、及び処理ガスに不活性な他のガス(例えば、非反応性ガス)を含む。基板支
持アセンブリ148は、蓋130の下の処理チャンバ100の内部容積106内に配置さ
れる。基板支持アセンブリ148は、処理中に基板144を保持する。リング146(例
えば、単一リング)は、静電チャック150の一部を覆うことができ、処理中に覆われた
部分をプラズマへの曝露から保護することができる。リング146は、一実施形態では、
シリコン又は石英とすることができる。
側ライナー118は、ハロゲン含有ガスレジスト材料(例えば、外側ライナー116を参
照して説明したもの)とすることができる。一実施形態では、内側ライナー118は、外
側ライナー116と同一の材料から製造することができる。また、内側ライナー118は
、薄膜保護層で被覆することができる
、静電チャック150を含む。静電チャック150は、熱伝導性ベース164と、接着剤
138(一実施形態では、シリコーン接着剤とすることができる)によって熱伝導性ベー
スに接合された静電パック166を更に含む。取付板162は、チャンバ本体102の底
部110に結合され、ユーティリティ(例えば、流体、電力線、センサリード線など)を
熱導電性ベース164及び静電パック166へルーティングするための通路を含む。
た加熱素子176、埋設された熱絶縁体174、及び/又は導管168、170を含み、
これによって支持アセンブリ148の横方向の温度プロファイルを制御することができる
。導管168、170は、導管168、170を介して温度調節流体を循環させる流体源
172に流体結合させることができる。埋設された熱絶縁体174は、一実施形態では、
導管168、170間に配置することができる。ヒータ176は、ヒータ電源178によ
って調整される。導管168,170及びヒータ176は、熱伝導性ベース164の温度
を制御するために利用され、これによって静電パック166及び処理される基板(例えば
、ウェハ)を加熱及び/又は冷却することができる。静電パック166及び熱伝導性ベー
ス164の温度は、コントローラ195を使用して監視することができる複数の温度セン
サ190、192を使用して監視することができる。
に形成可能な他の表面構造)を更に含むことができる。ガス通路は、パック166内に開
けられた穴を介して熱伝達(又は裏面)ガス(例えばHe)の供給源に流体結合させるこ
とができる。稼働時には、裏面ガスは制御された圧力でガス通路内へ供給され、これによ
って静電パック166と基板144との間の熱伝達を向上させることができる。
ランピング電極180を含む。電極180(又はパック166又はベース164内に配置
された他の電極)は、処理チャンバ100内で処理ガス及び/又は他のガスから形成され
たプラズマを維持するために整合回路188を介して1以上のRF電源184、186に
更に結合させることができる。電源184、186は、一般的に、約50kHz〜約3G
Hzの周波数及び最大約10000ワットの電力を有するRF信号を生成することができ
る。
積技術に適用可能な堆積メカニズムを示す。典型的なIAD法は、本明細書に記載される
ように、イオン衝撃を組み込んだ堆積プロセス(例えば、蒸着(例えば、活性化反応性蒸
着法(ARE))及びイオン衝撃の存在下でのスパッタリング)を含み、これによって耐
プラズマコーティングを形成する。実施形態で実行される1つの特定の種類のIADは、
電子ビームIAD(EB−IAD)である。IAD法のいずれも、反応性ガス種(例えば
、O2、N2、ハロゲン等)の存在下で実施することができる。このような反応種は、堆
積前及び/又は堆積中に表面の有機汚染物を燃焼させることができる。また、金属ターゲ
ット堆積に対してセラミックスターゲット堆積用のIAD堆積プロセスは、実施形態にお
けるO2イオンの分圧によって制御することができる。例えば、Y2O3コーテョングは
、Y金属の蒸発と酸素イオンの流出によって行われ、これによってコンポーネントの表面
上にイットリウム材料の酸化物を形成することができる。あるいはまた、セラミックスタ
ーゲットは、酸素なしで又は低酸素で使用することができる。
下で堆積材料202の蓄積によって物品210上に又は複数の物品210A、210B上
に形成される。堆積材料202は、原子、イオン、ラジカルなどを含むことができる。高
エネルギー粒子203は、薄膜保護層215が形成されるとき、薄膜保護層215に衝突
し、圧縮することができる。
2Bは、IAD堆積装置の概略図を示す。図示されるように、材料源250は、堆積材料
202のフラックスを提供し、一方、高エネルギー粒子源255は、高エネルギー粒子2
03のフラックスを提供し、これらの両方とも、IADプロセスを通して物品210、2
10A、210Bに衝突する。高エネルギー粒子源255は、酸素又は他のイオン源とす
ることができる。高エネルギー粒子源255はまた、粒子の発生源由来(例えば、プラズ
マ、反応性ガス由来、又は堆積材料を提供する材料源由来)の他の種類の高エネルギー粒
子(例えば、不活性ラジカル、中性子原子、及びナノサイズ粒子)を提供することができ
る。
は、薄膜保護層215を構成する同じセラミックスに対応するバルク焼結セラミックスと
することができる。例えば、材料源は、バルク焼結セラミックス複合体、又はバルク焼結
YAG、Er2O3、Gd2O3、Er3Al5O12、又はGd3Al5O12、又は
上述した他のセラミックスとすることができる。他のターゲット材料(例えば、粉末、か
焼粉末、予め成形された(例えば、グリーン体プレス又はホットプレスによって形成され
た)材料、又は機械加工体(例えば、溶融材料)もまた使用することができる。材料源2
50の異なる種類のすべてが、堆積中に溶融材料源に溶融される。しかしながら、出発物
質の異なる種類は、溶融するのに異なる時間量が掛かる。溶融材料及び/又は機械加工体
は、最も速く溶かすことができる。予め成形された材料は、溶融材料よりもゆっくり溶け
、か焼粉末は、予め成形された材料よりもゆっくり溶け、標準粉末は、か焼粉末よりもゆ
っくりと溶ける。
ーム(例えば、電子ビーム)を利用することができる。反応種もまた、耐プラズマ性コー
ティングの堆積中に供給することができる。一実施形態では、高エネルギー粒子203は
、非反応種(例えば、Ar)又は反応種(例えば、O)のうちの少なくとも1つを含む。
更なる実施形態では、反応種(例えば、CO)及びハロゲン(Cl、F、Brなど)もま
た、耐プラズマコーティングの形成中に導入し、これによって薄膜保護層215に最も弱
く結合された堆積材料を選択的に除去する傾向を更に高めることができる。
粒子)源255によって、他の堆積パラメータとは独立して制御することができる。エネ
ルギー(例えば、速度)に応じて、高エネルギーイオンフラックスの密度及び入射角、薄
膜保護層の組成、構造、結晶配向及び粒径を、操作することができる。
施形態では、IAD堆積チャンバ(及びチャンバ蓋又はその中のノズル)は、堆積前に1
60℃以上の開始温度まで加熱される。一実施形態では、開始温度は160℃〜500℃
である。一実施形態では、開始温度は200℃〜270℃である。その後、チャンバの温
度及び蓋又はノズルの温度は、堆積中、開始温度に維持することができる。一実施形態で
は、IADチャンバは、加熱を行う加熱ランプを含む。代替実施形態では、IADチャン
バ及び蓋又はノズルは、加熱されない。チャンバが加熱されない場合、それはIADプロ
セスの結果として自然に約160℃まで温度が上昇する。堆積中のより高い温度は、保護
層の密度を高めることができるが、保護層の機械的応力もまた増大させる可能性がある。
能動冷却は、コーティング中に低い温度を維持するためにチャンバに加えることができる
。一実施形態では、160℃以下で最低0℃までの任意の温度で、低温を維持することが
できる。
。作動距離270は、材料源250と物品210A、210Bとの間の距離である。一実
施形態では、作動距離は、0.2〜2.0メートルであり、特定の一実施形態では、作動
距離は1.0メートルである。作動距離を減少させると、堆積速度が増加し、イオンエネ
ルギーの有効性が増加する。しかしながら、特定の点よりも下に作動距離を減少させると
、保護層の均一性を低下させる可能性がある。入射角は、堆積材料202が物品210A
、210Bに衝突する角度である。一実施形態では、入射角は、10〜90度であり、特
定の一実施形態では、入射角は約30度である。
粗さを有する表面状態の広い範囲の上に塗布することができる。しかしながら、より滑ら
かな表面は、均一なコーティングによる被覆を促進する。コーティングの厚さは、最大約
300ミクロン(μm)とすることができる。製造時に、コンポーネント上のコーティン
グの厚さは、意図的に、コーティング層スタックの底部に、希土類酸化物系の着色剤(例
えば、Nd2O3、Sm2O3、Er2O3など)を添加することによって評価すること
ができる。厚さはまた、偏光解析法を用いて正確に測定することができる。
料に応じてアモルファス又は結晶性とすることができる。例えば、EAG及びYAGは、
アモルファスコーティングであり、一方、Er2O3及びY4Al2O9とY2O3−Z
rO2の固溶体とを含むセラミックス化合物は、典型的には結晶性である。アモルファス
コーティングは、より適合性があり(コンフォーマルであり)、格子不整合に誘導される
エピタキシャルな亀裂を減らし、一方、結晶性コーティングは、耐食性がより高い。
シャルな亀裂を最小化するためにアモルファス層をバッファ層として堆積し、続いて耐食
性とすることができる結晶性の層を最上部に堆積することができる。多層設計では、層材
料は、基板から最上層まで滑らかな熱勾配を生じさせるために使用することができる。
ーティング並びに層構造を作成するために達成することができる。例えば、同一の材料タ
イプを有する2つのターゲットを同時に使用することができる。各ターゲットは、異なる
電子ビーム銃によって衝突させることができる。これは、堆積速度と保護層の厚さを増加
させることができる。別の一実施例では、2つのターゲットは、異なるセラミックス材料
とすることができる。第1の電子ビーム銃は、第1の保護層を堆積させるために第1のタ
ーゲットに衝突させることができ、第2の電子ビーム銃は、その後、第1の保護層とは異
なる材料組成を有する第2の保護層を形成するために、第2のターゲットに衝突させるこ
とができる。
とができる。例えば、それは、より高い耐食性を有する結晶性コーティングにアモルファ
スコーティングを変質させるために使用することができる。別の一実施例は、反応ゾーン
又は遷移層を形成することによりコーティングの基板への接合強度を改善するためのもの
である。
。例えば、一実施形態では、最大5つの蓋及び/又はノズルを、並列に処理することがで
きる。各蓋又はノズルは、異なる固定具によって支持することができる。あるいはまた、
単一の固定具が、複数の蓋及び/又はノズルを保持するように構成されてもよい。固定具
は、堆積中に支持されている蓋及び/又はノズルを移動させることができる。
(例えば、冷間圧延鋼)又はセラミックス(例えば、Al2O3、Y2O3)などから設
計することができる。固定具は、材料源及び電子ビーム銃の上又は下で蓋及び/又はノズ
ルを支持するために使用することができる。固定具は、より安全かつ簡単にハンドリング
するため、並びにコーティング中に、蓋及び/又はノズルをチャックするためのチャッキ
ング機能を有することができる。また、固定具は、蓋及びノズルの向きを変える、又は位
置合わせする機能を有することができる。一実施形態では、固定具は、支持された蓋又は
ノズルの向きを原材料へと変えるために再配置及び/又は1以上の軸の周りに回転させる
ことができる。固定具はまた、堆積前及び/又は堆積中に、作動距離及び/又は入射角を
変更するために再配置することができる。固定具は、コーティング中に蓋及びノズルの温
度を制御するための冷却又は加熱チャネルを有することができる。IADは直進的プロセ
スであるので、蓋又はノズルを再配置し回転させる機能は、3D表面(例えば、穴)の最
大コーティング被覆を可能にするかもしれない。
断面側面図を示す。Al2O3は、高い曲げ強度及び高い熱伝導率を有するので、導体の
エッチングプロセスに使用されるプラズマエッチングリアクタ用の蓋は、Al2O3など
の焼結セラミックスとすることができる。しかしながら、フッ素化学に曝露されたAl2
O3は、ウェハ上にAlF粒子並びにアルミニウム金属汚染を形成する。他の材料もまた
、蓋及び/又はノズルのために使用することができる。
護層310を有する薄膜スタック306を含む。あるいはまた、物品300は、本体30
5上に単一の薄膜保護層308のみを含むことができる。一実施形態では、薄膜保護層3
08、310は、最大約300μmの厚さを有する。更なる一実施形態では、薄膜保護層
は、約20ミクロン以下の厚さを有し、特定の一実施形態では、約0.5ミクロン〜約7
ミクロンの間の厚さを有する。一実施形態では、薄膜保護層スタックの全体の厚さは、3
00μm以下である。
スを用いて物品300の本体305上に形成することができる蒸着セラミックス層である
。EB−IAD堆積された薄膜保護層308、310は、(例えば、プラズマ溶射法又は
スパッタリング法による膜応力と比較して)比較的低い膜応力を有することができる。比
較的低い膜応力によって、本体305の下面は、直径12インチを有する本体に対して本
体全体に亘って約50ミクロン未満の曲率を有するように非常に平坦にすることができる
。IAD堆積された薄膜保護層308、310は更に、1%未満である空孔率、いくつか
の実施形態では約0.1%未満の空孔率を有することができる。この低い空孔率は、蓋が
処理中に効果的な真空シールを提供することを可能にするかもしれない。したがって、I
AD堆積された保護層は、チャンバ蓋又はノズルのアプリケーションに対してパフォーマ
ンス上の利点を有することができる緻密な構造である。また、IAD堆積された保護層は
、低いクラック密度及び本体305への高い密着性を有することができる。また、IAD
堆積された保護層308、310は、本体305の上面を最初に粗面化する、又は他の時
期を消費する表面準備工程を実行することなしに堆積させることができる。
とができる。例えば、IAD薄膜保護層を有する蓋及びノズルは、0℃の温度から100
0℃の温度を有するプロセスで使用することができる。蓋及びノズルは、熱衝撃に起因す
る亀裂無しに(例えば、300℃の又はそれを超える)高温で使用することができる。
基板を被覆する様々なIAD薄膜保護層に対する材料特性を示す。表中の「C」は、結晶
構造を表し、「A」は、非晶質構造を表す。図示のように、アルミナ基板は、363ボル
ト/ミル(V/mil)の絶縁破壊電圧を有する。対照的に、Y4Al2O9とY2O3
−ZrO2の固溶体とを含むIAD堆積されたセラミックス化合物の5ミクロン(μm)
のコーティングは、(アルミナに対する363ボルト/ミルの正規化された値よりもはる
かに大きい)427Vの絶縁破壊電圧を有する。IAD堆積されたYAGの5μmのコー
ティングは、1223Vの絶縁破壊電圧を有する。IAD堆積されたEr2O3の5μm
のコーティングは、527Vの絶縁破壊電圧を有する。IAD堆積されたEAGの5μm
のコーティングは、900Vの絶縁破壊電圧を有する。IAD堆積されたY2O3の5μ
mのコーティングは、1032Vの絶縁破壊電圧を有する。IAD堆積されたYZ20の
5μmのコーティングは、423Vの絶縁破壊電圧を有する。IAD堆積されたYF3の
5μmのコーティングは、522Vの絶縁破壊電圧を有する。
ある。セラミックス化合物薄膜保護層の体積抵抗率は、室温で約4.1E16Ω・cmで
あり、YAG薄膜保護層の体積抵抗率は、室温で約11.3E16Ω・cmである。
3であり、YAG薄膜の誘電率は、約9.76であり、Er2O3薄膜の誘電率は、約9
.67であり、EAG薄膜の誘電率は約9.54である。アルミナの損失正接は、約5E
−4であり、セラミックス化合物薄膜の損失正接は、約4E−4であり、YAG薄膜の損
失正接は、約4E−4であり、Er2O3薄膜の損失正接は、約4E−4であり、EAG
薄膜の損失正接は、約4E−4である。アルミナの熱伝導率は、約18W/m・Kであり
、セラミックス化合物薄膜の熱伝導率は、約19.9W/m・Kであり、YAG薄膜の熱
伝導率は、約20.1W/m・Kであり、Er2O3薄膜の熱伝導率は、約19.4W/
m・Kであり、EAG薄膜の熱伝導率は、約19.2W/m・Kである。
でき、その初期粗さは、薄膜保護層のすべてでほぼ変わらなくすることができる。保護層
は、堆積後に8マイクロインチ以下に表面粗さを低減させるために研磨することができる
。一実施形態では、保護層は、6〜8マイクロインチの表面粗さに研磨される。
パスカル(MPa)を超え、YAG薄膜に対して32MPaを超えることができる。接着
強度は、基板から薄膜保護層を分離するために使用される力の量を測定することによって
決定することができる。ヘルミシティは、薄膜保護層を用いて達成することができるシー
ル能力を測定する。図示されるように、アルミナを使用して約1E−6立方センチメート
ル毎秒(cm3/s)のHe漏れ速度を達成でき、セラミックス化合物を使用して約1.
2E−9のHe漏れ速度を達成でき、YAGを使用して約4.4E−10のHe漏れ速度
を達成でき、Er2O3を使用して約5.5E−9のHe漏れ速度を達成でき、YF3を
使用して約2.6E−9のHe漏れ速度を達成でき、YZ20を使用して約1.6E−7
のHe漏れ速度を達成でき、EAGを使用して約9.5E−10のHe漏れ速度を達成で
きる。より低いHe漏れ速度は、改善されたシールを示す。実施例の薄膜保護層の各々は
、典型的なAl2O3よりも低いHe漏れ速度を有する。
Gd3Al5O12、及び、Y4Al2O9とY2O3−ZrO2の固溶体とを含むセラ
ミックス化合物の各々は、プラズマ処理中に摩耗に耐えることができる高い硬度を有する
。図示されるように、アルミナは、約12.14ギガパスカル(GPa)のビッカース硬
さ(5kgf)を有し、セラミックス化合物は、約7.825GPaでの硬度を有し、Y
AGは、約8.5GPaの硬度を有し、Er2O3は、約5.009GPaの硬度を有し
、YZ20は、約5.98GPaの硬度を有し、YF3は、約3.411GPaの硬度を
有し、EAGは、約9.057GPaの硬度を有する。アルミナの測定された摩耗速度は
、高周波時間当り約0.2ナノメートル(nm/RF時間)、セラミックス化合物の摩耗
速度は、約0.14nm/RF時間、Er2O3の摩耗速度は、約0.113nm/RF
時間、EAGの摩耗速度は、約0.176nm/RF時間である。
12、Gd3Al5O12、及びセラミックス化合物は、いくつかの実施形態では、上で
特定される材料の特性及び特徴が、最大30%変化できるように改質することができるこ
とに留意すべきである。したがって、これらの材料特性に対して記載された値は、実施例
の達成可能な値として理解されるべきである。本明細書内で記載されるセラミックス薄膜
保護層は、提供された値に限定して解釈されるべきではない。
ができる。スタック内の薄膜保護層は、全て同じ厚さを有することができる、又はそれら
は、様々な厚さを有することができる。薄膜保護層の各々は、いくつかの実施形態では、
約20ミクロン未満の厚さを有することができる。一実施例では、第1層408は、10
ミクロンの厚さを有し、第2層410は、10ミクロンの厚さを有することができる。別
の一実施例では、第1層408は、5ミクロンの厚さを有するYAG層とすることができ
、第2層410は、5ミクロンの厚さを有する化合物セラミックス層とすることができ、
第3層415は、5ミクロンの厚さを有するYAG層とすることができ、第4層418は
、5ミクロンの厚さを有する化合物セラミックス層とすることができる。
ン及び/又はコーティングされる物品の種類に基づいてもよい。IADによって形成され
るEAG及びYAG薄膜保護層は、典型的には、アモルファス構造を有する。対照的に、
IAD堆積された化合物セラミックス及びEr2O3層は、典型的には、結晶又はナノ結
晶構造を有する。結晶及びナノ結晶セラミックス層は、一般的に、アモルファスセラミッ
クス層よりも高い耐食性とすることができる。しかしながら、いくつかの場合には、結晶
構造又はナノ結晶構造を有する薄膜セラミックス層は、時折鉛直方向の亀裂(ほぼ膜厚方
向で、コーティングされる面に対して略垂直に走る亀裂)を経験する可能性がある。この
ような鉛直方向の亀裂は、格子不整合に起因する可能性があり、プラズマ化学にとっての
攻撃の点となる可能性がある。物品が加熱及び冷却されるたびに、薄膜保護層とそれを被
覆する基板との間の熱膨張係数の不整合が、薄膜保護層に応力を生じさせる可能性がある
。このような応力は、鉛直方向の亀裂に集中する可能性がある。これは、薄膜保護層が、
それを被覆する基板から最終的に剥離して離れることを引き起こす可能性がある。対照的
に、鉛直方向の亀裂がない場合は、応力は、薄膜全域に亘ってほぼ均等に分散される。し
たがって、一実施形態では、薄膜保護層スタック406内の第1層408は、アモルファ
スセラミックス(例えば、YAG又はEAG)であり、薄膜保護層スタック406内の第
2層410は、結晶又はナノ結晶セラミックス(例えば、セラミックス化合物又はEr2
O3)である。そのような実施形態では、第2層410は、第1層408に比べてより高
い耐プラズマ性を提供することができる。本体405上に直接ではなく第1層408上に
第2層410を形成することにより、第1層408は、バッファの役割を果たし、これに
よって後続の層の格子不整合を最小限に抑える。こうして、第2層410の寿命を増加さ
せることができる。
、Gd2O3、Er3Al5O12、Gd3Al5O12、Y4Al2O9とY2O3−
ZrO2の固溶体とを含むセラミックス化合物、及び他のセラミックスの各々は、異なる
熱膨張係数を有することができる。2つの隣接する材料間の熱膨張係数の不整合が大きけ
れば大きいほど、それらの材料の一方が最終的に、割れ、剥がれ、又はそうでなければ他
方の材料とのその結合を失う可能性がより大きくなる。保護層スタック306、406は
、隣接する層間(又は層と本体305、405との間)の熱膨張係数の不整合を最小限に
するように形成することができる。例えば、本体405は、アルミナとすることができ、
EAGは、アルミナの熱膨張係数に最も近い熱膨張係数を有することができ、YAGの熱
膨張係数がそれに続き、化合物セラミックスの熱膨張係数がそれに続く。したがって、一
実施形態では、第1層408は、EAGとすることができ、第2層410は、YAGとす
ることができ、第3層415は、化合物セラミックスとすることができる。
層とすることができる。例えば、第1層408と第3層415は、YAGとすることがで
き、第2層410と第4層418は、化合物セラミックスとすることができる。このよう
な交互層は、交互層内で使用される一方の材料がアモルファスであり、交互層内で使用さ
れる他方の材料が結晶又はナノ結晶である場合に、上記のものと同様の利点を提供するこ
とができる。
理を用いて形成された遷移層である。本体305、405が、セラミックス体の場合は、
薄膜保護層と本体との間の相互拡散を促進するために、高温熱処理を実行することができ
る。また、熱処理は、隣接する薄膜保護層間又は厚い保護層と薄膜保護層との間の相互拡
散を促進するために実行してもよい。特に、遷移層は、非多孔質層とすることができる。
遷移層は、2つのセラミックス間の拡散接合の役割を果たすことができ、隣接するセラミ
ックス間の改善された接着性を提供することができる。これは、プラズマ処理中に保護層
が割れる、剥離する、はがれるのを防止するのを助けることができる。
0〜1600℃の加熱処理とすることができる。これは、第1薄膜保護層と、隣接するセ
ラミックス体又は第2薄膜保護層のうちの1以上との間に相互拡散層を作ることができる
。セラミックス体がAl2O3であり、保護層が化合物セラミックスY4Al2O9と固
溶体Y2−xZrxO3(Y2O3−ZrO2固溶体)で構成される場合、Y3Al5O
12(YAG)界面層が形成される。同様に、熱処理は、EAGの遷移層をEr2O3と
Al2O3の間に形成させる。熱処理はまた、YAGの遷移層をY2O3とAl2O3の
間に形成させる。熱処理はまた、GAGをGd2O3とAl2O3の間に形成させる。A
l2O3上のイットリア安定化ジルコニア(YSZ)の熱処理は、Y4Al2O9(YA
M)と固溶体Y2−xZrxO3の化合物セラミックスの遷移層を形成することができる
。他の遷移層を、他の隣接するセラミックス間に形成してもよい。
がって、第2の保護層310、410がすり減った場合、オペレータは、蓋又はノズルを
再生又は交換する時期である視覚的なキューを有することができる。
バ蓋505の斜視図を示す。図5Bは、一実施形態に係る、薄膜希土類酸化物の耐プラズ
マ層510を有するチャンバ蓋505の断面側面図を示す。チャンバ蓋505は、蓋の中
心又は蓋の他の場所にあることが可能な穴520を含む。蓋505はまた、蓋が閉じてい
る間、チャンバの壁と接触するリップ515を有することができる。一実施形態では、保
護層510は、リップ515を覆わない。保護層がリップ515を覆わないことを保証す
るために、堆積中にリップ515を覆うハード又はソフトマスクを使用してもよい。マス
クは、その後、堆積後に除去することができる。あるいはまた、保護層510は、蓋の表
面全体をコーティングすることができる。したがって、保護層510は、処理中にチャン
バの側壁の上に載置することができる。また、いくつかの実施形態では、蓋505の外側
壁は、耐プラズマ層555でコーティングしてもよい。
530を有することができる。保護層510の側壁部530は、蓋505の表面付近でよ
り厚くすることができ、穴520内に深くなればなるほど徐々に薄くなることが可能であ
る。側壁部530は、そのような実施形態では、穴520の側壁の全体をコーティングし
なくてもよい。
ズル550の斜視図を示す。図示されるように、希土類酸化物の耐プラズマ層555は、
チャンバノズル550の端部及び側壁をコーティングすることができる。チャンバノズル
550は、蓋505内に挿入することができる。一実施形態では、ノズル550は、蓋5
05の上に載ったリップ565を含む。リップ565は、耐プラズマ層555でコーティ
ングされなくてもよい。あるいはまた、リップ565は、耐プラズマ層555でコーティ
ングしてもよい。
セス600の一実施形態を示す。プロセス600のブロック605では、チャンバ蓋又は
ノズルが提供される。蓋又はノズルは、バルク焼結セラミックス体を有することができる
。バルク焼結セラミックス体は、Al2O3、Y2O3、SiO2、又はY4Al2O9
とY2O3−ZrO2の固溶体とを含むセラミックス化合物とすることができる。
て蓋又はノズルの少なくとも1つの表面上に希土類酸化物保護層を堆積させる。一実施形
態では、電子ビームイオンアシスト蒸着プロセス(EB−IAD)が実行される。IAD
プロセスは、堆積される材料を溶融し、イオンを材料に衝突させることによって実行する
ことができる。
Al5O12、Gd3Al5O12、又は、Y4Al2O9とY2O3−ZrO2の固溶
体とのセラミックス化合物、又は本明細書に記載される他の希土類酸化物とすることがで
きる。薄膜保護層の堆積速度は、一実施形態では、約0.02〜20オングストローム毎
秒(A/s)とすることができ、堆積パラメータを調整することによって変化させること
ができる。一実施形態では、0.25〜1A/sの堆積速度が最初使用され、これによっ
て基板上に適合して良好に接着するコーティングを達成する。その後、薄膜保護層の残り
の部分を堆積させるために2〜10A/sの堆積速度を使用し、これによって短時間によ
り厚いコーティングを達成することができる。薄膜保護層は、非常に適合性をもつことが
でき、厚さを均一とすることができ、それらが上に堆積される本体/基板に対して良好な
接着性を有することができる。
可能な着色剤の例は、Nd2O3、Sm2O3及びEr2O3を含む。他の着色剤もまた
使用可能である。
る。追加の薄膜保護層が堆積される場合、プロセスは、ブロック630に続く。ブロック
630では、他の薄膜保護層が、第1薄膜保護層上に形成される。他の薄膜保護層は、第
1薄膜保護層のセラミックスとは異なるセラミックスで構成してもよい。あるいはまた、
他の薄膜保護層は、第1保護層を形成するために使用されたのと同じセラミックス又は複
数のセラミックスから構成してもよい。
、たとえそれらがほぼ同じセラミックス材料から構成されていても、底部保護層とは異な
る色を有する可能性がある。これは、保護層スタックが底部保護層まで浸食されたときに
、蓋又はノズルに色を変化させる。色の変化は、処理チャンバの蓋又はノズルを交換する
時期であることをオペレータに知らせることができる。
の薄膜保護層が塗布されない場合、プロセスは、ブロック635に進む。ブロック635
では、保護層の表面は、研磨される。表面は、化学機械研磨(CMP)又は他の研磨法を
用いて研磨することができる。一実施形態では、最上部の保護層の表面は、8マイクロイ
ンチ以下の表面粗さまで研磨される。別の一実施形態では、上部保護層の表面は、6マイ
クロインチ以下の表面まで研磨される。
再生するために使用済みの蓋及びノズル上で実行することができる。一実施形態では、使
用済みの蓋及びノズルは、プロセス600を実行する前に研磨される。例えば、プロセス
600を実行する前に研磨することによって、以前の保護層を除去することができる。
源によって、他の堆積パラメータとは独立して制御することができる。エネルギー(例え
ば、速度)に応じて、高エネルギーイオンフラックスの密度及び入射角、薄膜保護層の組
成、構造、結晶配向及び粒径を、操作することができる。調整可能な追加のパラメータは
、堆積中の物品の温度、並びに堆積の期間である。イオンエネルギーは、大ざっぱに、低
エネルギーイオンアシストと高エネルギーイオンアシストに分類することができる。低エ
ネルギーイオンアシストは、約230Vの電圧及び約5Aの電流を含むことができる。高
エネルギーイオンアシストは、約270Vの電圧及び約7Aの電流を含むことができる。
イオンアシスト用の低及び高エネルギーは、本明細書で言及した値に限定されない。高及
び低レベルの指定は、IADプロセスを実行するために使用されるイオンの種類及び/又
は使用されるチャンバの幾何学形状に更に依存する可能性がある。イオンは、低エネルギ
ーイオンアシストを用いた場合よりも高エネルギーイオンアシストを用いてより高い速度
で発射される。堆積中の基板(物品)の温度は、大ざっぱに、低温(典型的な室温である
一実施形態では、約120〜150℃)と、高温(一実施形態では、約270℃)に分け
ることができる。高温IAD堆積プロセスのために、蓋又はノズルを堆積前及び堆積時に
加熱してもよい。
の薄膜保護層を示す。実験結果は、適合する高密度の微細構造を得るために、イオンアシ
ストエネルギー、堆積速度、及び温度を変化させる多因子の実験計画法(DOE)に基づ
いて、最適化されたコーティングプロセスを特定する。コーティングは、材料特性(微細
構造及び/又は結晶相)及び機械的特性(硬度及び密着性)、並びに亀裂密度及び真空シ
ール性の点で特徴づけられる。IADコーティングプロセスの最適化は、低残留応力を有
する(厚さが〜300ミクロンまでの)高密度薄膜を有するIADコーティングを生成す
ることができる。最適化されたパラメータは、ほとんどの希土類酸化物系コーティング材
料に対して使用することができる。
薄膜保護層に対して、6つの異なる実施例が示される。第1実施例の化合物セラミックス
薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト及び焼結プラグタ
ーゲット、270℃の堆積温度、及び2オングストローム毎秒(A/s)の堆積速度によ
るIADを用いて形成された。X線回折は、第1実施例の化合物セラミックス薄膜保護層
が結晶構造を有することを示した。第1実施例の化合物セラミックス薄膜保護層はまた、
4.11GPaの硬度を有し、目視検査は、下地基板への良好な適合性、並びにいくつか
の鉛直方向の亀裂といくつかのスパイクを示した。
ーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び最初の2ミクロ
ンに対して1A/sの堆積速度、それに続く4ミクロンに対して2A/sの堆積速度によ
るIADを用いて形成された。X線回折は、第2実施例の化合物セラミックス薄膜保護層
が(内部で一部が結晶で一部がアモルファスの)ナノ結晶構造を有することを示した。シ
ールとして使用される場合、第2実施例の化合物セラミックス薄膜保護層は、最低5E−
6立方センチメートル毎秒(cm3/s)までの真空を維持することができた。第2実施
例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、及び第1実施例の化合
物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示した。
ーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び1A/sの堆積
速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄
膜保護層がナノ結晶構造を有することを示した。シールとして使用される場合、第3実施
例の化合物セラミックス薄膜保護層は、最低6.3E−6cm3/sまでの真空を維持す
ることができた。第3実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合
性、及び第1実施例の化合物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示し
た。
が、堆積温度は室温(約120〜150℃)及びか焼粉末ターゲットを用いて形成された
。第5実施例の化合物薄膜保護層は、第4実施例の化合物薄膜保護層と同様の特性を示し
た。
スト及び溶融した塊のターゲット、270℃の堆積温度、及び2.5A/sの堆積速度に
よるIADを用いて形成された。X線回折は、第1YAGセラミックス薄膜保護層がアモ
ルファス構造を有することを示した。第1YAGセラミックス薄膜保護層はまた、5.7
GPaの硬度を有しており、目視検査は、良好な適合性、最小限の亀裂、及び滑らかな表
面を示した。
スト及び溶融した塊のターゲット、270℃の堆積温度、及び最初の1ミクロンに対して
1A/s、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成
された。X線回折は、第2YAG薄膜保護層がアモルファス構造を有することを示した。
第2YAG薄膜保護層はまた、8.5GPaの硬度を有しており、目視検査は、良好な適
合性、第1YAG薄膜と比べて亀裂の減少、及び滑らかな表面を示した。
ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2A/
sの堆積速度によるIADを用いて形成された。X線回折は、交互層が(YAG層に対し
て)アモルファスであり、(化合物セラミックス層に対して)結晶又はナノ結晶であるこ
とを示した。目視検査は、化合物セラミックス層に対して鉛直方向の亀裂の減少を示した
。
アシスト及び焼結した塊のターゲット、270℃の堆積温度、及び2A/sの堆積速度に
よるIADを用いて形成された。X線回折は、第1Er2O3セラミックス薄膜保護層が
結晶構造を有することを示した。目視検査は、良好な適合性と鉛直方向の亀裂を示した。
アシスト及び焼結した塊のターゲット、270℃の堆積温度、及び最初の1ミクロンに対
して1A/sの堆積速度、その後の4ミクロンに対して2A/sの堆積速度によるIAD
を用いて形成された。X線回折は、第2Er2O3セラミックス薄膜保護層が結晶構造を
有することを示した。目視検査は、良好な適合性と、第1Er2O3セラミックス薄膜保
護層と比べてより小さい鉛直方向の亀裂を示した。
アシスト及びか焼粉末ターゲット、270℃の堆積温度、及び最初の1ミクロンに対して
1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用い
て形成された。X線回折は、第1EAGセラミックス薄膜保護層がアモルファス構造を有
し、層が8.485GPaの硬度を有することを示した。目視検査は、良好な適合性と最
小限の亀裂を示した。
アシスト、120〜150℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積
速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。
X線回折は、第2EAGセラミックス薄膜保護層がアモルファス構造を有し、層が9.0
57GPaの硬度を有することを示した。目視検査は、良好な適合性と、第1EAGセラ
ミックス薄膜保護層と比べてより少ない亀裂を示した。
スト及びか焼粉末ターゲット、及び最初の1ミクロンに対して1A/sの堆積速度、その
後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は
、第3EAGセラミックス薄膜保護層がアモルファス構造を有することを示した。
スト及び粉末ターゲット、120〜150℃の温度、及び最初の1ミクロンに対して1A
/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形
成された。X線回折は、YZ20薄膜保護層が結晶構造を有することを示した。シールと
して使用される場合、YZ20薄膜保護層は、最低1.6E−7cm3/sまでの真空を
維持することができた。YZ20薄膜保護層は、5.98GPaの硬度を有した。
ト、120〜150℃の温度、及び最初の1ミクロンに対して1A/sの堆積速度、その
後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は
、YF3薄膜保護層がアモルファス構造を有することを示した。シールとして使用される
場合、YF3薄膜保護層は、最低2.6E−9cm3/sまでの真空を維持することがで
きた。YF3薄膜保護層は、3.411GPaの硬度を有した。
れたIAD処理パラメータを示す。表3は、薄膜保護層を堆積させるために、いくつかの
実施形態で使用することができる処理パラメータの範囲を更に示す。他の実施形態では、
処理値の一部のより広い範囲を用いることができる。一実施形態では、IADプロセスは
、150〜270ボルト(V)の電圧、5〜7アンペア(A)の電流、100〜270℃
の温度、0.01〜20オングストローム毎秒(A/s)の堆積速度、0〜90度の入射
角、及び10〜300インチ(in.)の作動距離を用いて実行される。別の一実施形態
では、IADプロセスは、50〜500Vの電圧、1〜50Aの電流、20〜500℃の
温度、0.01〜20A/sの堆積速度、10〜300インチの作動距離、及び10〜9
0度の入射角を用いて実行される。
て制御することができる。イオンアシストエネルギーは、コーティングを高密度化するた
めに、及び蓋又はノズルの表面上への材料の堆積を加速するために使用することができる
。イオンアシストエネルギーは、イオン源の電圧及び/又は電流を調整することによって
変更することができる。電流及び電圧は、高い及び低いコーティング密度を達成するため
に、コーティングの応力を操作するために、また、コーティングの結晶化度に影響を与え
るために調整することができる。イオンアシストエネルギーは、50〜500V、及び1
〜50Aで変化させることができる。堆積速度は、0.01〜20A/sで変化させるこ
とができる。
化合物で用いられる高いイオンアシストエネルギーは、アモルファスの保護層を形成し、
Y4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物で用いられる
低いイオンアシストエネルギーは、結晶性の保護層を形成する。イオンアシストエネルギ
ーはまた、保護層の化学量論を変えるために使用することができる。例えば、金属ターゲ
ットを使用することができ、堆積中に金属材料は、蓋又はノズルの表面で酸素イオンを組
み込むことにより、金属酸化物に変わる。また、酸素銃を用いることによって、任意の金
属酸化物コーティングのレベルを変更し、所望のコーティング特性を達成するように最適
化することができる。例えば、ほとんどの希土類酸化物は、真空チャンバ内部で酸素を失
う。チャンバ内部でより多くの酸素を流出させることによって、酸化物コーティング材料
の酸素欠損を補償することができる。
速度を制御することによって制御することができる。高い堆積速度は、典型的には、蓋又
はノズルの温度を上昇させる。堆積温度は、膜応力、結晶化度などを制御するために変化
させることができる。温度は、20℃から500℃まで変えることができる。
距離は、10〜300インチまで変化させることができる。堆積角度は、電子ビーム銃又
は電子ビーム炉の位置によって、又は電子ビーム銃又は電子ビーム炉に関連して蓋又はノ
ズルの位置を変えることによって、変化させることができる。堆積角度を最適化すること
によって、三次元の幾何学的形状において均一なコーティングを達成することができる。
堆積角度は、0〜90度まで、一実施形態では10〜90度まで変化させることができる
。
る他の処理パラメータと組み合わせて、約188Vの電圧を使用して実行される。一実施
形態では、IADプロセスは、関連する処理パラメータの範囲のいずれかを有する他の処
理パラメータと組み合わせて、約7Aの電流を使用して実行される。一実施形態では、I
ADプロセスは、関連する処理パラメータの範囲のいずれかを有する他の処理パラメータ
と組み合わせて、約150℃の温度を使用して実行される。一実施形態では、IADプロ
セスは、関連する処理パラメータの範囲のいずれかを有する他の処理パラメータと組み合
わせて、1A/sの堆積速度を使用して実行される。更なる一実施形態では、堆積した薄
膜が1μmの厚さに達するまで、2A/sの堆積速度が使用され、その後、1A/sの堆
積速度が使用される。別の一実施形態では、0.25〜1A/sの堆積速度が最初に使用
され、これによって基板上に適合して良好に付着するコーティングを達成する。その後、
薄膜保護層の残りの部分を堆積させるために、2〜10A/sの堆積速度を使用し、これ
によってより短い時間でより厚いコーティングを達成することができる。
る他の処理パラメータと組み合わせて、約30度の入射角を使用して実行される。一実施
形態では、IADプロセスは、関連する処理パラメータの範囲のいずれかを有する他の処
理パラメータと組み合わせて、約50インチの作動距離を使用して実行される。
ィングの浸食速度を含む、誘電体エッチングCF4化学に曝露された種々の材料の浸食速
度を示す。図示されるように、92%アルミナの浸食速度は、約1.38ミクロン毎高周
波時間(μm/Rf時間)であり、99.8%アルミナの浸食速度は、約1.21μm/
Rf時間であり、IAD堆積されたYAGの浸食速度は、約0.28μm/Rf時間であ
り、IAD堆積されたEAGの浸食速度は、約0.24μm/Rf時間であり、IAD堆
積されたY2O3の浸食速度は、約0.18μm/Rf時間であり、IAD堆積されたE
r2O3の浸食速度は、約0.18μm/Rf時間であり、及びIAD堆積された化合物
セラミックスの浸食速度は、約0.18μm/Rf時間である。高周波時間は、処理の1
時間である。
。図8は、CH4/Cl2プラズマ化学に曝露されたときの薄膜保護層の浸食速度を示す
。図示されるように、IAD堆積された薄膜保護層は、Al2O3と比べてはるかに改善
された耐食性を示す。例えば、92%の純度を有するアルミナは、高周波時間当り約18
ナノメートル(nm/RF時間)の浸食速度を示し、99.8%の純度を有するアルミナ
は、約56nm/RF時間の浸食速度を示した。対照的に、IAD堆積された化合物セラ
ミックス薄膜保護層は、約3nm/RF時間の浸食速度を示し、IAD堆積されたYAG
薄膜保護層は、約1nm/RF時間の浸食速度を示した。
図示されるように、IAD堆積された薄膜保護層は、Al2O3と比べてはるかに改善さ
れた耐食性を示す。例えば、92%の純度を有するアルミナは、約190nm/RF時間
の浸食速度を示し、99.8%の純度を有するアルミナは、約165nm/RF時間の浸
食速度を示した。対照的に、IAD堆積されたYAG薄膜保護層は、約52nm/RF時
間の浸食速度を示した。同様に、低エネルギーイオンによるIADを使用して堆積された
化合物セラミックス薄膜保護層は、約45nm/RF時間の浸食速度を示し、高エネルギ
ーイオンによるIADを使用して堆積された化合物セラミックス薄膜保護層は、約35n
m/RF時間の浸食速度を示した。高い堆積温度(例えば、約270℃)でIADを使用
して堆積されたEAG薄膜保護層は、約95nm/RF時間の浸食速度を示し、低い堆積
温度(例えば、約120〜150℃)でIADを使用して堆積されたEAG薄膜保護層は
、約70nm/RF時間の浸食速度を示した。高エネルギーイオンによるIADを使用し
て堆積されたEr2O3薄膜保護層は、約35nm/RF時間の浸食速度を示した。
ァイルを示す。図10は、100RF時間の間のCH4/Cl2プラズマ化学への曝露前
後の図8の薄膜保護層の表面粗さプロファイルを示す。図示されるように、IAD堆積さ
れた薄膜保護層は、100RF時間の間のCH4/Cl2プラズマ化学への曝露後、表面
粗さの最小の変化を示す。
護層の表面粗さプロファイルを示す。図示されるように、IAD堆積された薄膜保護層は
、35RF時間の間のH2/NF3プラズマ化学への曝露後、表面粗さの最小の変化を示
す。
ティングの浸食速度を含む、低バイアスでCF4−CHF3トレンチ化学に曝露された様
々な材料の浸食速度を示す。図示されるように、92%アルミナの浸食速度は、約0.2
6ミクロン毎高周波時間(μm/Rf時間)、IAD堆積されたEAGの浸食速度は、約
0.18μm/Rf時間、IAD堆積されたYAGの浸食速度は、約0.15μm/Rf
時間、プラズマ蒸着された化合物セラミックスの浸食速度は、約0.09μm/Rf時間
、IAD堆積されたY2O3の浸食速度は、約0.08μm/Rf時間、IAD堆積され
たセラミックス化合物の浸食速度は、約0.07μm/Rf時間、バルクY2O3の浸食
速度は、約0.07μm/Rf時間、バルクセラミックス化合物の浸食速度は、約0.0
65μm/Rf時間、及びIAD堆積されたEr2O3の浸食速度は、約0.05μm/
Rf時間である。これらの材料が、高バイアスでCF4−CHF3トレンチ化学を用いて
エッチングされた場合、同様のエッチング結果が発生する。例えば、高バイアスで92%
アルミナのエッチング速度は、約1.38μm/Rf時間であり、IAD堆積されたEA
Gの浸食速度は、約0.27μm/Rf時間であり、IAD堆積されたYAGの浸食速度
は、約0.27μm/Rf時間であり、プラズマ蒸着された化合物セラミックスの浸食速
度は、約0.35μm/Rf時間であり、IAD堆積されたY2O3の浸食速度は、約0
.18μm/Rf時間であり、IAD堆積されたセラミックス化合物の浸食速度は、約0
.19μm/Rf時間であり、バルクY2O3の浸食速度は、約0.4μm/Rf時間で
あり、バルクセラミックス化合物の浸食速度は、約0.4μm/Rf時間であり、及びI
AD堆積されたEr2O3の浸食速度は、約0.18μm/Rf時間である。
た蓋及びノズルは、表4に示される指示された仕様を満たす金属汚染の結果を有する。表
は、金属汚染元素、検出限界、仕様限界、第1テスト結果、及び第2テスト結果を同定す
る。検出限界は、汚染の最小検出可能レベルである。仕様限界は、テストで処理された基
板(例えば、ウェハ)上に見つかる平方センチメートル当たりの原子(原子/cm2)で
ある。示されるように、2つの異なる金属汚染テスト内で、アルミニウム及びイットリウ
ム汚染のレベルは、100原子/cm2未満であり、ニッケル、鉄、クロム、銅、チタン
、及びカルシウム汚染のレベルは、10原子/cm2未満であった。テストの各々に対し
て、Y4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物の薄膜保
護層を含む蓋及びノズルが、テストプロセス中に使用された。金属汚染のレベルは、各テ
ストプロセス後に測定された。本明細書に記載される実施形態に従って形成された他のI
AD堆積された薄膜保護層を使用して、同様の汚染結果が達成可能である。
層を有する蓋及びノズルを用いた処理の100RF時間後、処理された300mmウェハ
上の全体的な粒子汚染は、大きさが65nmを超える粒子が約50、大きさが90nmを
超える粒子が約40、及び大きさが165nmを超える粒子が約20であると試験された
。測定された粒子汚染は、300mmウェハ上の所定の大きさを超える粒子の総数である
。処理の50RF時間後、全体的な粒子汚染は、大きさが65nmを超える粒子が約40
、大きさが90nmを超える粒子が約30、及び大きさが165nmを超える粒子が約1
8であると試験された。
システム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しか
しながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施
することができることが当業者には明らかであろう。他の例では、周知のコンポーネント
又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブ
ロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示で
ある。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発
明の範囲内にあることが理解される。
態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含ま
れることを意味している。したがって、本明細書を通じて様々な場所における「1つの実
施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を
指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」
を意味することを意図している。用語「約」又は「およそ」は、本明細書で使用される場
合、これは、提示された公称値が±30%以内で正確であることを意味することを意図し
ている。
逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行する
ように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作
の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
べきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとっ
て明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、その
ような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきであ
る。
Claims (15)
- 処理チャンバ用のチャンバコンポーネントであって、
8〜16マイクロインチの第1の平均表面粗さを有する少なくとも1つの表面を有するセラミックス体と、
セラミックス体の少なくとも1つの表面上のコンフォーマルな保護層とを含み、コンフォーマルな保護層は、40〜100モル%のY2O3と、0〜60モル%のZrO2と、0〜10モル%のAl2O3とを含む耐プラズマ性希土類酸化物膜であり、コンフォーマルな保護層は、前記少なくとも1つの表面の上に300μm未満の均一な厚さを有し、10マイクロインチ以下の第2の平均表面粗さを有し、第2の平均表面粗さは、第1の平均表面粗さよりも小さいチャンバコンポーネント。 - コンフォーマルな保護層は、10〜30μmの厚さを有する、請求項1記載のチャンバコンポーネント。
- コンフォーマルな保護層の空孔率は1%以下である、請求項1記載のチャンバコンポーネント。
- コンフォーマルな保護層は、8マイクロインチ未満の研磨後粗さを有する、請求項1記載のチャンバコンポーネント。
- セラミックス体は、Y2O3、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含むバルク焼結セラミックス体である、請求項1記載のチャンバコンポーネント。
- コンフォーマルな保護層は、前記少なくとも1つの表面の上に第1の耐プラズマ性の希土類酸化物膜と、第1の耐プラズマ性の希土類酸化物膜の上に第2の耐プラズマ性の希土類酸化物膜とを含むコンフォーマルな保護層スタックを含む、請求項1記載のチャンバコンポーネント。
- 第1の耐プラズマ性の希土類酸化物膜は、第1の耐プラズマ性の希土類酸化物膜に第2の耐プラズマ性の希土類酸化物膜とは異なる色を持たせる着色剤を含む、請求項6記載のチャンバコンポーネント。
- 着色剤は、Sm2O3又はEr2O3のうちの少なくとも1つを含む、請求項7記載のチャンバコンポーネント。
- 処理チャンバ用のチャンバコンポーネントの少なくとも1つの表面上に第1のコンフォーマルな保護層を堆積させるために、イオンアシスト蒸着を実行する工程であって、前記少なくとも1つの表面は、8〜16マイクロインチの第1の平均表面粗さを有し、第1のコンフォーマルな保護層は、堆積後に第1の平均表面粗さを有し、第1のコンフォーマルな保護層は、40〜100モル%のY2O3と、0〜60モル%のZrO2と、0〜10モル%のAl2O3とを含む前記少なくとも1つの表面上に300μm未満の均一な厚さを有する耐プラズマ性の希土類酸化物膜である工程と、
10マイクロインチ未満の第2の平均表面粗さまで第1のコンフォーマルな保護層を研磨する工程とを含み、第2の平均表面粗さは第1の平均表面粗さよりも小さい、物品の製造方法。 - 8マイクロインチ以下の平均表面粗さまで第1のコンフォーマルな保護層を研磨する工程を含む、請求項9記載の方法。
- 第1のコンフォーマルな保護層は10〜30ミクロンの厚さを有し、毎秒1〜2オングストロームの堆積速度が、第1のコンフォーマルな保護層を堆積させるために使用される、請求項9記載の方法。
- イオンアシスト蒸着を実行する前に少なくとも160℃の温度までチャンバコンポーネントを加熱する工程と、
イオンアシスト蒸着の間、前記温度を維持する工程とを含む、請求項9記載の方法。 - イオンアシスト蒸着は、電子ビームイオンアシスト蒸着であり、150〜270Vの電圧及び5〜7Aの電流が、電子ビームイオンアシスト蒸着を実行するのに利用される、請求項9記載の方法。
- 第1のコンフォーマルな保護層の上に第2のコンフォーマルな保護層を堆積させるために、イオンアシスト蒸着を実行する工程を含み、第2のコンフォーマルな保護層は、5〜30μmの厚さを有する追加の耐プラズマ性の希土類酸化物層である、請求項9記載の方法。
- チャンバコンポーネントは、Y2O3、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含むバルク焼結セラミックス体を含む、請求項9記載の方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361856696P | 2013-07-20 | 2013-07-20 | |
US61/856,696 | 2013-07-20 | ||
US14/034,315 US9583369B2 (en) | 2013-07-20 | 2013-09-23 | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US14/034,315 | 2013-09-23 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016527047A Division JP6661241B2 (ja) | 2013-07-20 | 2014-07-15 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2018087129A true JP2018087129A (ja) | 2018-06-07 |
JP6596060B2 JP6596060B2 (ja) | 2019-10-23 |
Family
ID=52342733
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016527047A Active JP6661241B2 (ja) | 2013-07-20 | 2014-07-15 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
JP2017242625A Active JP6596060B2 (ja) | 2013-07-20 | 2017-12-19 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
JP2020021056A Active JP6929397B2 (ja) | 2013-07-20 | 2020-02-11 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
JP2021130529A Pending JP2021185267A (ja) | 2013-07-20 | 2021-08-10 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016527047A Active JP6661241B2 (ja) | 2013-07-20 | 2014-07-15 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020021056A Active JP6929397B2 (ja) | 2013-07-20 | 2020-02-11 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
JP2021130529A Pending JP2021185267A (ja) | 2013-07-20 | 2021-08-10 | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 |
Country Status (6)
Country | Link |
---|---|
US (5) | US9583369B2 (ja) |
JP (4) | JP6661241B2 (ja) |
KR (4) | KR102516707B1 (ja) |
CN (4) | CN110016645B (ja) |
TW (5) | TWI687996B (ja) |
WO (1) | WO2015013070A1 (ja) |
Families Citing this family (55)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US11017984B2 (en) * | 2016-04-28 | 2021-05-25 | Applied Materials, Inc. | Ceramic coated quartz lid for processing chamber |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US20180016678A1 (en) | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
KR20180071695A (ko) * | 2016-12-20 | 2018-06-28 | 주식회사 티씨케이 | 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법 |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
US20180251406A1 (en) * | 2017-03-06 | 2018-09-06 | Applied Materials, Inc. | Sintered ceramic protective layer formed by hot pressing |
US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
US10755900B2 (en) | 2017-05-10 | 2020-08-25 | Applied Materials, Inc. | Multi-layer plasma erosion protection for chamber components |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US11279656B2 (en) | 2017-10-27 | 2022-03-22 | Applied Materials, Inc. | Nanopowders, nanoceramic materials and methods of making and use thereof |
CN109841475B (zh) * | 2017-11-28 | 2021-06-08 | 北京北方华创微电子装备有限公司 | 预处理方法及晶片处理方法 |
JP6975972B2 (ja) * | 2018-01-19 | 2021-12-01 | 学校法人 芝浦工業大学 | Yf3成膜体の製造方法 |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
US10443126B1 (en) * | 2018-04-06 | 2019-10-15 | Applied Materials, Inc. | Zone-controlled rare-earth oxide ALD and CVD coatings |
CN112236839A (zh) * | 2018-06-14 | 2021-01-15 | 应用材料公司 | 具保护性涂层的处理腔室的处理配件 |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
CN109065479B (zh) * | 2018-07-27 | 2020-06-16 | 上海华力集成电路制造有限公司 | 硅刻蚀机及其操作方法 |
US11562890B2 (en) | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
US11180847B2 (en) | 2018-12-06 | 2021-11-23 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature ceramic components |
US10858741B2 (en) | 2019-03-11 | 2020-12-08 | Applied Materials, Inc. | Plasma resistant multi-layer architecture for high aspect ratio parts |
CN112017932B (zh) * | 2019-05-31 | 2022-11-29 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置中气体输送系统的耐腐蚀结构 |
EP3990678A4 (en) * | 2019-06-25 | 2023-01-04 | Picosun Oy | PLASMA IN A SUBSTRATE PROCESSING DEVICE |
KR20210012178A (ko) * | 2019-07-24 | 2021-02-03 | 삼성전자주식회사 | 기판 처리장치 및 이를 구비하는 기판 처리 시스템 |
US11842890B2 (en) * | 2019-08-16 | 2023-12-12 | Applied Materials, Inc. | Methods and apparatus for physical vapor deposition (PVD) dielectric deposition |
CN112713073B (zh) * | 2019-10-24 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | 一种耐腐蚀气体输送部件及其等离子体处理装置 |
KR102371936B1 (ko) * | 2019-12-04 | 2022-03-08 | 한국세라믹기술원 | 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법 |
US11661650B2 (en) * | 2020-04-10 | 2023-05-30 | Applied Materials, Inc. | Yttrium oxide based coating composition |
CN113707525A (zh) * | 2020-05-20 | 2021-11-26 | 中微半导体设备(上海)股份有限公司 | 零部件、形成耐等离子体涂层的方法和等离子体反应装置 |
CN114277340B (zh) * | 2020-09-28 | 2023-12-29 | 中微半导体设备(上海)股份有限公司 | 零部件、耐等离子体涂层的形成方法和等离子体反应装置 |
EP4228894A1 (en) * | 2020-10-15 | 2023-08-23 | Heraeus Conamic North America LLC | Multilayer sintered ceramic body and method of making |
CN114678248A (zh) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | 形成涂层的装置和方法、零部件和等离子体装置 |
JP2022143281A (ja) * | 2021-03-17 | 2022-10-03 | キオクシア株式会社 | 基板処理装置及び基板の処理方法 |
TW202237397A (zh) * | 2021-03-29 | 2022-10-01 | 日商Toto股份有限公司 | 複合結構物及具備複合結構物之半導體製造裝置 |
TW202238998A (zh) * | 2021-03-29 | 2022-10-01 | 日商Toto股份有限公司 | 複合結構物及具備複合結構物之半導體製造裝置 |
US11875973B2 (en) * | 2021-07-16 | 2024-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for preparing void-free coatings for plasma treatment components |
WO2024080251A1 (ja) * | 2022-10-11 | 2024-04-18 | 株式会社シンクロン | イットリウム系皮膜及びその製造方法 |
WO2024101102A1 (ja) * | 2022-11-11 | 2024-05-16 | Agc株式会社 | 部材およびその製造方法 |
WO2024101367A1 (ja) * | 2022-11-11 | 2024-05-16 | Agc株式会社 | イットリウム質保護膜およびその製造方法ならびに部材 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004010981A (ja) * | 2002-06-07 | 2004-01-15 | Nihon Ceratec Co Ltd | 耐食性部材およびその製造方法 |
JP2007516921A (ja) * | 2003-12-18 | 2007-06-28 | ラム リサーチ コーポレーション | 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法 |
JP2008141181A (ja) * | 2006-10-30 | 2008-06-19 | Applied Materials Inc | プラズマ反応装置部品の製造方法及び装置 |
JP2010070854A (ja) * | 2008-08-20 | 2010-04-02 | Kyocera Corp | 耐食性部材およびこれを用いた半導体製造装置 |
JP2012508467A (ja) * | 2008-11-10 | 2012-04-05 | アプライド マテリアルズ インコーポレイテッド | プラズマチャンバ部品用耐プラズマコーティング |
Family Cites Families (198)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3796182A (en) | 1971-12-16 | 1974-03-12 | Applied Materials Tech | Susceptor structure for chemical vapor deposition reactor |
SE8000480L (sv) | 1979-02-01 | 1980-08-02 | Johnson Matthey Co Ltd | Artikel lemplig for anvendning vid hoga temperaturer |
US4695439A (en) | 1986-09-25 | 1987-09-22 | Gte Products Corporation | Yttrium oxide stabilized zirconium oxide |
EP0299870B1 (en) | 1987-07-13 | 1994-04-13 | Sumitomo Electric Industries Limited | Method for preparing a superconducting thin film |
US4773928A (en) | 1987-08-03 | 1988-09-27 | Gte Products Corporation | Plasma spray powders and process for producing same |
US4880614A (en) | 1988-11-03 | 1989-11-14 | Allied-Signal Inc. | Ceramic thermal barrier coating with alumina interlayer |
US5573862A (en) | 1992-04-13 | 1996-11-12 | Alliedsignal Inc. | Single crystal oxide turbine blades |
US5630314A (en) | 1992-09-10 | 1997-05-20 | Hitachi, Ltd. | Thermal stress relaxation type ceramic coated heat-resistant element |
US5415756A (en) | 1994-03-28 | 1995-05-16 | University Of Houston | Ion assisted deposition process including reactive source gassification |
WO1996011288A1 (en) | 1994-10-05 | 1996-04-18 | United Technologies Corporation | Multiple nanolayer coating system |
US5741544A (en) | 1995-08-31 | 1998-04-21 | Olin Corporation | Articles using specialized vapor deposition processes |
DE69717182T2 (de) * | 1996-03-07 | 2003-07-24 | Tadahiro Ohmi | Excimerlasergenerator |
US6500314B1 (en) | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
US5837058A (en) | 1996-07-12 | 1998-11-17 | Applied Materials, Inc. | High temperature susceptor |
TW392215B (en) | 1997-02-19 | 2000-06-01 | Anelva Corp | Surface processing apparatus |
US6217662B1 (en) | 1997-03-24 | 2001-04-17 | Cree, Inc. | Susceptor designs for silicon carbide thin films |
JP3362113B2 (ja) | 1997-07-15 | 2003-01-07 | 日本碍子株式会社 | 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法 |
JP2000001362A (ja) | 1998-06-10 | 2000-01-07 | Nippon Seratekku:Kk | 耐食性セラミックス材料 |
US6383964B1 (en) | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
EP1013623B1 (en) * | 1998-12-21 | 2004-09-15 | Shin-Etsu Chemical Co., Ltd. | Corrosion-resistant composite oxide material |
US6433403B1 (en) * | 1999-04-21 | 2002-08-13 | Micron Technology, Inc. | Integrated circuit having temporary conductive path structure and method for forming the same |
US6444083B1 (en) | 1999-06-30 | 2002-09-03 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof |
JP3510993B2 (ja) | 1999-12-10 | 2004-03-29 | トーカロ株式会社 | プラズマ処理容器内部材およびその製造方法 |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6949203B2 (en) | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6962524B2 (en) | 2000-02-17 | 2005-11-08 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
TW503449B (en) | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
NL1015550C2 (nl) | 2000-06-28 | 2002-01-02 | Xycarb Ceramics B V | Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor. |
EP1167565B1 (en) | 2000-06-29 | 2007-03-07 | Shin-Etsu Chemical Co., Ltd. | Method for thermal spray coating and rare earth oxide powder used therefor |
AU2001280609A1 (en) | 2000-07-20 | 2002-02-05 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
US6579818B2 (en) | 2000-08-28 | 2003-06-17 | Kyocera Corporation | Glass ceramic sintered product |
US6746539B2 (en) | 2001-01-30 | 2004-06-08 | Msp Corporation | Scanning deposition head for depositing particles on a wafer |
EP1239055B1 (en) | 2001-03-08 | 2017-03-01 | Shin-Etsu Chemical Co., Ltd. | Thermal spray spherical particles, and sprayed components |
US6723209B2 (en) | 2001-03-16 | 2004-04-20 | 4-Wave, Inc. | System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals |
JP2002356387A (ja) | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
TWI234417B (en) | 2001-07-10 | 2005-06-11 | Tokyo Electron Ltd | Plasma procesor and plasma processing method |
JP4277973B2 (ja) | 2001-07-19 | 2009-06-10 | 日本碍子株式会社 | イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材 |
US20030047464A1 (en) | 2001-07-27 | 2003-03-13 | Applied Materials, Inc. | Electrochemically roughened aluminum semiconductor processing apparatus surfaces |
US7507268B2 (en) | 2001-08-02 | 2009-03-24 | 3M Innovative Properties Company | Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same |
KR100885329B1 (ko) | 2001-08-02 | 2009-02-26 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | Al₂O₃-희토류 산화물-ZrO₂/HfO₂물질, 및그의 제조 및 사용 방법 |
KR100912306B1 (ko) | 2001-08-02 | 2009-08-14 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 무정질 물질 및 세라믹의 제조 방법 |
JP4921652B2 (ja) | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
US20030029563A1 (en) | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
JP4663927B2 (ja) | 2001-08-29 | 2011-04-06 | 信越化学工業株式会社 | 希土類含有酸化物部材 |
KR20030025007A (ko) | 2001-09-19 | 2003-03-28 | 삼성전자주식회사 | 쉴드링을 가지는 식각장비 |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6884514B2 (en) * | 2002-01-11 | 2005-04-26 | Saint-Gobain Ceramics & Plastics, Inc. | Method for forming ceramic layer having garnet crystal structure phase and article made thereby |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US20080213496A1 (en) * | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US20030175142A1 (en) | 2002-03-16 | 2003-09-18 | Vassiliki Milonopoulou | Rare-earth pre-alloyed PVD targets for dielectric planar applications |
JP2003277051A (ja) | 2002-03-22 | 2003-10-02 | Ngk Insulators Ltd | イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法 |
US6852433B2 (en) | 2002-07-19 | 2005-02-08 | Shin-Etsu Chemical Co., Ltd. | Rare-earth oxide thermal spray coated articles and powders for thermal spraying |
DE60319470T2 (de) | 2002-08-02 | 2009-03-26 | Fujikura Ltd. | Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement |
KR100772740B1 (ko) | 2002-11-28 | 2007-11-01 | 동경 엘렉트론 주식회사 | 플라즈마 처리 용기 내부재 |
JP4208580B2 (ja) | 2003-01-15 | 2009-01-14 | 日本碍子株式会社 | 複合焼結体およびその製造方法 |
CN100401478C (zh) | 2003-02-12 | 2008-07-09 | 松下电器产业株式会社 | 半导体器件的制造方法 |
US7560376B2 (en) | 2003-03-31 | 2009-07-14 | Tokyo Electron Limited | Method for adjoining adjacent coatings on a processing element |
JP2004332081A (ja) | 2003-05-12 | 2004-11-25 | Shin Etsu Chem Co Ltd | 耐プラズマ部材及びその製造方法 |
US20050016684A1 (en) * | 2003-07-25 | 2005-01-27 | Applied Materials, Inc. | Process kit for erosion resistance enhancement |
US7645526B2 (en) | 2003-09-16 | 2010-01-12 | Shin-Etsu Quartz Products, Ltd. | Member for plasma etching device and method for manufacture thereof |
US20060037536A1 (en) * | 2003-10-24 | 2006-02-23 | Toshiba Ceramics Co., Ltd. | Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat |
JP2005170728A (ja) * | 2003-12-10 | 2005-06-30 | Toshiba Ceramics Co Ltd | Y2o3焼結体およびその製造方法 |
US20050142393A1 (en) | 2003-12-30 | 2005-06-30 | Boutwell Brett A. | Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase |
US8110043B2 (en) | 2004-01-08 | 2012-02-07 | University Of Virginia Patent Foundation | Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom |
JP4606121B2 (ja) | 2004-01-29 | 2011-01-05 | 京セラ株式会社 | 耐食膜積層耐食性部材およびその製造方法 |
JP2005260040A (ja) | 2004-02-12 | 2005-09-22 | Sony Corp | ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法 |
US7354663B2 (en) | 2004-04-02 | 2008-04-08 | Mitsubishi Heavy Industries, Ltd. | Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine |
TWI291713B (en) * | 2004-04-13 | 2007-12-21 | Applied Materials Inc | Process chamber component having electroplated yttrium containing coating |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
DE112005001601T5 (de) | 2004-07-07 | 2007-05-16 | Gen Electric | Schützende Beschichtung auf einem Substrat und Verfahren zum Herstellen derselben |
JP2006108602A (ja) | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2006186306A (ja) | 2004-09-30 | 2006-07-13 | Toshiba Ceramics Co Ltd | ガス拡散プレートおよびその製造方法 |
KR101226120B1 (ko) | 2004-10-26 | 2013-01-24 | 쿄세라 코포레이션 | 내식성 부재 및 그 제조방법 |
JP2006128000A (ja) | 2004-10-29 | 2006-05-18 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
KR20060041497A (ko) * | 2004-11-09 | 2006-05-12 | 동부일렉트로닉스 주식회사 | 건식 식각장치 |
US20060110609A1 (en) | 2004-11-19 | 2006-05-25 | Eaton Harry E | Protective coatings |
JP4513541B2 (ja) * | 2004-12-17 | 2010-07-28 | 宇部興産株式会社 | 光変換用セラミック複合体を用いた発光装置 |
US7959984B2 (en) * | 2004-12-22 | 2011-06-14 | Lam Research Corporation | Methods and arrangement for the reduction of byproduct deposition in a plasma processing system |
US7989095B2 (en) | 2004-12-28 | 2011-08-02 | General Electric Company | Magnetic layer with nanodispersoids having a bimodal distribution |
US7838083B1 (en) | 2005-01-28 | 2010-11-23 | Sandia Corporation | Ion beam assisted deposition of thermal barrier coatings |
US8124240B2 (en) | 2005-06-17 | 2012-02-28 | Tohoku University | Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure |
KR20070013118A (ko) | 2005-07-25 | 2007-01-30 | 삼성전자주식회사 | 플라즈마 식각 장치 |
US7672110B2 (en) | 2005-08-29 | 2010-03-02 | Applied Materials, Inc. | Electrostatic chuck having textured contact surface |
JP4985928B2 (ja) | 2005-10-21 | 2012-07-25 | 信越化学工業株式会社 | 多層コート耐食性部材 |
US7968205B2 (en) | 2005-10-21 | 2011-06-28 | Shin-Etsu Chemical Co., Ltd. | Corrosion resistant multilayer member |
US20070119370A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
JP4372748B2 (ja) | 2005-12-16 | 2009-11-25 | トーカロ株式会社 | 半導体製造装置用部材 |
US7736759B2 (en) | 2006-01-20 | 2010-06-15 | United Technologies Corporation | Yttria-stabilized zirconia coating with a molten silicate resistant outer layer |
WO2007088904A1 (ja) | 2006-01-31 | 2007-08-09 | Tokyo Electron Limited | マイクロ波プラズマ処理装置 |
JP2007223828A (ja) | 2006-02-22 | 2007-09-06 | Toshiba Ceramics Co Ltd | イットリアセラミックス焼結体およびその製造方法 |
US20080009417A1 (en) | 2006-07-05 | 2008-01-10 | General Electric Company | Coating composition, article, and associated method |
US7718029B2 (en) * | 2006-08-01 | 2010-05-18 | Applied Materials, Inc. | Self-passivating plasma resistant material for joining chamber components |
US20080029032A1 (en) | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
JP4258536B2 (ja) * | 2006-08-11 | 2009-04-30 | 独立行政法人産業技術総合研究所 | 結晶化金属酸化物薄膜の製造方法 |
US7722959B2 (en) | 2006-09-06 | 2010-05-25 | United Technologies Corporation | Silicate resistant thermal barrier coating with alternating layers |
US20100028572A1 (en) | 2006-10-06 | 2010-02-04 | Asahi Tech Co., Ltd. | Corrosion-resistant member and process for producing the same |
US7479464B2 (en) * | 2006-10-23 | 2009-01-20 | Applied Materials, Inc. | Low temperature aerosol deposition of a plasma resistive layer |
JP5159204B2 (ja) * | 2006-10-31 | 2013-03-06 | 株式会社フジミインコーポレーテッド | 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー |
US20080142755A1 (en) | 2006-12-13 | 2008-06-19 | General Electric Company | Heater apparatus and associated method |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
JPWO2008114753A1 (ja) | 2007-03-22 | 2010-07-08 | 東京エレクトロン株式会社 | 基板載置台,基板処理装置,基板載置台の表面加工方法 |
US7659204B2 (en) | 2007-03-26 | 2010-02-09 | Applied Materials, Inc. | Oxidized barrier layer |
JP2008251765A (ja) | 2007-03-30 | 2008-10-16 | Hitachi High-Technologies Corp | プラズマエッチング装置 |
US7718559B2 (en) | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
TWI483291B (zh) * | 2007-04-27 | 2015-05-01 | Applied Materials Inc | 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備 |
US7696117B2 (en) | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
JP5047741B2 (ja) | 2007-09-13 | 2012-10-10 | コバレントマテリアル株式会社 | 耐プラズマ性セラミックス溶射膜 |
JP2009068067A (ja) | 2007-09-13 | 2009-04-02 | Covalent Materials Corp | 耐プラズマ性セラミックス溶射膜 |
CN100522607C (zh) * | 2007-09-24 | 2009-08-05 | 北京航空航天大学 | Al2O3/Al-Si-Cr复合涂层及其制备方法 |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
US20090214825A1 (en) | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
JP5466831B2 (ja) | 2008-04-28 | 2014-04-09 | 株式会社フェローテックセラミックス | イットリア焼結体およびプラズマプロセス装置用部材 |
JP2010006641A (ja) * | 2008-06-27 | 2010-01-14 | Kyocera Corp | 耐食性部材およびこれを用いた処理装置 |
EP2342951B1 (en) | 2008-10-31 | 2019-03-06 | Lam Research Corporation | Lower electrode assembly of plasma processing chamber |
US9017765B2 (en) | 2008-11-12 | 2015-04-28 | Applied Materials, Inc. | Protective coatings resistant to reactive plasma processing |
US8858745B2 (en) | 2008-11-12 | 2014-10-14 | Applied Materials, Inc. | Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas |
JP5407324B2 (ja) | 2008-12-24 | 2014-02-05 | 堺化学工業株式会社 | 酸化ジルコニウム分散液の製造方法 |
US8262923B2 (en) | 2008-12-17 | 2012-09-11 | Lam Research Corporation | High pressure bevel etch process |
CN102348643A (zh) | 2009-01-22 | 2012-02-08 | 3M创新有限公司 | 表面改性的氧化锆纳米粒子 |
TWI456679B (zh) | 2009-03-27 | 2014-10-11 | Advanced Micro Fab Equip Inc | 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室 |
RU2441103C2 (ru) * | 2009-04-28 | 2012-01-27 | Общество с ограниченной ответственностью "Производственное предприятие "Турбинаспецсервис" | Способ получения теплозащитного покрытия |
TW201100578A (en) | 2009-06-19 | 2011-01-01 | Saint Gobain Ceramics & Plastics Inc | Sealed plasma coatings |
EP2292357B1 (en) | 2009-08-10 | 2016-04-06 | BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG | Ceramic article and methods for producing such article |
JP5346256B2 (ja) * | 2009-09-02 | 2013-11-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20110086178A1 (en) | 2009-10-14 | 2011-04-14 | General Electric Company | Ceramic coatings and methods of making the same |
US20110091700A1 (en) | 2009-10-20 | 2011-04-21 | Saint-Gobain Ceramics & Plastics, Inc. | Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer |
JP2013512573A (ja) * | 2009-11-25 | 2013-04-11 | グリーン, ツイード オブ デラウェア, インコーポレイテッド | プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板 |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
FR2957358B1 (fr) * | 2010-03-12 | 2012-04-13 | Snecma | Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique |
WO2011150311A1 (en) | 2010-05-28 | 2011-12-01 | Praxair Technology, Inc. | Substrate supports for semiconductor applications |
US20110315081A1 (en) | 2010-06-25 | 2011-12-29 | Law Kam S | Susceptor for plasma processing chamber |
US20120196139A1 (en) | 2010-07-14 | 2012-08-02 | Christopher Petorak | Thermal spray composite coatings for semiconductor applications |
WO2012012431A1 (en) | 2010-07-23 | 2012-01-26 | Rolls-Royce Corporation | Thermal barrier coatings including c mas-resistant thermal barrier coating layers |
KR101108692B1 (ko) | 2010-09-06 | 2012-01-25 | 한국기계연구원 | 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법 |
US10720350B2 (en) * | 2010-09-28 | 2020-07-21 | Kla-Tencore Corporation | Etch-resistant coating on sensor wafers for in-situ measurement |
US8916021B2 (en) | 2010-10-27 | 2014-12-23 | Applied Materials, Inc. | Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof |
JP2012128135A (ja) | 2010-12-15 | 2012-07-05 | Seiko Epson Corp | 光学物品およびその製造方法 |
JP2012221979A (ja) | 2011-04-04 | 2012-11-12 | Toshiba Corp | プラズマ処理装置 |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8979087B2 (en) | 2011-07-29 | 2015-03-17 | Applied Materials, Inc. | Substrate supporting edge ring with coating for improved soak performance |
US20130048606A1 (en) | 2011-08-31 | 2013-02-28 | Zhigang Mao | Methods for in-situ chamber dry clean in photomask plasma etching processing chamber |
US20130071685A1 (en) | 2011-09-21 | 2013-03-21 | Iwaki Film Processing Co., Ltd. | Product and method for manufacturing the product |
CN103890219A (zh) | 2011-09-26 | 2014-06-25 | 福吉米株式会社 | 包含稀土元素的喷镀用粉末和覆膜、以及具备前述覆膜的构件 |
WO2013070520A2 (en) | 2011-11-03 | 2013-05-16 | Barson Composites Corporation | Corrosion-resistant diffusion coatings |
JP5665726B2 (ja) | 2011-12-14 | 2015-02-04 | 株式会社東芝 | エッチング装置およびフォーカスリング |
BR112014015249B1 (pt) | 2011-12-19 | 2021-04-13 | Praxair S.T. Technology, Inc | Composição de pasta fluida aquosa |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US20130273313A1 (en) | 2012-04-13 | 2013-10-17 | Applied Materials, Inc. | Ceramic coated ring and process for applying ceramic coating |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US20130288037A1 (en) | 2012-04-27 | 2013-10-31 | Applied Materials, Inc. | Plasma spray coating process enhancement for critical chamber components |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9988702B2 (en) | 2012-05-22 | 2018-06-05 | Kabushiki Kaisha Toshiba | Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus |
US9150602B2 (en) | 2012-07-24 | 2015-10-06 | Atomic Energy Council, Institute Of Nuclear Energy Research | Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US20140034242A1 (en) | 2012-07-31 | 2014-02-06 | Lam Research Corporation | Edge ring assembly for plasma processing chamber and method of manufacture thereof |
US20140037969A1 (en) | 2012-08-03 | 2014-02-06 | General Electric Company | Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition |
JP5934069B2 (ja) | 2012-09-14 | 2016-06-15 | 日本碍子株式会社 | 積層構造体、半導体製造装置用部材及び積層構造体の製造方法 |
CN103794445B (zh) | 2012-10-29 | 2016-03-16 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室的静电夹盘组件及制造方法 |
CN103794460B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于半导体装置性能改善的涂层 |
CN103794458B (zh) | 2012-10-29 | 2016-12-21 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室内部的部件及制造方法 |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
WO2014119177A1 (ja) | 2013-01-30 | 2014-08-07 | 京セラ株式会社 | ガスノズルおよびこれを用いたプラズマ装置 |
CN103215535B (zh) * | 2013-04-16 | 2014-10-22 | 中国科学院金属研究所 | 一种等离子刻蚀腔体表面防护涂层的制备方法 |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US9624593B2 (en) | 2013-08-29 | 2017-04-18 | Applied Materials, Inc. | Anodization architecture for electro-plate adhesion |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
JP5894198B2 (ja) | 2014-01-06 | 2016-03-23 | 株式会社フジミインコーポレーテッド | 溶射用スラリー及び溶射皮膜の形成方法 |
KR20160119187A (ko) | 2014-03-31 | 2016-10-12 | 가부시끼가이샤 도시바 | 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치 |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US20150311043A1 (en) | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
KR20160030812A (ko) | 2014-09-11 | 2016-03-21 | 삼성전자주식회사 | 플라즈마 처리 장치 |
JP5927656B2 (ja) | 2014-11-08 | 2016-06-01 | リバストン工業株式会社 | 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材 |
US9790582B2 (en) | 2015-04-27 | 2017-10-17 | Lam Research Corporation | Long lifetime thermal spray coating for etching or deposition chamber application |
US20160379806A1 (en) | 2015-06-25 | 2016-12-29 | Lam Research Corporation | Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers |
US20160375515A1 (en) | 2015-06-29 | 2016-12-29 | Lam Research Corporation | Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing |
JP5987097B2 (ja) | 2015-09-07 | 2016-09-06 | 株式会社フジミインコーポレーテッド | 溶射皮膜 |
KR101916872B1 (ko) | 2015-10-15 | 2018-11-08 | 아이원스 주식회사 | 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품 |
-
2013
- 2013-09-23 US US14/034,315 patent/US9583369B2/en active Active
-
2014
- 2014-07-15 KR KR1020217041557A patent/KR102516707B1/ko active IP Right Grant
- 2014-07-15 KR KR1020217016029A patent/KR102342795B1/ko active IP Right Grant
- 2014-07-15 KR KR1020167001721A patent/KR102258598B1/ko active IP Right Grant
- 2014-07-15 CN CN201910305677.9A patent/CN110016645B/zh active Active
- 2014-07-15 CN CN201711374831.5A patent/CN107964650A/zh active Pending
- 2014-07-15 CN CN201910304795.8A patent/CN109972091A/zh active Pending
- 2014-07-15 CN CN201480041007.6A patent/CN105392913B/zh active Active
- 2014-07-15 JP JP2016527047A patent/JP6661241B2/ja active Active
- 2014-07-15 KR KR1020177036604A patent/KR102260313B1/ko active IP Right Grant
- 2014-07-15 WO PCT/US2014/046732 patent/WO2015013070A1/en active Application Filing
- 2014-07-18 TW TW106144567A patent/TWI687996B/zh active
- 2014-07-18 TW TW107102339A patent/TWI745534B/zh active
- 2014-07-18 TW TW103124797A patent/TWI656572B/zh active
- 2014-07-18 TW TW107102340A patent/TWI751269B/zh active
- 2014-07-18 TW TW110141496A patent/TWI773579B/zh active
-
2017
- 2017-01-23 US US15/413,198 patent/US9869012B2/en active Active
- 2017-01-23 US US15/413,192 patent/US9812341B2/en active Active
- 2017-12-11 US US15/837,787 patent/US10930526B2/en active Active
- 2017-12-19 JP JP2017242625A patent/JP6596060B2/ja active Active
-
2020
- 2020-02-11 JP JP2020021056A patent/JP6929397B2/ja active Active
- 2020-12-29 US US17/137,076 patent/US11424136B2/en active Active
-
2021
- 2021-08-10 JP JP2021130529A patent/JP2021185267A/ja active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004010981A (ja) * | 2002-06-07 | 2004-01-15 | Nihon Ceratec Co Ltd | 耐食性部材およびその製造方法 |
JP2007516921A (ja) * | 2003-12-18 | 2007-06-28 | ラム リサーチ コーポレーション | 半導体材料処理装置におけるイットリアでコーティングされたセラミック部品及びその部品を製造する方法 |
JP2008141181A (ja) * | 2006-10-30 | 2008-06-19 | Applied Materials Inc | プラズマ反応装置部品の製造方法及び装置 |
JP2012199567A (ja) * | 2006-10-30 | 2012-10-18 | Applied Materials Inc | プラズマ反応装置部品の製造方法及び装置 |
JP2010070854A (ja) * | 2008-08-20 | 2010-04-02 | Kyocera Corp | 耐食性部材およびこれを用いた半導体製造装置 |
JP2012508467A (ja) * | 2008-11-10 | 2012-04-05 | アプライド マテリアルズ インコーポレイテッド | プラズマチャンバ部品用耐プラズマコーティング |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6596060B2 (ja) | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 | |
JP6714571B2 (ja) | プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着 | |
JP6956774B2 (ja) | 希土類酸化物のイオンアシスト蒸着トップコート | |
US9725799B2 (en) | Ion beam sputtering with ion assisted deposition for coatings on chamber components | |
JP2019108612A (ja) | プラズマ耐食性希土類酸化物系薄膜コーティング |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20171219 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20181011 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20181030 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190130 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190328 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20190429 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20190910 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20190927 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6596060 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |