TWI773579B - 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積 - Google Patents

用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積 Download PDF

Info

Publication number
TWI773579B
TWI773579B TW110141496A TW110141496A TWI773579B TW I773579 B TWI773579 B TW I773579B TW 110141496 A TW110141496 A TW 110141496A TW 110141496 A TW110141496 A TW 110141496A TW I773579 B TWI773579 B TW I773579B
Authority
TW
Taiwan
Prior art keywords
protective layer
mol
ceramic
conformal protective
surface roughness
Prior art date
Application number
TW110141496A
Other languages
English (en)
Other versions
TW202210643A (zh
Inventor
語南 孫
比拉賈P 卡農哥
維希德 菲路茲朵爾
英 詹
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202210643A publication Critical patent/TW202210643A/zh
Application granted granted Critical
Publication of TWI773579B publication Critical patent/TWI773579B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0015Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterized by the colour of the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D43/00Lids or covers for rigid or semi-rigid containers
    • B65D43/02Removable lids or covers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0026Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0031Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0052Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0094Reactive sputtering in transition mode
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/088Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/01Selective coating, e.g. pattern coating, without pre-treatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/14Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/14Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
    • C23C4/16Wires; Tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/67086Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • Y10T428/1317Multilayer [continuous layer]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/139Open-ended, self-supporting conduit, cylinder, or tube-type article
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/139Open-ended, self-supporting conduit, cylinder, or tube-type article
    • Y10T428/1393Multilayer [continuous layer]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

製造物件的方法包含提供用於蝕刻反應器的蓋或噴嘴。接著進行離子輔助沉積(IAD),以沉積保護層至蓋或噴嘴的至少一表面上,其中保護層係厚度小於300微米、平均表面粗糙度為10微吋或以下的抗電漿稀土氧化物膜。

Description

用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
本發明的實施例大體係關於具有抗電漿薄膜保護層的腔室蓋和腔室噴嘴。
在半導體產業中,裝置由一些製造製程製造,以製造尺寸持續縮小的結構。諸如電漿蝕刻和電漿清洗製程等一些製造製程係使基板接觸高速電漿流,藉以蝕刻或清洗基板。電漿具高腐蝕性,並可能腐蝕處理腔室和其他接觸電漿的表面。
蓋和噴嘴係導體與介電質蝕刻中的兩個重要蝕刻腔室部件。通常,蓋和噴嘴由大塊陶瓷製成。然隨著裝置節點持續縮小,缺陷要求益發嚴苛。一些新應用採用高操作溫度(例如約300℃或以上)。用於高溫應用時,許多大塊陶瓷可能因熱衝擊而破裂。又,抗電漿大塊陶瓷通常很貴。
因Al2 O3 具高熱導率和Al2 O3 的抗彎強度,故可用於蓋和噴嘴。然受氟化學品作用時,露出的Al2 O3 會在處理晶圓上形成AlF微粒和鋁(Al)金屬污染物。近來已嘗試用厚保護塗層塗佈蓋和噴嘴的面對電漿側。厚膜塗層(例如電漿噴塗塗層)據察可減少晶圓上金屬污染。然電漿噴塗塗層的真空密封令人擔憂,因為在一些情況下,電漿噴塗塗層因固有孔隙與裂痕而無法維持真空。此外,電漿噴塗塗層有很長的前置時間,且通常會先施行特殊表面準備,導致最終增加成本。又,由於表面準備和成本所致,再磨光塗層亦為一大挑戰。
稱作物理氣相沉積(PVD)的薄膜塗佈技術已考慮用於塗佈蓋和噴嘴。然PVD塗佈製程非常慢(影響最終塗佈成本),因此無法產生夠厚的塗層來符合部件壽命要求(特別係用於非消耗性零件時,例如蓋和噴嘴)。此外,PVD塗層通常具有高殘餘應力,在一些情況下,塗層將破裂及剝離,以致縮短部件壽命。
用於蝕刻反應器的腔室部件包含:包含陶瓷主體的蓋或噴嘴;及保護層,位於陶瓷主體的至少一表面上,其中保護層係厚度小於300微米(μm)且平均表面粗糙度小於10微吋的抗電漿稀土氧化物膜。製造物件的方法包含:提供用於蝕刻反應器的蓋或噴嘴;進行離子輔助沉積,以沉積保護層至蓋或噴嘴的至少一表面上,其中保護層係厚度小於300微米的抗電漿稀土氧化物膜;及研磨保護層,使平均表面粗糙度小於10微吋。用於蝕刻反應器的抗電漿蓋或噴嘴包含陶瓷主體和保護層,保護層位於陶瓷主體的至少一表面上,蓋或噴嘴係由一製程製造,該製程包含:提供蓋或噴嘴;及進行電子束離子輔助沉積,以沉積保護層至蓋或噴嘴的至少一表面上,其中保護層係厚度小於50微米的抗電漿稀土氧化物膜。
本發明的實施例提供物件,例如用於蝕刻反應器的蓋及/或噴嘴,物件的一或更多面對電漿表面具有薄膜保護層。保護層的厚度可高達約300微米且提供抗電漿腐蝕性,以保護物件。保護層可利用離子輔助沉積(IAD)(例如利用電子束IAD(EB-IAD))形成於物件上。薄膜保護層可為Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物或另一稀土氧化物。薄膜保護層可提供較佳抗腐蝕性,從而改善物件的使用壽命,同時降低維護及製造成本。此外,IAD塗層可施用得夠厚而提供蓋及/或噴嘴更長壽命,並具有良好氣密性,以維持真空。IAD塗層亦可以低成本施用及後來再磨光。
第1圖為半導體處理腔室100的截面圖,處理腔室具有一或更多腔室部件,腔室部件塗覆上根據本發明實施例的薄膜保護層。處理腔室100可用於提供腐蝕電漿環境的製程。例如,處理腔室100可為電漿蝕刻反應器(亦稱作電漿蝕刻器)、電漿清洗器等用腔室。可包括薄膜保護層的腔室部件實例包括基板支撐組件148、靜電夾盤(ESC)150、環(例如處理套環或單環)、腔壁、基底、氣體分配板、噴淋頭、襯層、襯層套組、屏蔽、電漿屏、流量均衡器、冷卻基底、腔室觀察孔、腔室蓋104、噴嘴等。在一特定實施例中,保護層施用於腔室蓋104及/或腔室噴嘴132上。
薄膜保護層為離子輔助沉積(IAD)所沉積的稀土氧化物層,此將詳述於後。薄膜保護層包括Y2 O3 與Y2 O3 系陶瓷、Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Er2 O3 與Er2 O3 系陶瓷、Gd2 O3 與Gd2 O3 系陶瓷、Er3 Al5 O12 (EAG)、Gd3 Al5 O12 (GAG)、Nd2 O3 與Nd2 O3 系陶瓷、YAlO3 (YAP)、Er4 Al2 O9 (EAM)、ErAlO3 (EAP)、Gd4 Al2 O9 (GdAM)、GdAlO3 (GdAP)、Nd3 Al5 O12 (NdAG)、Nd4 Al2 O9 (NdAM)、NdAlO3 (NdAP)及/或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物。薄膜保護層亦可包括YF3 、Er-Y組成(例如Er 80重量%與Y 20重量%)、Er-Al-Y組成(例如Er 70重量%、Al 10重量%與Y 20重量%)、Er-Y-Zr組成(例如Er 70重量%、Y 20重量%與Zr 10重量%)或Er-Al組成(例如Er 80重量%與Al 20重量%)。
薄膜保護層亦可以由上述任何陶瓷形成的固溶體為基料。參照包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物,在一實施例中,陶瓷化合物包括62.93莫耳比率(莫耳%)的Y2 O3 、23.23莫耳%的ZrO2 和13.94莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括50-75莫耳%的Y2 O3 、10-30莫耳%的ZrO2 和10-30莫耳%的Al2 O3 。在又一實施例中,陶瓷化合物包括40-100莫耳%的Y2 O3 、0-60莫耳%的ZrO2 和0-10莫耳%的Al2 O3 。在再一實施例中,陶瓷化合物包括40-60莫耳%的Y2 O3 、30-50莫耳%的ZrO2 和10-20莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括40-50莫耳%的Y2 O3 、20-40莫耳%的ZrO2 和20-40莫耳%的Al2 O3 。在又一實施例中,陶瓷化合物包括70-90莫耳%的Y2 O3 、0-20莫耳%的ZrO2 和10-20莫耳%的Al2 O3 。在再一實施例中,陶瓷化合物包括60-80莫耳%的Y2 O3 、0-10莫耳%的ZrO2 和20-40莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括40-60莫耳%的Y2 O3 、0-20莫耳%的ZrO2 和30-40莫耳%的Al2 O3 。在其他實施例中,其他分布亦可用於陶瓷化合物。
在一實施例中,包括Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 與SiO2 組合物的替代陶瓷化合物用作保護層。在一實施例中,替代陶瓷化合物包括40-45莫耳%的Y2 O3 、0-10莫耳%的ZrO2 、35-40莫耳%的Er2 O3 、5-10莫耳%的Gd2 O3 和5-15莫耳%的SiO2 。在第一實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、5莫耳%的Gd2 O3 和15莫耳%的SiO2 。在第二實例中,替代陶瓷化合物包括45莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、10莫耳%的Gd2 O3 和5莫耳%的SiO2 。在第三實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、40莫耳%的Er2 O3 、7莫耳%的Gd2 O3 和8莫耳%的SiO2
上述任一薄膜保護層可包括微量的其他材料,例如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物。
薄膜保護層可為施用於不同陶瓷上面的IAD塗層,包括氧化物系陶瓷、氮化物系陶瓷和碳化物系陶瓷。氧化物系陶瓷實例包括SiO2 (石英)、Al2 O3 、Y2 O3 等。碳化物系陶瓷實例包括SiC、Si-SiC等。氮化物系陶瓷實例包括AlN、SiN等。IAD塗層靶材可為煅燒粉末、預形團塊(例如由生坯沖壓、熱壓等形成)、燒結體(例如密度為50%-100%)、機器加工體(例如為陶瓷、金屬或金屬合金)。
如所述,根據一實施例,蓋130和噴嘴132各自具有薄膜保護層133、134。然應理解諸如上列的任何其他腔室部件亦可包括薄膜保護層。
在一實施例中,處理腔室100包括腔室主體102和蓋130,用以圍住內部體積106。蓋130的中心具有孔洞,噴嘴132可插入孔洞內。腔室主體102可由鋁、不鏽鋼或其他適合材料製成。腔室主體102通常包括側壁108和底部110。任一蓋130、噴嘴132、側壁108及/或底部110可包括薄膜保護層。
外部襯層116可設置鄰接側壁108,以保護腔室主體102。外部襯層116可製有及/或塗覆上薄膜保護層。在一實施例中,外部襯層116由氧化鋁製成。
可於腔室主體102中定義排氣口126,排氣口耦接內部體積106與泵系統128。泵系統128可包括一或更多泵和節流閥,用以排空及調節處理腔室100的內部體積106內的壓力。
蓋130可支撐在腔室主體102的側壁108上。蓋130可打開供進入處理腔室100的內部體積106,並於關閉時密封處理腔室100。氣體面板158可耦接至處理腔室100,以經由噴嘴132提供處理及/或清洗氣體至內部體積106。蓋130可為陶瓷,例如Al2 O3 、Y2 O3 、YAG、SiO2 、AlN、SiN、SiC、Si-SiC、或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物。噴嘴132亦可為陶瓷,例如所述任何蓋用陶瓷。蓋130及/或噴嘴132可分別塗覆上薄膜保護層133、134。
可用於在處理腔室100中處理基板的處理氣體實例包括含鹵素氣體,例如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 與SiF4 等和其他氣體,例如O2 或N2 O。載氣的實例包括N2 、He、Ar和不與處理氣體作用的其他氣體(例如不反應氣體)。基板支撐組件148設在處理腔室100的內部體積106中的蓋130下方。處理期間,基板支撐組件148托住基板144。環146(例如單環)可覆蓋一部分的靜電夾盤150,及避免覆蓋部分在處理期間接觸電漿。在一實施例中,環146可為矽或石英。
內部襯層118可塗覆於基板支撐組件148周圍。內部襯層118可為耐含鹵素氣體的材料,例如參照外部襯層116所述者。在一實施例中,內部襯層118由和外部襯層116一樣的材料製成。此外,內部襯層118可塗覆上薄膜保護層。
在一實施例中,基板支撐組件148包括支撐基座152的裝設板162和靜電夾盤150。靜電夾盤150進一步包括導熱基底164和靜電定位盤166,黏著劑138接合靜電定位盤與導熱基底,在一實施例中,黏著劑為矽酮黏著劑。裝設板162耦接至腔室主體102的底部110,且包括通道供設施(例如流體、電源線、感測器引線等)通往導熱基底164和靜電定位盤166。
導熱基底164及/或靜電定位盤166可包括一或更多選擇性埋置加熱元件176、埋置隔熱器174及/或導管168、170,以控制基板支撐組件148的側向溫度輪廓。導管168、170可流體耦接至流體源172,使溫度調節流體循環通過導管168、170。在一實施例中,埋置隔熱器174設在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170和加熱器176可用於控制導熱基底164的溫度,進而加熱及/或冷卻靜電定位盤166和待處理基板(例如晶圓)144。可利用複數個溫度感測器190、192,監測靜電定位盤166和導熱基底164的溫度,溫度感測器由控制器195監控。
靜電定位盤166可進一步包括多個氣體通道,例如溝槽、臺面和其他表面特徵結構,氣體通道可形成於定位盤166的上表面。氣體通道可經由定位盤166中的鑽孔流體耦接至熱傳(或背側)氣源,例如氦(He)。操作時,可以控制壓力提供背側氣體至氣體通道,以加強靜電定位盤166與基板144間的熱傳。
靜電定位盤166包括至少一夾持電極180,夾持電極受控於夾持電源182。電極180(或設於定位盤166或基底164的其他電極)可經由匹配電路188進一步耦接至一或更多射頻(RF)電源184、186,以維持處理腔室100內由處理及/或其他氣體形成的電漿。電源184、186通常能產生頻率約50千赫至約3千兆赫、功率高達約10000瓦的RF訊號。
第2A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)。示例性IAD方法包括併入離子轟擊的沉積製程,例如蒸鍍(例如活化反應蒸鍍(ARE)),及在存有離子轟擊的情況下濺射,以形成所述抗電漿塗層。在實施例中進行的一特定IAD類型為電子束IAD(EB-IAD)。任何IAD方法可在存有反應氣體物種的情況下進行,例如O2 、N2 、鹵素等。反應物種可於沉積之前及/或期間燒掉表面有機污染物。此外,在實施例中,用於陶瓷靶材沉積對金屬靶材沉積的IAD沉積製程可由O2 離子的分壓控制。例如,Y2 O3 塗層可藉由蒸鍍Y金屬及使氧離子泌出,以於部件表面形成氧化釔材料而製得。或者,陶瓷靶材可配合無氧或減氧使用。
如所示,在存有高能粒子203(例如離子)的情況下堆積沉積材料202,以形成薄膜保護層215至物件210或多個物件210A、210B上。沉積材料202可包括原子、離子、自由基等。形成時,高能粒子203會撞擊及壓實薄膜保護層215。
在一實施例中,EB IAD用於形成薄膜保護層215。第2B圖圖示IAD沉積設備的示意圖。如所示,材料源250提供流動沉積材料202,高能粒子源255提供流動高能粒子203,在整個IAD製程過程中,二者均撞擊物件210、210A、210B。高能粒子源255可為氧或其他離子源。高能粒子源255亦可提供其他類型的高能粒子,例如惰性自由基、中子原子和奈米級微粒,奈米級微粒出自微粒產生源(例如出自電漿、反應氣體或出自提供沉積材料的材料源)。
用於提供沉積材料202的材料源(例如靶材主體)250可為大塊燒結陶瓷,此對應和組成薄膜保護層215一樣的陶瓷。例如,材料源可為大塊燒結陶瓷化合物主體或大塊燒結YAG、Er2 O3 、Gd2 O3 、Er3 Al5 O12 或Gd3 Al5 O12 或其他提及陶瓷。亦可採用其他靶材材料,例如粉末、煅燒粉末、預形材料(例如由生坯沖壓或熱壓形成)或機器加工體(例如融合材料)。沉積期間,所有不同類型的材料源250將熔化成熔融材料源。然不同類型的起始材料需要不同的時間熔化。融合材料及/或機器加工體最快熔化。預形材料熔化得比融合材料慢,煅燒粉末熔化得比預形材料慢,標準粉末熔化得比煅燒粉末更慢。
IAD可採用一或更多電漿或射束(例如電子束),以提供材料和高能離子源。沉積抗電漿塗層期間,亦可提供反應物種。在一實施例中,高能粒子203包括至少一不反應物種(例如Ar)或反應物種(例如O)。在進一步實施例中,亦可於形成抗電漿塗層期間引入諸如CO與鹵素(Cl、F、Br等)的反應物種,以進一步提高選擇性移除最微弱接合薄膜保護層215的沉積材料的傾向。
利用IAD製程,可獨立於其他沉積參數,由高能離子(或其他粒子)源255個別控制高能粒子203。根據能量(例如速度)、密度和高能離子通量的入射角,可操縱薄膜保護層的組成、結構、結晶定向和晶粒大小。
可調整的附加參數為沉積時的物件溫度和沉積時間。在一實施例中,IAD沉積腔室(和腔室蓋或內含噴嘴)於沉積前經加熱達160℃的起始溫度或更高。在一實施例中,起始溫度為160℃至500℃。在一實施例中,起始溫度為200℃至270℃。接著在沉積期間,使腔室和蓋或噴嘴的溫度維持在起始溫度。在一實施例中,IAD腔室包括加熱燈,用以進行加熱。在一替代實施例中,不加熱IAD腔室和蓋或噴嘴。若不加熱腔室,則IAD製程自然會使溫度升高至約160℃。沉積時為高溫可增加保護層的密度,但也會提高保護層的機械應力。主動冷卻可增設至腔室,使塗佈期間維持低溫。在一實施例中,低溫維持在160℃或以下、低至0℃的任何溫度。
可調整的附加參數為工作距離270和入射角272。工作距離270係材料源250與物件210A、210B間的距離。在一實施例中,工作距離為0.2至2.0公尺,在一特定實施例中,工作距離為1.0公尺。縮短工作距離可提高沉積速率,及增加離子能的功效。然工作距離縮短到特定點以下會降低保護層的均勻度。入射角係沉積材料202著擊物件210A、210B的角度。在一實施例中,入射角為10-90度,在一特定實施例中,入射角為30度。
IAD塗層可在粗糙度約0.5微吋至約180微吋的大範圍表面條件下施用。然平滑表面有助於均勻塗佈覆蓋。塗層厚度可高達約300微米。製造時,可故意在塗層堆疊底部添加稀土氧化物系著色劑,例如Nd2 O3 、Sm2 O3 、Er2 O3 等,以估定部件上的塗層厚度。亦可使用橢圓儀準確測量厚度。
視用於形成塗層的稀土氧化物複合物而定,IAD塗層可為無定形或結晶。例如,EAG和YAG係無定形塗層,Er2 O3 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物通常為結晶。無定形塗層的共形性較佳且可減少磊晶裂痕引起的晶格失配,而結晶塗層的抗腐蝕性較佳。
塗層構造可為雙層或多層結構。在雙層構造中,無定形層可沉積做為緩衝層,以最小化磊晶裂痕,然後頂部為結晶層,結晶層可抗腐蝕。在多層設計中,層材料可用於從基板到頂層產生平穩的熱梯度。
利用多個電子束(e-射束)槍來共沉積多個靶材可達到形成厚塗層與層狀構造的目的。例如,可同時使用兩個具相同材料類型的靶材。每個靶材可由不同電子束搶撞擊。此可增加沉積速率和保護層厚度。又例如,二靶材可為不同的陶瓷材料。第一電子束槍撞擊第一靶材,以沉積第一保護層,第二電子束槍隨後撞擊第二靶材,以形成材料組成不同於第一保護層的第二保護層。
後塗佈熱處理可用於改善塗層性質。例如,可用於將無定形塗層轉化成抗腐蝕性更佳的結晶塗層。另一實例為藉由形成反應區或過渡層而改善塗層與基板接合強度。
在一實施例中,在IAD腔室中,平行處理多個蓋及/或噴嘴。例如,在一實施例中,可平行處理多達五個蓋及/或噴嘴。各蓋或噴嘴可由不同的固定件支撐。或者,單一固定件可配置以支托多個蓋及/或噴嘴。固定件可於沉積期間移動支撐蓋及/或噴嘴。
在一實施例中,支托蓋及/或噴嘴的固定件可設計由金屬組分(例如冷軋鋼)或陶瓷(例如Al2 O3 、Y2 O3 等)製成。固定件可用於把蓋或噴嘴支撐在材料源與電子束槍上方或下方。固定件可具夾持能力,以更安全、容易搬運及在塗佈期間夾持蓋及/或噴嘴。又,固定件可具特徵結構來定向或對準蓋和噴嘴。在一實施例中,固定件可重定位及/或繞著一或更多軸旋轉,以改變支撐蓋或噴嘴朝源材料的定向。固定件亦可在沉積之前及/或期間重定位,以改變工作距離及/或入射角。固定件可具有冷卻或加熱流道,以控制塗佈期間的蓋和噴嘴溫度。重定位及轉動蓋或噴嘴的能力可最大化塗佈覆蓋3D表面的範圍,例如孔洞,此係因為IAD係視線過程。
第3圖至第4圖圖示物件(例如蓋及/或噴嘴)的剖面側視圖,物件被一或更多薄膜保護層覆蓋。用於導體蝕刻製程的電漿蝕刻器用蓋可為燒結陶瓷,例如Al2 O3 ,因為Al2 O3 具有高抗彎強度和高熱導率。然Al2 O3 接觸氟化學品時會在晶圓上形成AlF微粒和鋁金屬污染。其他材料亦可用於蓋及/或噴嘴。
參照第3圖,物件300的主體305包括薄膜堆疊306,薄膜堆疊具有第一薄膜保護層308和第二薄膜保護層310。或者,物件300的主體305上可只包括單一薄膜保護層308。在一實施例中,薄膜保護層308、310的厚度高達約300 微米。在進一步實施例中,薄膜保護層的厚度小於約20微米,在一特定實施例中,厚度為約0.5微米至約7微米。在一實施例中,薄膜保護層堆疊的總厚度為300微米或以下。
薄膜保護層308、310係沉積陶瓷層,此可利用電子束離子輔助沉積(EB-IAD)製程來形成於物件300的主體305上。EB-IAD沉積的薄膜保護層308、310具有較小的膜應力(例如相較於電漿噴塗或濺射引起的膜應力)。較小膜應力可使主體305的下表面非常平坦,就直徑12吋的主體而言,整個主體的曲率小於約50微米。此外,IAD沉積的薄膜保護層308、310可具有小於1%的孔隙度,且在一些實施例中為小於約0.1%。處理時,低孔隙度可使蓋提供有效的真空密封。因此,IAD沉積的保護層為緻密結構,此在應用到腔室蓋或噴嘴方面具有性能優勢。此外,IAD沉積的保護層可具低破裂密度且與主體305間有高附著性。此外,IAD沉積的保護層308、310可在不先粗糙化主體305上表面或進行其他耗時表面準備步驟的情況下沉積。
可用於形成薄膜保護層308、310的陶瓷實例包括Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體(Y2 O3 -ZrO2 固溶體)的陶瓷化合物、或前述任何其他陶瓷材料。其他Er系及/或Gd系抗電漿稀土氧化物亦可用於形成薄膜保護層308、310。在一實施例中,二相鄰薄膜保護層不使用相同的陶瓷材料。然在另一實施例中,相鄰層由相同的陶瓷組成。
具有IAD薄膜保護層的蓋和噴嘴可用於施加大溫度範圍的應用。例如,具有IAD薄膜保護層的蓋和噴嘴可用於溫度為0℃至1000℃的製程。蓋和噴嘴可在高溫(例如300℃或以上)下使用,且無熱衝擊造成的破裂。
性質 92% Al2 O3 陶瓷化合物 YAG Er2 O3 EAG Y2 O3 YZ20 YF3
晶體結構 C A A C A C C A
崩潰電壓(V) 363 427 1223 527 (5 µm) 900 (5 µm) 1032 (5 µm) 423 522
體積電阻率(Ω∙cm) > 0.01E16 4.1E16 11.3E16 - - - - -
介電常數 9.2 9.83 +/- 0.04 9.76 +/- 0.01 9.67 9.54 - - -
損失正切 5E-4 4E-4 4E-4 4E-4 4E-4 - - -
熱導率(W/m-K) 18 19.9 20.1 19.4 19.2 - - -
粗糙度(微吋) 8-16 一樣 一樣 一樣 一樣 一樣 一樣 一樣
92% Al2 O3 上的附著力(MPa) N/A > 28 > 32 - - - - -
厄米性(洩漏率)(cm3 /s) < 1E-6 1.2E-9 4.4E-10 5.5E-9 9.5E-10 - 1.6E-7 2.6E-9
硬度(GPa) 12.14 7.825 8.5 5.009 9.057 - 5.98 3.411
磨耗率(nm/RFhr) 0.2 0.14 0.28 0.113 0.176 - - -
表1:IAD沉積YAG、Er2 O3 、EAG和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物的材料性質
表1顯示92% Al2 O3 (氧化鋁)基板和各種塗覆92% Al2 O3 基板的IAD膜保護層的材料性質。在表中,「C」代表結晶結構,「A」代表無定形結構。如所示,氧化鋁基板的崩潰電壓為363伏特/密耳(V/mil)。反之,5微米(μm)、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的IAD沉積陶瓷化合物塗層的崩潰電壓為427V(遠高於氧化鋁的正規化值:363伏特/密耳)。5微米的IAD沉積YAG塗層的崩潰電壓為1223V。5微米的IAD沉積Er2 O3 塗層的崩潰電壓為527V。5微米的IAD沉積EAG塗層的崩潰電壓為900V。5微米的IAD沉積Y2 O3 塗層的崩潰電壓為1032V。5微米的IAD沉積YZ20塗層的崩潰電壓為423V。5微米的IAD沉積YF3 塗層的崩潰電壓為522V。
氧化鋁在室溫下的體積電阻率為約0.01×1016 (0.01E16)歐姆∙公分(Ω∙cm)。陶瓷化合物薄膜保護層在室溫下的體積電阻率為約4.1E16 Ω∙cm,YAG薄膜保護層在室溫下的體積電阻率為約11.3E16 Ω∙cm。
氧化鋁的介電常數為約9.2,陶瓷化合物薄膜的介電常數為約9.83,YAG薄膜的介電常數為約9.76,Er2 O3 薄膜的介電常數為約9.67,EAG薄膜的介電常數為約9.54。氧化鋁的損失正切為約5E-4,陶瓷化合物薄膜的損失正切為約4E-4,YAG薄膜的損失正切為約4E-4,Er2 O3 薄膜的損失正切為約4E-4,EAG薄膜的損失正切為約4E-4。氧化鋁的熱導率為約18 W/m-K,陶瓷化合物薄膜的熱導率為約19.9 W/m-K,YAG薄膜的熱導率為約20.1 W/m-K,Er2 O3 薄膜的熱導率為約19.4 W/m-K,EAG薄膜的熱導率為約19.2 W/m-K。
在一實施例中,氧化鋁基板的起始粗糙度為約8-16微吋,所有薄膜保護層的起始粗糙度可大致不變。可在沉積後,研磨保護層,使表面粗糙度降至8微吋或以下。在一實施例中,保護層經研磨使表面粗糙度達6-8微吋。
就陶瓷化合物薄膜而言,薄膜保護層附著氧化鋁基板的強度為大於28兆帕(MPa),就YAG薄膜而言為大於32 MPa。藉由測量用以分離薄膜保護層與基板的力量,可測定附著強度。厄米性測量使用薄膜保護層所達成的密封能力。如所示,使用氧化鋁所達成的He洩漏率為約1E-6立方公尺/秒(cm3 /s),使用陶瓷化合物所達成的He洩漏率為約1.2E-9,使用YAG所達成的He洩漏率為約4.4E-10,使用Er2 O3 所達成的He洩漏率為約5.5E-9,使用YF3 所達成的He洩漏率為約2.6E-9,使用YZ20所達成的He洩漏率為約1.6E-7,使用EAG所達成的He洩漏率為約9.5E-10。低He洩漏率表示密封改善。各示例性薄膜保護層的He洩漏率比典型的Al2 O3 低。
Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物各具高硬度,故於電漿處理期間可耐磨耗。如所示,氧化鋁的維氏硬度(5公斤)為約12.14千兆帕(GPa),陶瓷化合物的硬度為約7.825 GPa,YAG的硬度為約8.5 GPa,Er2 O3 的硬度為約5.009 GPa,YZ20的硬度為約5.98 GPa,YF3 的硬度為約3.411 GPa,EAG的硬度為約9.057 GPa。氧化鋁的測量磨耗率為約0.2奈米/射頻小時(nm/RFhr),陶瓷化合物的磨耗率為約0.14 nm/RFhr,Er2 O3 的磨耗率為約0.113 nm/RFhr,EAG的磨耗率為約0.176 nm/RFhr。
注意在一些實施例中,Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和陶瓷化合物可改質使上述材料性質和特性變化高達30%。故所述該等材料性質的數值應視為示例性達成數值,所述陶瓷薄膜保護層不應解釋成限定於提供數值。
第4圖圖示根據另一實施例,具有薄膜保護層堆疊406的物件400的剖面側視圖,薄膜保護層堆疊沉積在物件400的主體405上。物件400類似物件300,除了薄膜保護層堆疊406具有四個薄膜保護層408、410、415、418。
薄膜保護層堆疊(例如所述者)可具有任何數量的薄膜保護層。堆疊中的薄膜保護層可全具相同厚度,或可具不同厚度。在一些實施例中,各薄膜保護層的厚度可小於約20微米。在一實例中,第一層408的厚度為10微米,第二層410的厚度為10微米。在另一實例中,第一層408為5微米厚的YAG層,第二層410為5微米厚的化合物陶瓷層,第三層415為5微米厚的YAG層,第四層418為5微米厚的化合物陶瓷層。
使用陶瓷層的數量和陶瓷層的組成可依據預定應用及/或待塗佈物件類型選擇。IAD形成的EAG和YAG薄膜保護層通常具有無定形結構。反之,IAD沉積的化合物陶瓷和Er2 O3 層通常具有結晶或奈米結晶結構。結晶與奈米結晶陶瓷層通常比無定形陶瓷層更抗腐蝕。然在一些情況下,具結晶結構或奈米結晶結構的薄膜陶瓷層會遭受偶發的垂直裂痕(大致朝膜厚方向且大致垂直塗佈表面行進)。垂直裂痕可能因晶格失配而起,並可能成為電漿化學品的攻擊點。每次加熱及冷卻物件,薄膜保護層與塗佈基板的熱膨脹係數失配將在薄膜保護層上造成應力。應力會集中在垂直裂痕,最終導致薄膜保護層自塗佈基板剝離。反之,若無垂直裂痕,則應力大致均勻分散於薄膜各處。故在一實施例中,薄膜保護層堆疊406中的第一層408係無定形陶瓷,例如YAG或EAG,薄膜保護層堆疊406中的第二層410係結晶或奈米結晶陶瓷,例如陶瓷化合物或Er2 O3 。在此實施例中,第二層410比第一層408更抗電漿。藉由使第二層410形成在第一層408上、而非直接在主體405上,第一層408可做為緩衝,以最小化後續層的晶格失配。如此可增加第二層410的壽命。
在另一實例中,主體、Y3 Al5 O12 (YAG)、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物各具不同的熱膨脹係數。二鄰接材料的熱膨脹係數失配越大,該等材料之一最終越可能破裂、剝離或失去其與其他材料的鍵結。可以最小化鄰接層(或層與主體305、405)的熱膨脹係數失配的方式形成保護層堆疊306、406。例如,主體405可為氧化鋁,EAG的熱膨脹係數為最接近氧化鋁的熱膨脹係數,其次為YAG的熱膨脹係數,然後為化合物陶瓷的熱膨脹係數。故在一實施例中,第一層408可為EAG,第二層410可為YAG,第三層415可為化合物陶瓷。
在又一實例中,保護層堆疊406中的層可為兩種不同陶瓷交替層。例如,第一層408和第三層415可為YAG,第二層410和第四層418可為化合物陶瓷。若用於交替層的其一材料係無定形,用於交替層的另一材料係結晶或奈米結晶,則交替層可提供類似上述優點。
在一些實施例中,薄膜保護層堆疊306、406中的一或更多層係利用熱處理形成的過渡層。若主體305、405係陶瓷主體,則可進行高溫熱處理,以促進薄膜保護層與主體間交互擴散。此外,可進行熱處理,以促進相鄰薄膜保護層間或厚保護層與薄膜保護層間交互擴散。注意過渡層可為非多孔層。過渡層可當作二陶瓷間的擴散接合,及改善相鄰陶瓷間的附著性。此有助於防止保護層在電漿處理期間破裂、剝離或剝落。
熱處理可以高達約1400℃-1600℃熱處理多達約24小時(例如在一實施例中為3-6小時)。此可在第一薄膜保護層與一或更多鄰接陶瓷主體或第二薄膜保護層間形成交互擴散層。若陶瓷主體係Al2 O3 且保護層由化合物陶瓷Y4 Al2 O9 (YAM)與固溶體Y2 -xZrx O3 (Y2 O3 -ZrO2 固溶體)組成,則將形成Y3 Al5 O12 (YAG)介面層。同樣地,熱處理可在Er2 O3 與Al2 O3 間形成EAG過渡層。熱處理亦可在Y2 O3 與Al2 O3 間形成YAG過渡層。熱處理亦可在Gd2 O3 與Al2 O3 間形成GAG。熱處理Al2 O3 上的氧化釔穩定氧化鋯(YSZ)可形成化合物陶瓷Y4 Al2 O9 (YAM)與固溶體Y2 -xZrx O3 的過渡層。其他過渡層可形成在其他鄰接陶瓷之間。
在一實施例中,可在沉積第一保護層308、408期間,添加著色劑。故當第二保護層310、410磨損時,操作員可目視並適時再磨光或更換蓋或噴嘴。
第5A圖圖示根據一實施例,具有稀土氧化物抗電漿層510的腔室蓋505的透視圖。第5B圖圖示根據一實施例,具有稀土氧化物抗電漿層510的腔室蓋505的剖面側視圖。腔室蓋505包括孔洞520,孔洞位於蓋中心或蓋上其他位置。蓋505亦可具有唇緣515,當蓋闔上時,唇緣將接觸腔壁。在一實施例中,保護層510不覆蓋唇緣515。為確保保護層不會覆蓋唇緣515,可於沉積時,使用硬或軟遮罩覆蓋唇緣515。接著可在沉積後移除遮罩。或者,保護層510可塗佈整個蓋表面。故處理期間,保護層510可安置於腔室側壁。此外,在一些實施例中,蓋505的外壁亦可塗覆上抗電漿層555。
如第5B圖所示,保護層510具有側壁部分530,以塗佈孔洞520的內部。保護層510的側壁部分530在蓋505的表面附近較厚,並且往孔洞520深處逐漸變薄。在此實施例中,側壁部分530不塗佈孔洞520的全部側壁。
第5C圖圖示根據一實施例,具有稀土氧化物抗電漿層555的腔室噴嘴550的透視圖。如所示,稀土氧化物抗電漿層555塗佈腔室噴嘴550的末端和側壁。腔室噴嘴550可插入蓋505中。在一實施例中,噴嘴550包括唇緣565,唇緣安置於蓋505上。唇緣565可不塗覆上抗電漿層555。或者,唇緣565可塗覆上抗電漿層555。
第6圖圖示製程600的實施例,用以形成薄膜保護層於腔室蓋或腔室噴嘴的主體上。在製程600的方塊605中,提供腔室蓋或噴嘴。蓋或噴嘴可具有大塊燒結陶瓷坯體。大塊燒結陶瓷坯體可為Al2 O3 、Y2 O3 、SiO2 或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物。
在方塊620中,進行離子輔助沉積(IAD)製程,以沉積稀土氧化物保護層至蓋或噴嘴的至少一表面上。在一實施例中,進行電子束離子輔助沉積(EB-IAD)製程。IAD製程的進行為使待沉積材料熔化及用離子轟擊材料。
薄膜保護層可為Y3 Al6 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al6 O12 、Gd3 Al6 O12 、或Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物或所述其他稀土氧化物。在一實施例中,薄膜保護層的沉積速率可為約0.02-20埃/秒(A/s),並可藉由調整沉積參數而改變。在一實施例中,最初採用0.25-1 A/s的沉積速率,於基板上形成共形且附著良好的塗層。接著以2-10 A/s的沉積速率沉積其餘薄膜保護層,以於短時間內達成厚塗層。薄膜保護層可非常順應共形且厚度均勻,及對待沉積於上的主體/基板有良好的附著力。
在一實施例中,材料包括著色劑,使得沉積的保護層具有特殊顏色。可用的著色劑實例包括Nd2 O3 、Sm2 O3 和Er2 O3 。亦可使用其他著色劑。
在方塊625中,決定是否沉積任何附加薄膜保護層。若欲沉積附加薄膜保護層,則製程繼續進行方塊630。在方塊630中,另一薄膜保護層形成在第一薄膜保護層上。其他薄膜保護層可由不同於第一薄膜保護層陶瓷的陶瓷組成。或者,其他薄膜保護層可由相同陶瓷或用於形成第一保護層的陶瓷組成。
在一實施例中,其他薄膜保護層不包括任何著色劑。故即使由幾乎相同的陶瓷材料組成,後續保護層也有不同於底部保護層的顏色。當保護層堆疊遭腐蝕至底部保護層時,此將使蓋或噴嘴改變顏色。顏色改變可示意操作員適時更換處理腔室的蓋或噴嘴。
沉積後續保護層後,方法返回方塊625。若在方塊625中不施加附加薄膜保護層,則製程繼續進行方塊635。在方塊635中,研磨保護層的表面。可利用化學機械研磨(CMP)或其他研磨程序,研磨表面。在一實施例中,研磨頂部保護層的表面,使表面粗糙度小於8微吋。在另一實施例中,研磨頂部保護層的表面,使表面粗糙度小於6微吋。
製程600可進行來處理新的蓋和噴嘴或用過的蓋和噴嘴,以再磨光用過的蓋和噴嘴。在一實施例中,在進行製程600前,研磨用過的蓋和噴嘴。例如,可在進行製程600前,研磨移除先前的保護層。
利用IAD製程,可獨立於其他沉積參數,由高能離子(或其他粒子)源個別控制高能粒子。根據能量(例如速度)、密度和高能離子通量的入射角,可操縱薄膜保護層的組成、結構、結晶定向和晶粒大小。可調整的附加參數為沉積時的物件溫度和沉積時間。離子能可粗略分成低能離子輔助和高能離子輔助。低能離子輔助可包括約230伏特(V)的電壓和約5安培(A)的電流。高能離子輔助可包括約270 V的電壓和約7 A的電流。離子輔助的低與高能量不限於所述數值。高與低階設計另取決於使用離子類型及/或用於進行IAD製程的腔室幾何形狀。比起低能離子輔助,高能離子輔助可以較高速度投射離子。沉積時的基板(物件)溫度可粗略分成低溫(在一實施例中為約120℃-150℃,此通常為室溫)和高溫(在一實施例中為約270℃)。就高溫IAD沉積製程而言,可在沉積之前和期間,加熱蓋或噴嘴。
材料 厚度(µm) 沉積速率(A/s) 離子輔助 溫度(℃) XRD 真空(cm3 /s) 硬度(GPa)
第一化合物陶瓷(燒結插塞) 5 2 230V、5A 270 C N/A 4.11
第二化合物陶瓷(燒結插塞) 6 2 µm為1; 4 µm為2 230V、5A 270 C + A 5.0E-6  
第三化合物陶瓷(燒結插塞) 5 1 230V、5A 270 C + A 6.3E-6  
第四化合物陶瓷(燒結插塞) 5 1 µm為1; 4 µm為2 270V、7A 270 A 1.2E-9 7.825
第五化合物陶瓷(燒結插塞) 5 1 µm為1; 4 µm為2 270V、7A 120-150 A 1.2E-9  
第六化合物陶瓷(燒結插塞) 5 1 µm為1; 4 µm為4 270V、7A 120-150 A 1.2 E-9 7.812
表2A:利用IAD形成的示例性薄膜保護層
材料 厚度(µm) 沉積速率(A/s) 離子輔助 溫度(℃) XRD 真空(cm3 /s) 硬度(GPa)
第一YAG(融合團塊) 5 2.5 230V、5A 270 A 3.7E-7 5.7
第二YAG(融合團塊) 5 1 µm為1; 4 µm為2 270V、7A 270 A 4.4E-10 8.5
化合物陶瓷/YAG 5 2 230V、5A 270 C + A 3.7E-7  
第一Er2 O3 (燒結團塊) 5 2 230V、5A 270 C 3E-6  
第二Er2 O3 (燒結團塊) 5 1 µm為1; 4 µm為2 270V、7A 270 C 5.5E-9 5.009
第一EAG(煅燒粉末) 7.5 1 µm為1; 接下來為2 270V、7A 270 A 9.5E-10 8.485
第二EAG(煅燒粉末) 7.5 1 µm為1; 接下來為2 270V、7A 120-150 A 2.5E-9 9.057
第三EAG(煅燒粉末) 5 1 µm為1; 4 µm為2 270V、7A   A    
Y2 O3 (融合團塊) 5 1 µm為1; 4 µm為2 270V、7A 270 C    
YZ20(粉末) 5 1 µm為1; 4 µm為2 270V、7A 120-150 C 1.6E-7 5.98
YF3 5 1 µm為1; 4 µm為2 270V、7A 120-150 A 2.6E-9 3.411
表2B:利用IAD形成的示例性薄膜保護層
表2A至表2B顯示利用IAD與各種沉積參數形成的多個示例性薄膜保護層。實驗結果依據實驗的複因子設計來改變離子輔助能量、沉積速率和溫度,從而確認最佳化塗佈製程,以獲得共形、緻密的微結構。塗層將從材料性質(微結構及/或晶相)和機械性質(硬度與附著力)及裂痕密度與真空密封能力等項描繪特徵。IAD塗佈製程最佳化可產生具高密度薄膜(厚度高達約300微米)與低殘留應力的IAD塗層。最佳化參數可用於大多數的稀土氧化物系塗佈材料。
表列出由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物形成的六個不同薄膜保護層實例。第一示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,沉積速率為2埃/秒(A/s)。X光繞射顯示第一示例性化合物陶瓷薄膜保護層具有結晶結構。第一示例性化合物陶瓷薄膜保護層亦具有4.11 GPa的硬度,目視檢查顯示順應底下基板的良好共形性和一些垂直裂痕與一些尖頭。
第二示例性化合物陶瓷薄膜保護層的厚度為6微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,最初2微米的沉積速率為1 A/s,其後4微米的沉積速率為2 A/s。X光繞射顯示第二示例性化合物陶瓷薄膜保護層具有奈米結晶結構(其中部分為結晶,部分為無定形)。用作密封時,第二示例性化合物陶瓷薄膜保護層能維持低至5E-6立方公分/秒(cm3 /s)的真空度。目視檢查第二示例性化合物陶瓷薄膜保護層顯示良好的共形性和比第一示例性化合物陶瓷薄膜保護層少的垂直裂痕。
第三示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,沉積速率為1 A/s。X光繞射顯示第三示例性化合物陶瓷薄膜保護層具有奈米結晶結構。用作密封時,第三示例性化合物陶瓷薄膜保護層能維持低至6.3E-6 cm3 /s的真空度。目視檢查第三示例性化合物陶瓷薄膜保護層顯示良好的共形性和比第一示例性化合物陶瓷薄膜保護層少的垂直裂痕。
第四示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具高能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後4微米為2 A/s。X光繞射顯示第四示例性化合物陶瓷薄膜保護層具有近乎無定形結構。用作密封時,第四示例性化合物陶瓷薄膜保護層能維持低至1.2E-9 cm3 /s的真空度。目視檢查第四示例性化合物陶瓷薄膜保護層顯示良好的共形性、平滑表面和很少的垂直裂痕。此外,第四示例性化合物陶瓷薄膜保護層的硬度為7.825 GPa。
第五示例性化合物薄膜保護層係以和第四示例性化合物薄膜保護層一樣的參數形成,但沉積溫度為室溫(約120℃-150℃)並使用煅燒粉末靶材。第五示例性化合物薄膜保護層的性質類似第四示例性化合物薄膜保護層。
第六示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後4微米為4 A/s。X光繞射顯示第六示例性化合物陶瓷薄膜保護層具有近乎無定形結構。用作密封時,第六示例性化合物陶瓷薄膜保護層能維持低至1.2E-9 cm3 /s的真空度。第六示例性化合物陶瓷薄膜保護層的硬度為7.812 GPa。
第一示例性YAG薄膜保護層的厚度為5微米,並利用具低能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,沉積速率為2.5 A/s。X光繞射顯示第一YAG陶瓷薄膜保護層具有無定形結構。第一YAG薄膜保護層亦具有5.7 GPa的硬度,目視檢查顯示良好的共形性、最少裂痕與平滑表面。
第二示例性YAG薄膜保護層的厚度為5微米,並利用具高能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後4微米為2 A/s。X光繞射顯示第二YAG薄膜保護層具有無定形結構。第二YAG薄膜保護層亦具有8.5 GPa的硬度,目視檢查顯示良好的共形性、較第一YAG薄膜少的破裂與平滑表面。
具化合物陶瓷與YAG層交替的示例性薄膜保護層堆疊的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2 A/s。X光繞射顯示交替層呈無定形(就YAG層而言)和結晶或奈米結晶(就化合物陶瓷層而言)。目視檢查顯示化合物陶瓷層有較少的垂直裂痕。
第一示例性Er2 O3 薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結團塊靶材的IAD形成,沉積溫度為270℃,沉積速率為2 A/s。X光繞射顯示第一Er2 O3 陶瓷薄膜保護層具有結晶結構。目視檢查顯示良好的共形性和垂直裂痕。
第二示例性Er2 O3 薄膜保護層的厚度為5微米,並利用具高能離子輔助與燒結團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後4微米的沉積速率為2 A/s。X光繞射顯示第二Er2 O3 陶瓷薄膜保護層具有結晶結構。目視檢查顯示良好的共形性和比第一Er2 O3 陶瓷薄膜保護層少的垂直裂痕。
第一示例性EAG薄膜保護層的厚度為7.5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示第一EAG陶瓷薄膜保護層具有無定形結構,層的硬度為8.485 GPa。目視檢查顯示良好的共形性與最少裂痕。
第二示例性EAG薄膜保護層的厚度為7.5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為120℃-150℃,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示第二EAG陶瓷薄膜保護層具有無定形結構,層的硬度為9.057 GPa。目視檢查顯示良好的共形性和比第一EAG陶瓷薄膜保護層少的裂痕。
第三示例性EAG薄膜保護層的厚度為5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示第三EAG陶瓷薄膜保護層具有無定形結構。
示例性Y2 O3 薄膜保護層的厚度為5微米,並利用具高能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示Y2 O3 陶瓷薄膜保護層具有結晶結構。
示例性YZ20薄膜保護層的厚度為5微米,並利用具高能離子輔助與粉末靶材的IAD形成,沉積溫度為120℃-150℃,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示YZ20陶瓷薄膜保護層具有結晶結構。用作密封時,YZ20陶瓷薄膜保護層能維持低至1.6E-7 cm3 /s的真空度。YZ20陶瓷薄膜保護層的硬度為5.98 GPa。
示例性YF3 薄膜保護層的厚度為5微米,並以高能離子輔助的IAD形成,溫度為120℃-150℃,最初1微米的沉積速率為1 A/s,其後的沉積速率為2 A/s。X光繞射顯示YF3 陶瓷薄膜保護層具有無定形結構。用作密封時,YF3 陶瓷薄膜保護層能維持低至2.6E-9 cm3 /s的真空度。YF3 陶瓷薄膜保護層的硬度為3.411 GPa。
參數 影響 最佳化 範圍
電壓(V) 密度與共形性 188 150-270
電流(A) 密度與共形性 7 5-7
溫度(℃) 膜應力、結晶度 150 100-270
沉積速率(A/s) 共形性 1 0.01-20
入射角(度) 塗佈3D幾何形狀的能力 30 0-90
工作距離(吋) 塗層厚度、沉積速率 50 10-300
表3:IAD蓋與噴嘴最佳化塗佈製程參數
表3列出根據一實施例,用於塗佈腔室蓋或噴嘴的最佳化IAD處理參數。表3另列出在一些實施例中,用於沉積薄膜保護層的處理參數範圍。在其他實施例中,可使用一些更寬的處理數值。在一實施例中,IAD製程進行係採用150-270伏特(V)的電壓、5-7安培(A)的電流、100℃-270℃的溫度、0.01-20埃/秒(A/s)的沉積速率、0-90度的入射角和10-300吋(in.)的工作距離。在另一實施例中,IAD製程進行係採用50-500 V的電壓、1-50 A的電流、20℃-500℃的溫度、0.01-20 A/s的沉積速率、10-300吋的工作距離和10-90度的入射角。
藉由調整電子束施加的熱量,可控制塗層沉積速率。離子輔助能量可用於密化塗層,及加速材料沉積至蓋或噴嘴的表面。藉由調整離子源的電壓及/或電流,可修改離子輔助能量。可調整電流和電壓,以達成高與低塗層密度、操縱塗層應力,及影響塗層的結晶度。離子輔助能量可為50-500 V和1-50 A。沉積速率可為0.01-20 A/s。
在一實施例中,偕同包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物使用高離子輔助能量會形成無定形保護層,偕同包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物使用低離子輔助能量會形成結晶保護層。離子輔助能量亦可用於改變保護層的化學計量比。例如,可使用金屬靶材,沉積期間,金屬材料將因在蓋或噴嘴表面併入氧離子而轉化成金屬氧化物。又,使用氧氣槍可改變任何金屬氧化物塗層的位準,及最佳化而達成預定塗層性質。例如,在真空腔室內,稀土氧化物大多會失去氧。藉由在腔室內泌出更多氧,可補充氧化物塗層材料短缺的氧。
可利用加熱器(例如加熱燈)及控制沉積速率來控制塗佈溫度。沉積速率快通常會導致蓋或噴嘴的溫度升高。可改變沉積溫度,以控制膜應力、結晶度等。溫度可為20℃至500℃。
可調整工作距離,以修改均勻度、密度和沉積速率。工作距離可為10-300吋。可由電子束槍或電子束爐的位置、或改變蓋或噴嘴相對電子束槍或電子束爐的位置來改變沉積角度。藉由最佳化沉積角度,可得到三維幾何形狀均勻的塗層。沉積角度可為0-90度,在一實施例中為10-90度。
在一實施例中,IAD製程進行係採用約188 V的電壓並結合具任何相關處理參數範圍的其他處理參數。在一實施例中,IAD製程進行係採用約7 A的電流並結合具任何相關處理參數範圍的其他處理參數。在一實施例中,IAD製程進行係採用約150℃的溫度並結合具任何相關處理參數範圍的其他處理參數。在一實施例中,IAD製程進行係採用約1 A/s的沉積速率並結合具任何相關處理參數範圍的其他處理參數。在進一步實施例中,採用2 A/s的沉積速率,直到沉積薄膜厚度達1微米為止,然後採用1 A/s的沉積速率。在另一實施例中,最初採用0.25-1 A/s的沉積速率,於基板上形成共形且附著良好的塗層。接著以2-10 A/s的沉積速率沉積其餘薄膜保護層,以於短時間內達成厚塗層。
在一實施例中,IAD製程進行係採用約30度的入射角並結合具任何相關處理參數範圍的其他處理參數。在一實施例中,IAD製程進行係採用約50吋的工作距離並結合具任何相關處理參數範圍的其他處理參數。
第7圖圖示各種材料接觸介電質蝕刻CF4 化學品的腐蝕速率,包括根據所述實施例產生的多個不同IAD塗層的腐蝕速率。如所示,92%氧化鋁的腐蝕速率為約1.38微米/射頻小時(μm/Rfhr),99.8%氧化鋁的腐蝕速率為約1.21微米/射頻小時,IAD沉積YAG的腐蝕速率為約0.28微米/射頻小時,IAD沉積EAG的腐蝕速率為約0.24微米/射頻小時,IAD沉積Y2 O3 的腐蝕速率為約0.18微米/射頻小時,IAD沉積Er2 O3 的腐蝕速率為約0.18微米/射頻小時,IAD沉積化合物陶瓷的腐蝕速率為約0.18微米/射頻小時。一射頻小時係處理一小時。
第8圖及第9圖圖示根據本發明實施例形成的薄膜保護層的腐蝕速率。第8圖圖示薄膜保護層接觸CH4 /Cl2 電漿化學品時的腐蝕速率。如所示,相較於Al2 O3 ,IAD沉積薄膜保護層的抗腐蝕性改善很多。例如,純度92%的氧化鋁的腐蝕速率為約18奈米/射頻小時(nm/RFHr),純度99.8%的氧化鋁的腐蝕速率為約56 nm/RFHr。反之,IAD沉積化合物陶瓷薄膜保護層的腐蝕速率為約3 nm/RFHr,IAD沉積YAG薄膜保護層的腐蝕速率為約1 nm/RFHr。
第9圖圖示薄膜保護層接觸H2 /NF3 電漿化學品時的腐蝕速率。如所示,相較於Al2 O3 ,IAD沉積薄膜保護層的抗腐蝕性改善很多。例如,純度92%的氧化鋁的腐蝕速率為約190 nm/RFHr,純度99.8%的氧化鋁的腐蝕速率為約165 nm/RFHr。反之,IAD沉積YAG薄膜保護層的腐蝕速率為約52 nm/RFHr。同樣地,利用具低能離子的IAD沉積的化合物陶瓷薄膜保護層的腐蝕速率為約45 nm/RFHr,利用具高能離子的IAD沉積的化合物陶瓷薄膜保護層的腐蝕速率為約35 nm/RFHr。利用具高沉積溫度(例如約270℃)的IAD沉積的EAG薄膜保護層的腐蝕速率為約95 nm/RFHr,利用具低沉積溫度(例如約120℃-150℃)的IAD沉積的EAG薄膜保護層的腐蝕速率為約70 nm/RFHr。利用具高能離子的IAD沉積的Er2 O3 薄膜保護層的腐蝕速率為約35 nm/RFHr。
第10圖及第11圖圖示根據本發明實施例形成的薄膜保護層的粗糙度輪廓。第10圖圖示第8圖薄膜保護層在接觸CH4/Cl2電漿化學品100 RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積的薄膜保護層顯示在接觸CH4/Cl2電漿化學品100 RFHrs後,表面粗糙度呈最小變化。
第11圖圖示第9圖薄膜保護層在接觸H2/NF3電漿化學品35 RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積的薄膜保護層顯示在接觸H2/NF3電漿化學品35 RFHrs後,表面粗糙度呈最小變化。
第12圖圖示各種材料在低偏壓下接觸CF4-CHF3溝槽化學品的腐蝕速率,包括根據所述實施例產生的多個不同IAD塗層的腐蝕速率。如所示,92%氧化鋁的腐蝕速率為約0.26微米/射頻小時(m/Rfhr),IAD沉積EAG的腐蝕速率為約0.18m/Rfhr,IAD沉積YAG的腐蝕速率為約0.15m/Rfhr,電漿噴塗沉積化合物陶瓷的腐蝕速率為約0.09m/Rfhr,IAD沉積Y2O3的腐蝕速率為約0.08 m/Rfhr,IAD沉積陶瓷化合物的腐蝕速率為約0.07m/Rfhr,大塊Y2O3的腐蝕速率為約0.07m/Rfhr,大塊陶瓷化合物的腐蝕速率為約0.065m/Rfhr,IAD沉積Er2O3的腐蝕速率為約0.05m/Rfhr。在高偏壓下以CF4-CHF3溝槽化學品蝕刻該等材料也有類似的蝕刻結果。例如,在高偏壓下,92%氧化鋁的蝕刻速率為約1.38m/Rfhr,IAD沉積EAG的腐蝕速率為約0.27m/Rfhr,IAD沉積YAG的腐蝕速率為約0.27m/Rfhr,電漿噴塗沉積化合物陶瓷的腐蝕速率為約0.35m/Rfhr,IAD沉積Y2O3的腐蝕速率為約0.18m/Rfhr,IAD沉積陶瓷化合物的腐蝕速率為約0.19m/Rfhr,大塊Y2O3的腐蝕速率為約0.4 m/Rfhr,大塊陶瓷化合物的腐蝕速率為約0.4m/Rfhr,IAD沉積Er2O3的腐蝕速率為約0.18m/Rfhr。
元素 偵測極限(個原子/cm2 規格(個原子/cm2 試驗1(個原子/cm2 試驗2(個原子/cm2
Al 0.1 <100 3.2 98
Y 0.01 <100 0.02 46
Ni 0.03 <10 0.22 0.042
Fe 0.05 <10 0.47 2.5
Cr 0.03 <10 0.17 1.0
Cu 0.01 <10 <0.01 0.31
Ti 0.03 <10 <0.03 0.13
Ca 0.10 <10 <0.1 4.2
表4:金屬污染結果
如表4所示,塗覆上根據本發明實施例形成的IAD沉積薄膜保護層的蓋和噴嘴遭受的金屬污染結果符合所列規格。表列出金屬污染元素、偵測極限、規格限制、第一試驗結果和第二試驗結果。偵測極限係最低的污染偵測程度。規格限制係在試驗處理基板(例如晶圓)上每平方公分所發現的原子數(個原子/cm2 )。如所示,在兩個不同的金屬污染試驗中,鋁和釔的污染量級少於100個原子/cm2 ,鎳、鐵、鉻、銅、鈦和鈣的污染量級少於10個原子/cm2 。就各試驗而言,試驗製程期間使用的蓋和噴嘴包括包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物薄膜保護層。在每一試驗製程後,測量金屬污染量級。使用根據所述實施例形成的其他IAD沉積薄膜保護層也可得到類似的污染結果。
以具有包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶體的陶瓷化合物薄膜保護層的蓋和噴嘴處理100 RFhr後,300毫米(mm)的已處理晶圓上的整體微粒污染經檢驗為約50個尺寸大於65奈米(nm)的微粒、約40個尺寸大於90 nm的微粒和約20個尺寸大於165 nm的微粒。測得微粒污染係300mm晶圓上大於特定尺寸的微粒總數。處理50RFhr後,整體微粒污染經檢驗為約40個尺寸大於65 nm的微粒、約30個尺寸大於90 nm的微粒和約18個尺寸大於165nm的微粒。
以上說明提及眾多特定細節,例如特定系統、部件、方法等實例,以對本發明的數個實施例有更徹底的理解。然熟諳此技術者將明白本發明的至少一些實施例可不按該等特定細節實踐。在其他情況下,不詳述已知部件或方法,或是以簡易方塊圖表示,以免讓本發明變得晦澀難懂。故提及的特定細節僅為舉例而已。特定實施方式可由該等示例性細節修改而得,且仍視為落在本發明的範圍內。
整份說明書提及的「一實施例」或「一個實施例」意指該實施例描述的特定特徵、結構或特性係包括在至少一實施例內。故說明書各處出現的「在一實施例中」或「在一個實施例中」等用語不必然指稱同一實施例。此外,「或」一詞擬指包容性「或」、而非排除性「或」。本文所用「約」或「約莫」一詞擬指所示標稱值的精確度在±30%以內。
雖然本文所示及所述方法操作係呈特定順序,但各方法操作順序可改變成讓某些操作按相反順序進行,或使某些操作至少部分與其他操作同時進行。在另一實施例中,可以間歇及/或交替方式進行不同操作的指令或子操作。
應理解以上敘述僅為舉例說明,而無限定意圖。熟諳此技術者在閱讀及理解本文後將能明白許多其他實施例。因此,本發明的保護範圍應視後附申請專利範圍和申請專利範圍主張的全部均等物範圍所界定者為準。
100:處理腔室 102:腔室主體 104:噴淋頭基底 106:內部體積 108:側壁 110:底部 116、118:襯層 126:排氣口 128:泵系統 130:蓋 132:噴嘴 133、134:保護層 138:黏著劑 144:基板 146:環 148:支撐組件 150:ESC 152:基座 158:氣體面板 162:裝設板 164:基底 166:靜電定位盤 168、170:導管 172:流體源 174:隔熱器 176:加熱元件/加熱器 178、182、184、186:電源 180:電極 188:匹配電路 190、192:溫度感測器 195:控制器 202:沉積材料 203:高能粒子 210、210A-B:物件 215:保護層 250:材料源 255:高能粒子源 270:工作距離 272:入射角 300:物件 305:主體 306:薄膜堆疊 308、310:保護層 400:物件 405:主體 406:保護層堆疊 308、310、415、418:保護層 505:蓋 510:抗電漿層/保護層 515、565:唇緣 520:孔洞 530:側壁部分 550:噴嘴 555:抗電漿層 600:製程 605、620、625、630、635:方塊
本發明以舉例方式說明,並無限定意圖,其中各附圖以相同的元件符號代表相仿的元件。應注意本文提及的「一」或「一個」實施例不必然指稱同一實施例,而是指至少一個。
第1圖圖示一處理腔室實施例的截面。
第2A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)。
第2B圖圖示IAD沉積設備的示意圖。
第3圖至第4圖圖示物件(例如蓋及/或噴嘴)的剖面側視圖,物件被一或更多薄膜保護層覆蓋。
第5A圖圖示根據一實施例的腔室蓋透視圖,腔室蓋具有稀土氧化物抗電漿層。
第5B圖圖示根據一實施例的腔室蓋剖面側視圖,腔室蓋具有稀土氧化物抗電漿層。
第5C圖圖示根據一實施例的腔室噴嘴透視圖,腔室噴嘴具有稀土氧化物抗電漿層。
第6圖圖示一製程實施例,用以形成一或更多保護層於蓋或噴嘴上。
第7圖圖示各種材料接觸介電質蝕刻CF4 化學品的腐蝕速率,包括根據所述實施例產生的多個不同IAD塗層的腐蝕速率。
第8圖及第9圖圖示根據本發明實施例形成的薄膜保護層分別受CH4 -Cl2 與CHF3 -NF3 -Cl2 化學品作用的腐蝕速率。
第10圖及第11圖圖示根據本發明實施例形成的薄膜保護層分別受CH4 -Cl2 與CHF3 -NF3 -Cl2 化學品作用的粗糙度輪廓。
第12圖圖示各種材料在低偏壓下接觸CF4 -CHF3 溝槽化學品的腐蝕速率。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
600:製程
605、620、625、630、635:方塊

Claims (19)

  1. 一種用於半導體處理腔室的腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層具有一側壁部分,該側壁部分塗佈由該陶瓷本體所包含的一孔洞之內部的一部分,且該共形保護層之該側壁部分係在該陶瓷本體之至少一表面附近較厚而往該孔洞深處逐漸變薄。
  2. 如請求項1所述之腔室部件,其中該第一平均表面粗糙度係約8至16微吋。
  3. 如請求項1所述之腔室部件,其中該第二平均表面粗糙度係小於10微吋。
  4. 如請求項1所述之腔室部件,其中該共形保護層包含Y3Al5O12
  5. 如請求項1所述之腔室部件,其中該共形保護層包含Y4Al2O9
  6. 如請求項1所述之腔室部件,其中該共形保護層包含Er2O3
  7. 如請求項1所述之腔室部件,其中該共形保護層包含Er3Al5O12
  8. 如請求項1所述之腔室部件,其中該共形保護層包含YF3
  9. 如請求項1所述之腔室部件,其中該共形保護層包含ErAlO3
  10. 如請求項1所述之腔室部件,其中該共形保護層包含一陶瓷化合物,該陶瓷化合物包含Y4Al2O9與一Y2O3-ZrO2固溶體。
  11. 如請求項1所述之腔室部件,其中該共形保護層具有一約0.5微米至約30微米之厚度。
  12. 如請求項1所述之腔室部件,其中該處理腔室係一蝕刻腔室,且其中該部件係一用於該蝕刻腔室之蓋或噴嘴。
  13. 如請求項1所述之腔室部件,其中該腔室部件係一蓋,該蓋進一步包含:一唇緣,該唇緣位於該蓋的一外緣之該至少一表 面上,當該蓋闔上時該唇緣接觸一腔室的諸側壁,其中該共形保護層不覆蓋該唇緣。
  14. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該抗電漿稀土氧化物膜具有一組成分40莫耳%至100莫耳%的Y2O3、大於0至60莫耳%的ZrO2和0至10莫耳%的Al2O3
  15. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少 一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層包含一陶瓷化合物,其包含Y4Al2O9及一Y2O3-ZrO2固溶體,及其中該陶瓷化合物具有一組成分40莫耳%至60莫耳%的Y2O3、30莫耳%至50莫耳%的ZrO2和10莫耳%至20莫耳%的Al2O3
  16. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層包含一陶瓷化合物,其包含Y4Al2O9及一Y2O3-ZrO2固溶體,及其中該陶瓷化合物具有一組成分40莫耳%至50莫耳%的Y2O3、20莫耳%至40莫耳%的ZrO2 和20莫耳%至40莫耳%的Al2O3
  17. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層包含一陶瓷化合物,其包含Y4Al2O9及一Y2O3-ZrO2固溶體,及其中該陶瓷化合物具有一組成分70莫耳%至90莫耳%的Y2O3、大於0至20莫耳%的ZrO2和10莫耳%至20莫耳%的Al2O3
  18. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿 稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層包含一陶瓷化合物,其包含Y4Al2O9及一Y2O3-ZrO2固溶體,及其中該陶瓷化合物具有一組成分60莫耳%至80莫耳%的Y2O3、大於0至10莫耳%的ZrO2和20莫耳%至40莫耳%的Al2O3
  19. 一種用於半導體處理腔室之腔室部件,包含:一陶瓷主體,該陶瓷主體具有至少一表面,該至少一表面具有一第一平均表面粗糙度;及一共形保護層,該共形保護層位於該陶瓷主體的該至少一表面上,其中該共形保護層係一抗電漿稀土氧化物膜,該抗電漿稀土氧化物膜在該至少一表面上具有小於300微米的一實質均勻厚度,並且具有一第二平均表面粗糙度,其中該第二平均表面粗糙度小於該第一平均表面粗糙度,其中該共形保護層包含一陶瓷化合物,其包含Y4Al2O9及一Y2O3-ZrO2固溶體,及其中該陶瓷化合物具有一組成分40莫耳%至60莫耳 %的Y2O3、大於0至20莫耳%的ZrO2和30莫耳%至40莫耳%的Al2O3
TW110141496A 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積 TWI773579B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361856696P 2013-07-20 2013-07-20
US61/856,696 2013-07-20
US14/034,315 2013-09-23
US14/034,315 US9583369B2 (en) 2013-07-20 2013-09-23 Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles

Publications (2)

Publication Number Publication Date
TW202210643A TW202210643A (zh) 2022-03-16
TWI773579B true TWI773579B (zh) 2022-08-01

Family

ID=52342733

Family Applications (5)

Application Number Title Priority Date Filing Date
TW107102339A TWI745534B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW110141496A TWI773579B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW107102340A TWI751269B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW106144567A TWI687996B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW103124797A TWI656572B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107102339A TWI745534B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW107102340A TWI751269B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW106144567A TWI687996B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TW103124797A TWI656572B (zh) 2013-07-20 2014-07-18 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積

Country Status (6)

Country Link
US (5) US9583369B2 (zh)
JP (4) JP6661241B2 (zh)
KR (4) KR102516707B1 (zh)
CN (4) CN109972091A (zh)
TW (5) TWI745534B (zh)
WO (1) WO2015013070A1 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN109841475B (zh) * 2017-11-28 2021-06-08 北京北方华创微电子装备有限公司 预处理方法及晶片处理方法
JP6975972B2 (ja) * 2018-01-19 2021-12-01 学校法人 芝浦工業大学 Yf3成膜体の製造方法
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN112236839A (zh) * 2018-06-14 2021-01-15 应用材料公司 具保护性涂层的处理腔室的处理配件
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN109065479B (zh) * 2018-07-27 2020-06-16 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
EP3990678A4 (en) * 2019-06-25 2023-01-04 Picosun Oy PLASMA IN A SUBSTRATE PROCESSING DEVICE
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
US11842890B2 (en) * 2019-08-16 2023-12-12 Applied Materials, Inc. Methods and apparatus for physical vapor deposition (PVD) dielectric deposition
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR102371936B1 (ko) * 2019-12-04 2022-03-08 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN114277340B (zh) * 2020-09-28 2023-12-29 中微半导体设备(上海)股份有限公司 零部件、耐等离子体涂层的形成方法和等离子体反应装置
US20240158301A1 (en) * 2020-10-15 2024-05-16 Heraeus Conamic North America Llc Multilayer sintered ceramic body and method of making
CN114678248A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 形成涂层的装置和方法、零部件和等离子体装置
JP2022143281A (ja) * 2021-03-17 2022-10-03 キオクシア株式会社 基板処理装置及び基板の処理方法
TW202238998A (zh) * 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202237397A (zh) * 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
US11875973B2 (en) * 2021-07-16 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for preparing void-free coatings for plasma treatment components
WO2024080251A1 (ja) * 2022-10-11 2024-04-18 株式会社シンクロン イットリウム系皮膜及びその製造方法
WO2024101102A1 (ja) * 2022-11-11 2024-05-16 Agc株式会社 部材およびその製造方法
WO2024101367A1 (ja) * 2022-11-11 2024-05-16 Agc株式会社 イットリウム質保護膜およびその製造方法ならびに部材

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323076B1 (en) * 1999-04-21 2001-11-27 Micron Technology, Inc. Integrated circuit having temporary conductive path structure and method for forming the same
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat

Family Cites Families (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
EP0299870B1 (en) 1987-07-13 1994-04-13 Sumitomo Electric Industries Limited Method for preparing a superconducting thin film
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5573862A (en) 1992-04-13 1996-11-12 Alliedsignal Inc. Single crystal oxide turbine blades
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5741544A (en) 1995-08-31 1998-04-21 Olin Corporation Articles using specialized vapor deposition processes
DE69717182T2 (de) * 1996-03-07 2003-07-24 Tadahiro Ohmi Excimerlasergenerator
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
TW392215B (en) 1997-02-19 2000-06-01 Anelva Corp Surface processing apparatus
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
DE69920152T2 (de) * 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6579818B2 (en) 2000-08-28 2003-06-17 Kyocera Corporation Glass ceramic sintered product
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US7563293B2 (en) 2001-08-02 2009-07-21 3M Innovative Properties Company Al2O3-rare earth oxide-ZrO2/HfO2 materials, and methods of making and using the same
JP4532898B2 (ja) 2001-08-02 2010-08-25 スリーエム イノベイティブ プロパティズ カンパニー 研磨粒子およびその製造方法ならびに使用方法
CA2455902A1 (en) 2001-08-02 2003-12-18 Anatoly Z. Rosenflanz Alumina-yttria-zirconium oxide/hafnium oxide materials, and methods of making and using the same
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP3649210B2 (ja) * 2002-06-07 2005-05-18 株式会社日本セラテック 耐食性部材
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
US7560376B2 (en) 2003-03-31 2009-07-14 Tokyo Electron Limited Method for adjoining adjacent coatings on a processing element
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP2005170728A (ja) * 2003-12-10 2005-06-30 Toshiba Ceramics Co Ltd Y2o3焼結体およびその製造方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US8110043B2 (en) 2004-01-08 2012-02-07 University Of Virginia Patent Foundation Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7354663B2 (en) 2004-04-02 2008-04-08 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN101048531A (zh) 2004-07-07 2007-10-03 通用电气公司 基材上的保护涂层及其制备方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060110609A1 (en) 2004-11-19 2006-05-25 Eaton Harry E Protective coatings
JP4513541B2 (ja) * 2004-12-17 2010-07-28 宇部興産株式会社 光変換用セラミック複合体を用いた発光装置
US7959984B2 (en) 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7989095B2 (en) 2004-12-28 2011-08-02 General Electric Company Magnetic layer with nanodispersoids having a bimodal distribution
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
WO2006135043A1 (ja) 2005-06-17 2006-12-21 Tohoku University 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4372748B2 (ja) 2005-12-16 2009-11-25 トーカロ株式会社 半導体製造装置用部材
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP5001862B2 (ja) 2006-01-31 2012-08-15 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP2007223828A (ja) 2006-02-22 2007-09-06 Toshiba Ceramics Co Ltd イットリアセラミックス焼結体およびその製造方法
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
JP4258536B2 (ja) * 2006-08-11 2009-04-30 独立行政法人産業技術総合研究所 結晶化金属酸化物薄膜の製造方法
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
KR20090085049A (ko) 2006-10-06 2009-08-06 아사히 테크 가부시끼가이샤 내식성 부재 및 그의 제조 방법
US7479464B2 (en) * 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) * 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
JP5159204B2 (ja) * 2006-10-31 2013-03-06 株式会社フジミインコーポレーテッド 溶射用粉末、溶射皮膜の形成方法、耐プラズマ性部材、及びプラズマ処理チャンバー
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20100108108A1 (en) 2007-03-22 2010-05-06 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and method for treating surface of substrate mounting table
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI654159B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2009068067A (ja) 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP5047741B2 (ja) 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
CN100522607C (zh) * 2007-09-24 2009-08-05 北京航空航天大学 Al2O3/Al-Si-Cr复合涂层及其制备方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
JP2010070854A (ja) * 2008-08-20 2010-04-02 Kyocera Corp 耐食性部材およびこれを用いた半導体製造装置
CN102187741B (zh) 2008-10-31 2014-08-06 朗姆研究公司 等离子体处理腔室的下电极组件
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
US8262923B2 (en) 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
EP2389342B1 (en) 2009-01-22 2020-11-04 3M Innovative Properties Company Surface-modified zirconia nanoparticles
TWI456679B (zh) 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
RU2441103C2 (ru) * 2009-04-28 2012-01-27 Общество с ограниченной ответственностью "Производственное предприятие "Турбинаспецсервис" Способ получения теплозащитного покрытия
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
EP2292357B1 (en) 2009-08-10 2016-04-06 BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG Ceramic article and methods for producing such article
JP5346256B2 (ja) * 2009-09-02 2013-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) * 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
CA2806172C (en) 2010-07-23 2015-04-28 Rolls-Royce Corporation Thermal barrier coatings including cmas-resistant thermal barrier coating layers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US10720350B2 (en) * 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
JP2012221979A (ja) 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8979087B2 (en) 2011-07-29 2015-03-17 Applied Materials, Inc. Substrate supporting edge ring with coating for improved soak performance
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
TWI625422B (zh) 2011-09-26 2018-06-01 福吉米股份有限公司 含有稀土類元素之熔射用粉末及皮膜、以及具備前述皮膜之構件
WO2013070520A2 (en) 2011-11-03 2013-05-16 Barson Composites Corporation Corrosion-resistant diffusion coatings
JP5665726B2 (ja) 2011-12-14 2015-02-04 株式会社東芝 エッチング装置およびフォーカスリング
ES2702472T3 (es) 2011-12-19 2019-03-01 Praxair St Tech Inc Suspensión acuosa para producir recubrimientos de barrera térmica y medioambiental
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
WO2013176168A1 (ja) 2012-05-22 2013-11-28 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140034242A1 (en) 2012-07-31 2014-02-06 Lam Research Corporation Edge ring assembly for plasma processing chamber and method of manufacture thereof
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
WO2014119177A1 (ja) 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
CN103215535B (zh) * 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
JP5987097B2 (ja) 2015-09-07 2016-09-06 株式会社フジミインコーポレーテッド 溶射皮膜
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323076B1 (en) * 1999-04-21 2001-11-27 Micron Technology, Inc. Integrated circuit having temporary conductive path structure and method for forming the same
US20060037536A1 (en) * 2003-10-24 2006-02-23 Toshiba Ceramics Co., Ltd. Plasma resistant member, manufacturing method for the same and method of forming a thermal spray coat

Also Published As

Publication number Publication date
JP6929397B2 (ja) 2021-09-01
TWI745534B (zh) 2021-11-11
KR20210064432A (ko) 2021-06-02
US10930526B2 (en) 2021-02-23
JP6596060B2 (ja) 2019-10-23
CN105392913B (zh) 2019-05-10
TW201830518A (zh) 2018-08-16
TWI656572B (zh) 2019-04-11
US9812341B2 (en) 2017-11-07
KR102342795B1 (ko) 2021-12-22
US11424136B2 (en) 2022-08-23
KR20170143027A (ko) 2017-12-28
KR102258598B1 (ko) 2021-05-28
JP2020097522A (ja) 2020-06-25
WO2015013070A1 (en) 2015-01-29
US20150021324A1 (en) 2015-01-22
JP2021185267A (ja) 2021-12-09
CN105392913A (zh) 2016-03-09
TWI751269B (zh) 2022-01-01
JP6661241B2 (ja) 2020-03-11
KR102260313B1 (ko) 2021-06-02
US20170133207A1 (en) 2017-05-11
CN110016645A (zh) 2019-07-16
KR20160033700A (ko) 2016-03-28
TW202210643A (zh) 2022-03-16
TW201830555A (zh) 2018-08-16
TW201505092A (zh) 2015-02-01
US20180100228A1 (en) 2018-04-12
TW201826390A (zh) 2018-07-16
KR102516707B1 (ko) 2023-03-30
CN110016645B (zh) 2021-06-18
CN109972091A (zh) 2019-07-05
KR20210157475A (ko) 2021-12-28
JP2018087129A (ja) 2018-06-07
JP2016530192A (ja) 2016-09-29
US20170130319A1 (en) 2017-05-11
US9583369B2 (en) 2017-02-28
CN107964650A (zh) 2018-04-27
US20210118703A1 (en) 2021-04-22
US9869012B2 (en) 2018-01-16
TWI687996B (zh) 2020-03-11

Similar Documents

Publication Publication Date Title
TWI773579B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
US10796888B2 (en) Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
TWI706047B (zh) 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法
TWI795981B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層