TWI706047B - 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法 - Google Patents

具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法 Download PDF

Info

Publication number
TWI706047B
TWI706047B TW106142829A TW106142829A TWI706047B TW I706047 B TWI706047 B TW I706047B TW 106142829 A TW106142829 A TW 106142829A TW 106142829 A TW106142829 A TW 106142829A TW I706047 B TWI706047 B TW I706047B
Authority
TW
Taiwan
Prior art keywords
protective layer
chamber
deposition
ceramic
iad
Prior art date
Application number
TW106142829A
Other languages
English (en)
Other versions
TW201812055A (zh
Inventor
孫語南
卡農哥比拉賈P
菲路茲朵爾維希德
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201812055A publication Critical patent/TW201812055A/zh
Application granted granted Critical
Publication of TWI706047B publication Critical patent/TWI706047B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/14Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by a layer differing constitutionally or physically in different parts, e.g. denser near its faces
    • B32B5/145Variation across the thickness of the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/02Physical, chemical or physicochemical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/046Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with at least one amorphous inorganic material layer, e.g. DLC, a-C:H, a-C:Me, the layer being doped or not
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/048Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/044 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/44Number of layers variable across the laminate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/20Inorganic coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/704Crystalline
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/724Permeability to gases, adsorption
    • B32B2307/7242Non-permeable
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/752Corrosion inhibitor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B33/00Layered products characterised by particular properties or particular surface features, e.g. particular surface coatings; Layered products designed for particular purposes not covered by another single class
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • Y10T428/24339Keyed
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

製造物件的方法包含提供物件,例如用於蝕刻反應器的腔室部件。進行電漿噴塗沉積製程,以在腔室部件的至少一表面上面沉積第一保護層。第一保護層係厚度大於約50微米的抗電漿陶瓷,及具有複數個裂痕與孔隙。接著進行離子輔助沉積(IAD)製程,以在第一保護層上面沉積第二保護層。第二保護層係厚度小於50微米的抗電漿稀土氧化物且孔隙度小於1%。第二保護層密封第一保護層的複數個裂痕與孔隙。

Description

具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製 造方法
本發明的實施例大體係關於具有離子輔助沉積(IAD)沉積的抗電漿薄膜保護層的腔室部件。
在半導體產業中,裝置由一些製造製程製造,以製造尺寸持續縮小的結構。諸如電漿蝕刻和電漿清洗製程等一些製造製程係使基板接觸高速電漿流,藉以蝕刻或清洗基板。電漿具高腐蝕性,並可能腐蝕處理腔室和其他接觸電漿的表面。
用於蝕刻反應器的腔室部件包含:主體;第一保護層,位於主體的至少一表面,第一保護層包含抗電漿陶瓷,其中第一保護層的厚度大於約50微米且包含複數個裂痕與孔隙;及共形第二保護層,第二保護層覆蓋至少一部分的第一保護層,第二保護層包含抗電漿稀土氧化物,其中第二保護層的厚度小於50微米、孔隙度小於1%,並密封第一保護層的複數個裂痕與孔隙。
方法包含:提供物件;進行電漿噴塗製程,以沉積第一保護層至物件的至少一表面上,第一保護層包含抗電漿陶瓷,其中第一保護層的厚度大於約50微米且具有複數個裂痕與孔隙;及進行離子輔助沉積(IAD),以沉積第二保護層至至少一部分的第一保護層上,第二保護層包含抗電漿稀土氧化物,其中第二保護層的厚度小於50微米、孔隙度小於1%,並密封第一保護層的複數個裂痕與孔隙。
方法包含:在沉積腔室中提供物件,物件包含用於蝕刻反應器的腔室部件;使氮自由基或氧自由基之一按流率流入沉積腔室;及使用金屬靶材,進行離子輔助沉積(IAD)或物理氣相沉積(PVD),以沉積第一保護層至物件的至少一表面,第一保護層包含抗電漿陶瓷,其中金屬靶材經蒸鍍或濺射而與氧自由基或氮自由基反應,以原位形成包含抗電漿陶瓷的第一保護層。
本發明的實施例提供物件,例如用於蝕刻反應器的腔室部件,物件的一或更多面電漿表面具有薄膜保護層。保護層的厚度至多為約300微米(mm)且提供抗電漿侵蝕性,以保護物件。保護層可利用離子輔助沉積(IAD)(例如利用電子束IAD(EB-IAD)或離子束濺射IAD(IBS-IAD))或物理氣相沉積(PVD)形成於物件上。薄膜保護層可為Y3 Al5 O12 、Y2 O3 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物或另一稀土氧化物。在一實施例中,IAD或PVD係使用金屬靶材進行,稀土氧化物係原位形成。薄膜保護層可提供較佳抗侵蝕性,從而改善物件的使用壽命,同時降低維護及製造成本。此外,IAD塗層可沉積做為電漿噴塗塗層上面的頂塗層。IAD塗層可密封電漿噴塗塗層的孔隙與裂痕,以有效降低處理氣體與腔室部件反應量和微量金屬污染程度。IAD塗層亦可埋置任何在電漿噴塗塗層上的鬆散微粒,以減少微粒缺陷。
第1圖為半導體處理腔室100的截面圖,處理腔室具有一或更多腔室部件,腔室部件塗覆根據本發明實施例的薄膜保護層。處理腔室100可用於提供腐蝕電漿環境的製程。例如,處理腔室100可為用於電漿蝕刻反應器(亦稱作電漿蝕刻器)、電漿清洗器等的腔室。可包括薄膜保護層的腔室部件實例包括基板支撐組件148、靜電夾盤(ESC)150、環(例如處理套環或單環)、腔室壁、基底、氣體分配板、噴淋頭、腔室襯層、襯層套組、屏蔽、電漿屏、冷卻基底、腔室觀察孔、腔室蓋104、噴嘴、流量均衡器(FEQ)等。在一特定實施例中,保護層施用於腔室蓋104及/或腔室噴嘴132上面。
薄膜保護層係由離子輔助沉積(IAD)或物理氣相沉積(PVD)沉積的稀土氧化物層,此將詳述於後。薄膜保護層可包括Y2 O3 與Y2 O3 系稀土氧化物複合物、Er2 O3 與Er2 O3 系稀土氧化物複合物、Gd2 O3 與Gd2 O3 系稀土氧化物複合物、Nd2 O3 與Nd2 O3 系陶瓷、Er系稀土氧化物複合物、Ga系稀土氧化物複合物或AlN。在不同實施例中,薄膜保護層可由Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Er3 Al5 O12 (EAG)、Gd3 Al5 O12 (GAG)、YAlO3 (YAP)、Er4 Al2 O9 (EAM)、ErAlO3 (EAP)、Gd4 Al2 O9 (GdAM)、GdAlO3 (GdAP)、Nd3 Al5 O12 (NdAG)、Nd4 Al2 O9 (NdAM)、NdAlO3 (NdAP)及/或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物組成。薄膜保護層亦可為Er-Y組成物(例如Er 80重量%、Y 20重量%)、Er-Al-Y組成物(例如Er 70重量%、Al 10重量%、Y 20重量%)、Er-Y-Zr組成物(例如Er 70重量%、Y 20重量%、Zr 10重量%)或Er-Al組成物(例如Er 80重量%、Al 20重量%)。注意重量%意指重量百分比。反之,莫耳%為莫耳比率。
薄膜保護層亦可以上述任一陶瓷形成的固溶液為基料。參照包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物,在一實施例中,陶瓷化合物包括62.93莫耳比率(莫耳%)的Y2 O3 、23.23莫耳%的ZrO2 和13.94莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括50-75莫耳%的Y2 O3 、10-30莫耳%的ZrO2 和10-30莫耳%的Al2 O3 。在又一實施例中,陶瓷化合物包括40-100莫耳%的Y2 O3 、0-60莫耳%的ZrO2 和0-10莫耳%的Al2 O3 。在再一實施例中,陶瓷化合物包括40-60莫耳%的Y2 O3 、30-50莫耳%的ZrO2 和10-20莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括40-50莫耳%的Y2 O3 、20-40莫耳%的ZrO2 和20-40莫耳%的Al2 O3 。在又一實施例中,陶瓷化合物包括70-90莫耳%的Y2 O3 、0-20莫耳%的ZrO2 和10-20莫耳%的Al2 O3 。在再一實施例中,陶瓷化合物包括60-80莫耳%的Y2 O3 、0-10莫耳%的ZrO2 和20-40莫耳%的Al2 O3 。在另一實施例中,陶瓷化合物包括40-60莫耳%的Y2 O3 、0-20莫耳%的ZrO2 和30-40莫耳%的Al2 O3 。在其他實施例中,其他分布亦可用於陶瓷化合物。
在一實施例中,包括Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 和SiO2 組合物的替代陶瓷化合物用於保護層。在一實施例中,替代陶瓷化合物包括40-45莫耳%的Y2 O3 、0-10莫耳%的ZrO2 、35-40莫耳%的Er2 O3 、5-10莫耳%的Gd2 O3 和5-15莫耳%的SiO2 。在第一實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、5莫耳%的Gd2 O3 和15莫耳%的SiO2 。在第二實例中,替代陶瓷化合物包括45莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、10莫耳%的Gd2 O3 和5莫耳%的SiO2 。在第三實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、40莫耳%的Er2 O3 、7莫耳%的Gd2 O3 和8莫耳%的SiO2
在一實施例中,包括Y2 O3 、ZrO2 、Er2 O3 和Al2 O3 組合物的替代陶瓷化合物用於保護層。在一實施例中,替代陶瓷化合物包括25莫耳%的Y2 O3 、25莫耳%的ZrO2 、25莫耳%的Er2 O3 和25莫耳%的Al2 O3
在一實施例中,包括Y2 O3 、Gd2 O3 和Al2 O3 組合物的替代陶瓷化合物用於保護層。替代陶瓷化合物可包括6.9-22.1莫耳%的Y2 O3 、14.1-44.9莫耳%的Gd2 O3 和33.0-79莫耳%的Al2 O3 。在一實施例中,替代陶瓷化合物包括22.1莫耳%的Y2 O3 、44.9莫耳%的Gd2 O3 和33.0莫耳%的Al2 O3 。在另一實施例中,替代陶瓷化合物包括16.5莫耳%的Y2 O3 、33.5莫耳%的Gd2 O3 和50.0莫耳%的Al2 O3 。在又一實施例中,替代陶瓷化合物包括12.5莫耳%的Y2 O3 、25.5莫耳%的Gd2 O3 和62.0莫耳%的Al2 O3 。在再一實施例中,替代陶瓷化合物包括6.9莫耳%的Y2 O3 、14.1莫耳%的Gd2 O3 和79.0莫耳%的Al2 O3
上述任一薄膜保護層可包括微量的其他材料,例如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物。
薄膜保護層可為施用於不同陶瓷物件上面的IAD塗層,包括氧化物系陶瓷、氮化物系陶瓷和碳化物系陶瓷。氧化物系陶瓷實例包括SiO2 (石英)、Al2 O3 、Y2 O3 等。碳化物系陶瓷實例包括SiC、Si-SiC等。氮化物系陶瓷實例包括AlN、SiN等。薄膜保護層亦可為施用於電漿噴塗保護層上面的IAD塗層。電漿噴塗保護層可為Y3 Al5 O12 、Y2 O3 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物或另一陶瓷。
如所述,根據一實施例,蓋130和噴嘴132各自具有薄膜保護層133、134。然應理解諸如上列的任何其他腔室部件亦可包括薄膜保護層。例如,處理腔室100的內部襯層及/或外部襯層可包括薄膜保護層。
在一實施例中,處理腔室100包括腔室主體102和蓋130,用以圍住內部體積106。蓋130的中心具有孔洞,噴嘴132則插入孔洞內。腔室主體102可由鋁、不鏽鋼或其他適合材料製成。腔室主體102通常包括側壁108和底部110。任一蓋130、噴嘴132、側壁108及/或底部110可包括電漿噴塗保護層及/或薄膜保護層,薄膜保護層當作電漿噴塗保護層上面的頂塗層。
外部襯層116可設置鄰接側壁108,以保護腔室主體102。外部襯層116可包括電漿噴塗保護層及/或薄膜保護層。在一實施例中,外部襯層116由氧化鋁製成。在一實施例中,外部襯層116由具電漿噴塗Y2 O3 保護層的鋁合金(例如6061鋁)製成。薄膜保護層可當作外部襯層上的Y2 O3 保護層上面的頂塗層。
排氣口126可定義於腔室主體102中,及耦接內部體積106與泵系統128。泵系統128可包括一或更多泵和節流閥,用以排空及調節處理腔室100的內部體積106內的壓力。
蓋130可支撐在腔室主體102的側壁108上。蓋130可打開供進入處理腔室100的內部體積106,並於關閉時密封處理腔室100。氣體面板158可耦接至處理腔室100,以經由噴嘴132提供處理及/或清洗氣體至內部體積106。蓋130可為陶瓷,例如Al2 O3 、Y2 O3 、YAG、SiO2 、AlN、SiN、SiC、Si-SiC或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物。噴嘴132亦可為陶瓷,例如所述任一蓋用陶瓷。蓋130及/或噴嘴132可分別塗覆薄膜保護層133、134。
可用於在處理腔室100中處理基板的處理氣體實例包括含鹵素氣體,例如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 與SiF4 等和其他氣體,例如O2 或N2 O。載氣的實例包括N2 、He、Ar和不與處理氣體作用的其他氣體(例如不反應氣體)。基板支撐組件148設在處理腔室100的內部體積106中的蓋130下方。處理期間,基板支撐組件148托住基板144。環146(例如單環)可覆蓋一部分的靜電夾盤150,及避免覆蓋部分在處理期間接觸電漿。在一實施例中,環146可為矽或石英。
內部襯層118可塗覆於基板支撐組件148周圍。內部襯層118可為耐含鹵素氣體的材料,例如上述外部襯層116所述者。在一實施例中,內部襯層118由和外部襯層116一樣的材料製成。此外,內部襯層118可塗覆電漿噴塗保護層及/或IAD沉積的薄膜保護層。
在一實施例中,基板支撐組件148包括支撐基座152的裝設板162和靜電夾盤150。靜電夾盤150進一步包括導熱基底164和靜電定位盤166,黏著劑138接合靜電定位盤與導熱基底,在一實施例中,黏著劑為矽酮黏著劑。裝設板162耦接至腔室主體102的底部110,且包括通道供設施(例如流體、電源線、感測器引線等)通往導熱基底164和靜電定位盤166。
導熱基底164及/或靜電定位盤166可包括一或更多選擇性埋置加熱元件176、埋置隔熱器174及/或導管168、170,以控制支撐組件148的側向溫度輪廓。導管168、170可流體耦接至流體源172,使溫度調節流體循環通過導管168、170。在一實施例中,埋置隔熱器174設在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170和加熱器176可用於控制導熱基底164的溫度,進而加熱及/或冷卻靜電定位盤166和待處理基板(例如晶圓)144。可利用複數個溫度感測器190、192,監測靜電定位盤166和導熱基底164的溫度,溫度感測器由控制器195監控。
靜電定位盤166可進一步包括多個氣體通道,例如溝槽、臺面和其他表面特徵結構,氣體通道可形成於定位盤166的上表面。氣體通道可經由定位盤166中的鑽孔流體耦接至熱傳(或背側)氣源,例如氦(He)。操作時,可以控制壓力提供背側氣體至氣體通道,以加強靜電定位盤166與基板144間的熱傳。
靜電定位盤166包括至少一夾持電極180,夾持電極受控於夾持電源182。電極180(或設於定位盤166或基底164的其他電極)可經由匹配電路188進一步耦接至一或更多射頻(RF)電源184、186,以維持處理腔室100內由處理及/或其他氣體形成的電漿。電源184、186通常能產生頻率約50千赫至約3京赫、功率高達約10000瓦的RF訊號。
第2A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)和PVD。一些實施例係參照IAD說明。然應理解替代實施例亦可配合PVD沉積技術使用。示例性IAD方法包括併入離子轟擊的沉積製程,例如蒸鍍(例如活化反應蒸鍍(ARE)或電子束離子輔助沉積(EB-IAD)),及在存有離子轟擊的情況下濺射(例如離子束濺射離子輔助沉積(IBS-IAD)),以形成所述抗電漿塗層。EB-IAD可藉由蒸鍍進行。IBS-IAD可藉由濺射固態靶材材料進行。
如所示,在存有諸如離子(例如氧離子或氮離子)的高能粒子203下堆積沉積材料202,以形成薄膜保護層215。物件210A、210B可為金屬(例如鋁合金、不銹鋼等)、陶瓷(例如Al2 O3 、Y2 O3 、AlN、SiO2 等)或聚合物系材料。物件210A、210B可已具電漿噴塗塗層,例如Y2 O3 塗層於至少一表面上。可進行IAD或PVD製程,以提供頂塗層至電漿噴塗塗層上面。
沉積材料202可包括原子、離子、自由基等。形成時,高能粒子203會撞擊及壓實薄膜保護層215。可在存有反應氣體物種下,例如O2 、N2 、鹵素等,進行任何IAD或PVD法。反應物種可在沉積之前及/或期間燒掉表面有機污染物。
在一實施例中,EB-IAD用於形成薄膜保護層215。在另一實施例中,IBS-IAD用於形成薄膜保護層215。或者,PVD用於形成薄膜保護層215。第2B圖圖示IAD沉積設備的示意圖。如所示,材料源250提供流動沉積材料202,高能粒子源255提供流動高能粒子203,在整個IAD製程過程中,二者均撞擊物件210、210A、210B。高能粒子源255可為氧、氮或其他離子源。高能粒子源255亦可提供其他類型的高能粒子,例如惰性自由基、中子原子和奈米級微粒,奈米級微粒出自微粒產生源(例如出自電漿、反應氣體或出自提供沉積材料的材料源)。
IAD塗佈靶材材料可為煅燒粉末、預形團塊(例如由生坯沖壓、熱壓等形成)、燒結體(例如密度為50%-100%)或機器加工體(例如為陶瓷、金屬或金屬合金)。用於提供沉積材料的材料源(例如靶材主體)為對應和組成薄膜保護層215一樣的陶瓷。在一實施例中,材料源為對應和組成薄膜保護層215一樣的大塊燒結陶瓷。例如,材料源可為大塊燒結陶瓷化合物坯體或大塊燒結YAG、Er2 O3 、Gd2 O3 、Er3 Al5 O12 或Gd3 Al5 O12 或其他所述陶瓷。其他靶材材料亦可使用,例如粉末、煅燒粉末、預形材料(例如由生坯沖壓或熱壓形成)或機器加工體(例如融合材料)。沉積期間,所有不同類型的材料源250會熔成熔化材料源。然不同類型的起始材料需要不同的熔化時間。融合材料及/或機器加工體最快熔化。預形材料熔得比融合材料慢,煅燒粉末熔得比預形材料慢,標準粉末又熔得比煅燒粉末慢。
在另一實施例中,用於提供沉積材料的材料源(例如靶材主體)為金屬靶材。使用金屬靶材代替陶瓷靶材通常可提高IAD或PVD沉積層的沉積速率。金屬靶材材料可蒸鍍或濺射並與一或更多氣體原位反應形成陶瓷層。在一實施例中,IAD沉積期間,氧或氮自由基流入沉積腔室。蒸鍍或濺射金屬與氧或氮自由基反應形成氧化物或氮化物陶瓷層。例如,釔金屬靶材可蒸鍍或濺射並與氧自由基反應形成Y2 O3 IAD沉積層。在另一實例中,鋁金屬靶材經蒸鍍或濺射並與氮自由基反應形成AlN IAD沉積層。其他可用作靶材的示例性稀土金屬包括鋁、鉺和釓。
為形成錯合氧化物組成,各種金屬合金可做為靶材材料。可用於沉積抗電漿稀土氧化物層的一些示例性金屬合金包括釔鋯合金、釔鋯鋁合金、鉺鋁合金、釓鋁合金、釔鉺鋯鋁合金、釔鉺鋯釓矽合金和釔釓鋁合金。
氧或氮自由基的流率可調整以控制形成薄膜保護層215的氧含量或氮含量。在一實施例中,先採用低氧或氮自由基流率來沉積具低氧或氮濃度的金屬型塗層。此可減小或消除薄膜保護層215與物件210的物理性質差異引起的任何失配應力。氧或氮自由基之流率可隨沉積製程的繼續而逐漸增加。例如在沉積製程期間,可線性、指數或對數增加流率。薄膜保護層215的頂部則可具高氧或氮濃度且可為氧化物或氮化物。例如,可從在由鋁金屬合金製成的基板上面蒸鍍Al金屬開始著手沉積。沉積1 mm、本質為鋁且具最低氧濃度的塗層後,可提高腔室內的氧自由基濃度,以再沉積1 mm、具較高氧濃度的Al,腔室內的氧自由基濃度可進一步提高,使塗層的其餘部分為Al2 O3 。離子輔助亦可包括中性離子(例如Ar)。若材料於蒸鍍及沉積期間失去氧,則可分供氧至腔室內來補償氧不足。
IAD可採用一或更多電漿或射束(例如電子束),以提供材料和高能離子源。沉積抗電漿塗層期間,亦可提供反應物種。在一實施例中,高能粒子203包括至少一不反應物種(例如Ar)或反應物種(例如O或N)。例如,在IAD沉積期間,氧離子或氮離子可用於轟擊物件210。氧或氮離子另可與蒸鍍或濺射金屬原位反應。氧或氮離子轟擊可代替或結合流入氧或氮自由基至處理腔室內而與蒸鍍或濺射金屬原位反應。
在進一步實施例中,亦可於形成抗電漿塗層期間引入諸如CO與鹵素(Cl、F、Br等)的反應物種,以進一步提高選擇性移除最微弱接合薄膜保護層215的沉積材料的傾向。
利用IAD製程,可獨立於其他沉積參數,由高能離子(或其他粒子)源255個別控制高能粒子203。能量(例如速度)、密度和高能離子通量的入射角可調整以控制薄膜保護層的組成、結構、結晶定向和晶粒大小。可調整的附加參數為沉積時的物件溫度和沉積時間。
離子輔助能量用於密化塗層及加速材料沉積至基板表面。可利用離子源的電壓和電流改變離子輔助能量。電壓和電流可調整以達成高與低塗層密度、操縱塗層應力和塗層結晶度。離子輔助能量可為約50-500伏特(V)和約1-50安培(A)。離子輔助能量亦可用於意圖改變塗層的化學計量。例如,金屬靶材可於沉積期間使用並轉化成金屬氧化物。
可利用加熱器加熱沉積腔室及/或基板及調整沉積速率,以控制塗佈溫度。在一實施例中,沉積前,加熱IAD沉積腔室(和內含物件)達160℃或以上的起始溫度。在一實施例中,起始溫度為160℃至500℃。在一實施例中,起始溫度為200℃至270℃。沉積期間,腔室和物件的溫度可維持在起始溫度。在一實施例中,IAD腔室包括加熱燈,用以進行加熱。在替代實施例中,不加熱IAD腔室和物件。若不加熱腔室,則IAD製程自然會使溫度升高至約160℃。沉積時為高溫可增加保護層的密度,但也會提高保護層的機械應力。主動冷卻可增設至腔室,使塗佈期間維持低溫。在一實施例中,低溫維持在160℃或以下、低至0℃的任何溫度。在一實施例中,冷卻物件,使沉積時的溫度維持在150℃或以下。物件可維持在150℃或以下,以防止電漿噴塗保護層於IAD沉積期間自物件剝落。沉積溫度可用於調整膜應力、結晶度和其他塗層性質。
可調整的附加參數為工作距離270和入射角272。工作距離270係材料源250與物件210A、210B間的距離。在一實施例中,工作距離270為0.2至2.0公尺,在一特定實施例中,工作距離為1.0公尺或以下。縮短工作距離可加快沉積速率及提高離子能功效。然工作距離縮短到特定點以下會降低保護層的均勻度。可改變工作距離,使塗層具有最佳均勻度。此外,工作距離會影響沉積速率和塗層密度。在一實施例中,工作距離採取1.0公尺以下,以在薄膜保護層的不均勻度至多達5%-10%的情況下提供高沉積速率。
入射角係沉積材料202著擊物件210A、210B的角度。可藉由改變基板的位置及/或定向,以改變入射角。在一實施例中,入射角為10-90度,在一特定實施例中,入射角為30度。藉由最佳化入射角,可達成三維幾何形狀均勻的塗層。
IAD塗層可在粗糙度約0.5微吋(min)至約180 min的大範圍表面條件下施用。然平滑表面有助於均勻塗佈覆蓋。塗層厚度可高達約1000微米(mm)。製造時,可故意在塗層堆疊底部添加稀土氧化物系著色劑,例如Nd2 O3 、Sm2 O3 、Er2 O3 等,以估計部件上的塗層厚度。亦可使用橢圓儀準確測量厚度。
視用於形成塗層的稀土氧化物複合物而定,IAD塗層可為無定形或結晶。例如,EAG和YAG係無定形塗層,Er2 O3 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物通常為結晶。無定形塗層的共形性較佳且可減少晶格失配引起的磊晶裂痕,而結晶塗層的抗侵蝕性較佳。
塗層構造可為雙層或多層結構。在雙層構造中,無定形層可沉積做為緩衝層,以最小化磊晶裂痕,然後頂部為結晶層,結晶層可抗侵蝕。在多層設計中,層材料可用於從基板到頂層產生平穩的熱梯度。
利用多個電子束(e-射束)槍來共沉積多個靶材可達到形成厚塗層與層狀構造的目的。例如,可同時使用兩個具相同材料類型的靶材。各靶材可用不同的電子束槍轟擊。此可增加沉積速率和保護層厚度。在另一實例中,二靶材可為不同的陶瓷材料或不同的金屬材料。第一電子束槍轟擊第一靶材,以沉積第一保護層,第二電子束槍隨後轟擊第二靶材,以形成材料組成不同於第一保護層的第二保護層。或者,二電子束槍可同時轟擊二靶材,以形成錯合陶瓷化合物。故兩個不同的金屬靶材可取代單一金屬合金來形成錯合陶瓷化合物。
後塗佈熱處理可用於改善塗層性質。例如,可用於將無定形塗層轉化成抗侵蝕性更佳的結晶塗層。另一實例為藉由形成反應區或過渡層而改善塗層與基板接合強度。
在一實施例中,在IAD腔室中,平行處理物件。例如,在一實施例中,可平行處理多達五個蓋及/或噴嘴。各物件可由不同固定件支撐。或者,單一固定件可配置以支托多個物件。固定件可於沉積期間移動支撐物件。
在一實施例中,支托物件(例如腔室襯層)的固定件可設計由金屬組分(例如冷軋鋼)或陶瓷(例如Al2 O3 、Y2 O3 等)製成。固定件可用於把腔室襯層支撐在材料源與電子束槍上方或下方。固定件可具夾持能力,以更安全、容易搬運及在塗佈期間夾持蓋及/或噴嘴。又,固定件可具特徵結構來定向或對準腔室襯層。在一實施例中,固定件可重定位及/或繞著一或更多軸旋轉,以改變支撐腔室襯層朝源材料的定向。固定件亦可在沉積之前及/或期間重定位,以改變工作距離及/或入射角。固定件可具有冷卻或加熱流道,以控制塗佈期間的物件溫度。重定位及轉動腔室襯層的能力可最大化塗佈覆蓋3D表面的範圍,例如孔洞,此係因為IAD係視線過程。
Figure 106142829-A0304-0001
表1:IAD沉積YAG、Er2 O3 、EAG和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物的材料性質
表1顯示92% Al2 O3 (氧化鋁)基板和各種塗覆92% Al2 O3 基板的IAD薄膜保護層的材料性質。在表中,「C」代表結晶結構,「A」代表無定形結構。如所示,氧化鋁基板的崩潰電壓為363伏特/密耳(V/mil)。反之,5微米(mm)、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的IAD沉積陶瓷化合物塗層的崩潰電壓為427 V(遠高於氧化鋁的正規化值:363伏特/密耳)。5 mm IAD沉積YAG塗層的崩潰電壓為1223 V。5 mm IAD沉積Er2 O3 塗層的崩潰電壓為527 V。5 mm IAD沉積EAG塗層的崩潰電壓為900 V。5 mm的IAD沉積Y2 O3 塗層的崩潰電壓為1032 V。5 mm的IAD沉積YZ20塗層的崩潰電壓為423 V。
氧化鋁在室溫下的體積電阻率為約0.01×1016 (0.01E16)歐姆∙公分(Ω∙cm)。陶瓷化合物薄膜保護層在室溫下的體積電阻率為約4.1E16 Ω∙cm,YAG薄膜保護層在室溫下的體積電阻率為約11.3E16 Ω∙cm。
氧化鋁的介電常數為約9.2,陶瓷化合物薄膜的介電常數為約9.83,YAG薄膜的介電常數為約9.76,Er2 O3 薄膜的介電常數為約9.67,EAG薄膜的介電常數為約9.54。氧化鋁的損失正切為約5E-4,陶瓷化合物薄膜的損失正切為約4E-4,YAG薄膜的損失正切為約4E-4,Er2 O3 薄膜的損失正切為約4E-4,EAG薄膜的損失正切為約4E-4。92%氧化鋁的熱導率為約18 W/m-K。5 mm陶瓷化合物薄膜塗層堆疊在92%氧化鋁上面的熱導率為約19.9 W/m-K。5 mm YAG薄膜塗層堆疊在92%氧化鋁上面的熱導率為約20.1 W/m-K。5 mm Er2 O3 薄膜塗層堆疊在92%氧化鋁上面的熱導率為約19.4 W/m-K。5 mm EAG薄膜塗層堆疊在92%氧化鋁上面的熱導率為約19.2 W/m-K。
在一實施例中,氧化鋁基板的起始粗糙度為約8-16微吋,所有薄膜保護層的起始粗糙度大致不變。在一實例中,具包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物電漿噴塗塗層的物件有較高的表面粗糙度。電漿噴塗塗層表面的算術平均波紋度(Wa)為211微吋(min),標準差(STDEV)為43,算術平均粗糙度(Ra)為230 min,STDEV為14,平均長度(RSm)為272 mm,STDEV為69,標準高度(Rc)為19 mm,STDEV為5,表面積為1726330 mm2 ,STDEV為37336。沉積5 mm厚、包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物薄膜保護層後,各粗糙度度量指標將減小。在提供實例中,Wa減為187微吋,STDEV為35,Ra減為191微吋,STDEV為30,RSm減為178微米,STDEV為34,Rc減為17微米,STDEV為3.7,表面積減為1695045 mm2 ,STDEV為258900。Wa測量波紋度不規則性的平均絕對偏差。Ra測量粗糙度不規則性的平均絕對偏差。Sa測量曲面的表面積。Rc測量曲面元件高度的平均值。RSm測量曲面元件長度的平均值。
就陶瓷化合物薄膜而言,薄膜保護層附著氧化鋁基板的強度為大於28兆帕(MPa),就YAG薄膜而言為大於32 MPa。藉由測量用以分離薄膜保護層與基板的力量,可測定附著強度。厄米性測量使用薄膜保護層所達成的密封能力。如所示,使用氧化鋁所達成的He洩漏率為約1E-6立方公分/秒(cm3 /s),使用陶瓷化合物所達成的He洩漏率為約1.2E-9,使用YAG所達成的He洩漏率為約4.4E-10,使用Er2 O3 所達成的He洩漏率為約5.5E-9,使用YZ20所達成的He洩漏率為約1.6E-7,使用EAG所達成的He洩漏率為約9.5E-10。低He洩漏率表示密封改善。各示例性薄膜保護層的He洩漏率比典型的Al2 O3 低。
Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物各具高硬度,故於電漿處理期間可耐磨耗。如所示,氧化鋁的維氏硬度(5公斤)為約12.14京帕(GPa),陶瓷化合物的硬度為約7.825 GPa,YAG的硬度為約8.5 GPa,Er2 O3 的硬度為約5.009 GPa,YZ20的硬度為約5.98 GPa,EAG的硬度為約9.057 GPa。氧化鋁的測量磨耗率為約0.2奈米/射頻小時(nm/RFhr),陶瓷化合物的磨耗率為約0.14 nm/RFhr,Er2 O3 的磨耗率為約0.113 nm/RFhr,EAG的磨耗率為約0.176 nm/RFhr。
注意在一些實施例中,Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和陶瓷化合物可改質使上述材料性質和特性變化高達30%。故所述該等材料性質數值應視為示例性可達成數值,所述陶瓷薄膜保護層不應解釋成限定於提供數值。
第3A圖至第4C圖圖示物件(例如腔室部件)的剖面側視圖,物件被一或更多薄膜保護層覆蓋。參照第3A圖,物件300的至少部分基底或主體305塗覆薄膜保護層308。物件300可為腔室部件,例如基板支撐組件、靜電夾盤(ESC)、環(例如處理套環或單環)、腔室壁、基底、氣體分配板或噴淋頭、腔室襯層、襯層套組、屏蔽、電漿屏、流量均衡器、冷卻基底、腔室觀察孔、腔室蓋等。物件300的主體305可為金屬、陶瓷、金屬-陶瓷複合物、聚合物或聚合物-陶瓷複合物。
各種腔室部件可由不同材料組成。例如,靜電夾盤可由陶瓷組成,例如Al2 O3 (氧化鋁)、AlN(氮化鋁)、TiO(氧化鈦)、TiN(氮化鈦)或接合至陽極處理鋁基底的SiC(碳化矽)。Al2 O3 、AlN和陽極處理鋁的抗電漿侵蝕性很差。當接觸具氟化學品及/或還原化學品的電漿環境時,靜電夾盤的靜電定位盤將呈現較差的晶圓夾持力,導致在處理約50射頻小時(RFHr)後,增加He洩漏率、晶圓前側與背側微粒產生及晶圓上金屬污染。一射頻小時係處理一小時。
導體蝕刻製程用電漿蝕刻器的蓋子可為燒結陶瓷,例如Al2 O3 ,因為Al2 O3 具有高抗彎強度和高熱導率。然Al2 O3 接觸氟化學品會在晶圓上形成AlF微粒和鋁金屬污染。一些腔室蓋在面電漿側具有厚膜保護層,以減少微粒產生和金屬污染,及延長蓋壽命。然厚膜塗層大多具有內在裂痕和孔隙,以致降低晶圓上缺陷性能。
處理套環和單環用於密封及/或保護其他腔室部件,且通常由石英或矽製成。該等環可設在支撐基板(例如晶圓)周圍,以確保均勻的電漿密度(及均勻地蝕刻)。然石英和矽在各種蝕刻化學品(例如電漿蝕刻化學品)作用下有很高的侵蝕率。此外,接觸電漿化學品時,環會造成微粒污染。處理套環和單環亦可由燒結陶瓷(例如YAG)及/或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物組成。
進行介電質蝕刻製程用蝕刻器的噴淋頭通常由接合至SiC面板的陽極處理鋁製成。當噴淋頭接觸包括氟的電漿化學品時,因電漿與陽極處理鋁基底相互作用而形成AlF。此外,陽極處理鋁基底的高侵蝕率會引起發弧,最後將縮短清洗噴淋頭的平均時間。
腔室觀察孔(亦稱作終點窗口)係透明部件,此通常由石英或藍寶石製成。各種光學感測器可受觀察孔保護,且經由觀察孔獲得光學感測器讀值。此外,觀察孔能讓使用者於處理期間目視檢查或觀察晶圓。石英和藍寶石的抗電漿侵蝕性均不佳。當電漿化學品侵蝕致使觀察孔變粗糙時,觀察孔的光學性質將改變。例如,觀察孔可能變模糊及/或通過觀察孔的光學訊號可能變歪斜。此會損害光學感測器收集準確讀值的能力。然厚膜保護層不適合用於觀察孔,因為該等塗層會堵塞觀察孔。
腔室襯層傳統上由鋁合金(例如6061鋁)製成且具電漿噴塗釔系塗層以防止侵蝕及腐蝕。電漿噴塗塗層係具大量裂痕、孔隙和鬆散微粒的粗糙多孔塗層。處理氣體可經由裂痕和孔洞穿透電漿噴塗塗層而與鋁合金反應。此會在腔室內部造成金屬污染。此外,處理期間,多孔電漿噴塗塗層會吸收處理氣體。吸收處理氣體可能在製程開始時發生,故會減少處理最早幾個晶圓的可用處理氣體量。此影響稱作「最早晶圓影響」。藉由在電漿噴塗塗層上面施用薄膜保護層頂塗層,可最小化或消除最早晶圓影響。
以上實例只提及幾個腔室部件,腔室部件的性能可利用所述實施例提及的薄膜保護層改善。
回溯第3A圖,物件300的主體305可包括一或更多表面特徵結構,例如第3A圖所示臺面。就靜電夾盤而言,表面特徵結構可包括臺面、密封帶、氣體流道、氦氣孔等。就噴淋頭而言,表面特徵結構可包括接合線、數百或數千個氣體分配孔、氣體分配孔周圍的凹部或凸塊等。其他腔室部件可具有其他表面特徵結構。
形成於主體305上的薄膜保護層308可共形順應主體305的表面特徵結構。如所示,薄膜保護層308保持相對主體305上表面的形狀(例如浮印臺面形狀)。此外,薄膜塗層宜夠薄,而不致堵塞噴淋頭的孔洞或靜電夾盤的He孔。在一實施例中,薄膜保護層308的厚度小於約1000微米。在一實施例中,薄膜保護層308的厚度小於約50微米。在進一步實施例中,薄膜保護層的厚度小於約20微米。在進一步實施例中,薄膜保護層的厚度為約0.5微米至約7微米。
薄膜保護層308係沉積陶瓷層,此可利用離子輔助沉積(IAD)製程或物理氣相沉積(PVD)製程來形成於物件300的主體305上。IAD或PVD沉積薄膜保護層308具有較小的膜應力(例如相較於電漿噴塗或濺射引起的膜應力)。較小膜應力可使主體305的下表面非常平坦,就直徑12吋的主體而言,整個主體的曲率小於約50微米。此外,IAD或PVD沉積薄膜保護層308可具有小於1%的孔隙度,在一些實施例中為小於約0.1%。因此,IAD或PVD沉積保護層為緻密結構,此在應用到腔室部件方面具有性能優勢。此外,IAD或PVD沉積保護層308可在不先粗糙化主體305上表面或進行其他耗時表面製備步驟的情況下沉積。由於粗糙化主體會降低主體305的崩潰電壓,故能在不先粗糙化主體305的情況下施用薄膜保護層308有益於一些應用(例如用於靜電夾盤)。
第3B圖圖示根據一實施例,具有主體355的物件350的剖面側視圖,主體被薄膜保護層358覆蓋。如所示,主體355可無特徵結構。在一實施例中,在沉積薄膜保護層358前,研磨主體355。特徵結構不在主體355,而是特徵結構形成於薄膜保護層358中。例如,可遮蔽薄膜保護層358,接著蝕刻或珠擊移除薄膜保護層358的未遮蔽部分。特徵結構亦可藉由遮蔽基板、接著施用薄塗層而形成。形成的特徵結構可包括臺面、流道、密封環、露出接合線(例如噴淋頭的接合線)等。此外,可於薄膜保護層中鑽孔,例如利用雷射鑽孔。若特徵結構形成於薄膜保護層358,則薄膜保護層的厚度最好夠厚,以容納特徵結構。例如,若12 mm的臺面形成於薄膜保護層,則薄膜保護層358的厚度應大於12 mm。在其他實施例中,一些特徵結構可形成於主體355,其他特徵結構可形成於薄膜保護層358。
第4A圖圖示根據一實施例,具有厚保護層410與薄膜保護層415的物件400的剖面側視圖,保護層塗覆主體405的至少一表面。厚保護層410可為Y3 Al5 O12 、Y4 Al2 O9 或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物。其他抗電漿陶瓷亦可用於厚保護層410。
厚保護層410可為厚膜保護層,厚膜保護層經熱噴塗(例如電漿噴塗)於主體405上。可在電漿噴塗厚膜保護層於上前,粗糙化主體405的上表面。粗糙化例如可利用珠擊主體405進行。粗糙化主體的上表面可提供定錨點,以於電漿噴塗厚膜保護層與主體405間產生機械接合而有更好的附著性。在一些實施例中,厚膜保護層的噴塗厚度可高達約200微米或更厚,且最終厚度可磨成約50微米。電漿噴塗厚膜保護層的孔隙度可為約2%-4%。
或者,厚保護層410可為接合至主體405的大塊燒結陶瓷。厚保護層410例如可提供做為厚度約200微米的薄陶瓷晶圓。
可利用IAD或PVD,於厚保護層410上面施用薄膜保護層415。薄膜保護層415可當作頂塗層並做為抗侵蝕阻障層,及密封厚保護層410的露出表面(例如密封厚保護層410的內在表面裂痕和孔隙)。
第4B圖圖示根據一實施例,具有薄膜保護層堆疊438的物件420的剖面側視圖,薄膜保護層堆疊沉積在物件420的主體425上面。薄膜保護層堆疊438中的薄膜保護層430、435各自可為上述陶瓷材料。在一實施例中,二相鄰薄膜保護層不使用相同的陶瓷材料。然在另一實施例中,相鄰層由相同的陶瓷組成。
第4C圖圖示根據另一實施例,具有厚保護層450和薄膜保護層堆疊470的物件440的剖面側視圖,薄膜保護層堆疊沉積在厚保護層450上面。
薄膜保護層堆疊(例如所述者)可具有任何數量的薄膜保護層。堆疊中的薄膜保護層可全具相同厚度,或可具不同厚度。各薄膜保護層的厚度可小於約20微米,在一些實施例中為小於約10微米。在一實例中,第一層430的厚度為4微米,第二層435的厚度為1微米。若第一層為無定形且第二層為結晶,則此雙層構造可降低破裂可能性,同時提供較佳抗侵蝕性。在另一實例中,第一層455為2微米厚的YAG層,第二層460為1微米厚的化合物陶瓷層,第三層465為1微米厚的YAG層。
使用陶瓷層的數量和陶瓷層的組成可依據預定應用及/或待塗佈物件類型選擇。IAD和PVD形成的EAG和YAG薄膜保護層通常具有無定形結構。反之,IAD和PVD沉積化合物陶瓷和Er2 O3 層通常具有結晶或奈米結晶結構。結晶與奈米結晶陶瓷層通常比無定形陶瓷層更抗侵蝕。然在一些情況下,具結晶結構或奈米結晶結構的薄膜陶瓷層會遭受偶發的垂直裂痕(大致朝膜厚方向且大致垂直塗佈表面行進的裂痕)。垂直裂痕因晶格失配而起,並可能成為電漿化學品的攻擊點。每次加熱及冷卻物件,薄膜保護層與塗佈基板的熱膨脹係數失配將於薄膜保護層造成應力。應力會集中在垂直裂痕,最終導致薄膜保護層自塗佈基板剝離。反之,若無垂直裂痕,則應力大致均勻分布在薄膜各處。故在一實施例中,薄膜保護層堆疊438中的第一層430係無定形陶瓷,例如YAG或EAG,薄膜保護層堆疊438中的第二層435係結晶或奈米結晶陶瓷,例如陶瓷化合物或Er2 O3 。在此實施例中,第二層435比第一層430更抗電漿。藉由使第二層435形成在第一層430上面、而非直接在主體425上,第一層430可做為緩衝,以最小化後續層的晶格失配。如此可延長第二層435的壽命。
在另一實例中,主體、Y3 Al5 O12 (YAG)、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 和包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物各具不同的熱膨脹係數。二鄰接材料的熱膨脹係數失配越大,該等材料最終越可能破裂、剝離或失去其與其他材料的鍵結。可以最小化鄰接層(或層與主體425、445間)的熱膨脹係數失配的方式形成保護層堆疊438、470。例如,厚保護層450可為氧化鋁,EAG的熱膨脹係數為最接近氧化鋁的熱膨脹係數,其次為YAG的熱膨脹係數,然後為化合物陶瓷的熱膨脹係數。故在一實施例中,第一層455為EAG,第二層460為YAG,第三層465為化合物陶瓷。
在又一實例中,保護層堆疊470中的層為兩種不同陶瓷交替層。例如,第一層455和第三層465可為YAG,第二層460和第四層(未圖示)可為化合物陶瓷。若用於交替層的其一材料係無定形,用於交替層的另一材料係結晶或奈米結晶,則交替層可提供類似上述優點。
在一些實施例中,薄膜保護層堆疊438、470中的一或更多層係利用熱處理形成的過渡層。若主體425、445係陶瓷坯體,則可進行高溫熱處理,以促進薄膜保護層與主體間交互擴散。此外,可進行熱處理,以促進相鄰薄膜保護層間或厚保護層與薄膜保護層間交互擴散。注意過渡層可為非多孔層。過渡層可當作二陶瓷間的擴散接合,及改善相鄰陶瓷間的附著性。此有助於防止保護層在電漿處理期間破裂、剝離或剝落。
熱處理可以高達約1400℃-1600℃熱處理多達約24小時(例如在一實施例中為3-6小時)。此可在第一薄膜保護層與一或更多鄰接陶瓷主體、厚保護層或第二薄膜保護層間形成交互擴散層。若陶瓷主體係Al2 O3 且保護層由化合物陶瓷Y4 Al2 O9 (YAM)與固溶液Y2 -xZrx O3 (Y2 O3 -ZrO2 固溶液)組成,則將形成Y3 Al5 O12 (YAG)界面層。同樣地,熱處理可在Er2 O3 與Al2 O3 間形成EAG過渡層。熱處理亦可在Y2 O3 與Al2 O3 間形成YAG過渡層。熱處理亦可在Gd2 O3 與Al2 O3 間形成GAG。熱處理Al2 O3 上面的氧化釔穩定氧化鋯(YSZ)可形成化合物陶瓷Y4 Al2 O9 (YAM)與固溶液Y2 -xZrx O3 的過渡層。其他過渡層可形成在其他鄰接陶瓷之間。
在一實施例中,可在沉積第一保護層308、408期間,添加著色劑。故當第二保護層310、410磨損時,操作員可目視並適時再磨光或更換蓋或噴嘴。
第5圖圖示具有中空圓柱形主體505的腔室襯層500。在一實施例中,中空圓柱形主體505為鋁或鋁合金。中空圓柱形主體505具有電漿噴塗釔系抗電漿層510塗覆主體505的內面。電漿噴塗釔系抗電漿層510可具有許多裂痕和孔隙。例如,在一實施例中,電漿噴塗釔系抗電漿層510可具約2%-4%的孔隙度。腔室襯層500進一步包括薄膜保護層515塗覆電漿噴塗釔系抗電漿層510。薄膜保護層515可由抗電漿稀土氧化物組成,例如上述者。薄膜保護層515可共形又緻密且孔隙度小於1%。在一實施例中,孔隙度實際為0%(例如小於0.1%)。薄膜保護層515可密封電漿噴塗釔系抗電漿層510的裂痕和孔隙。
腔室襯層500具有第一側邊520和第二側邊525。薄膜保護層515可以IAD或PVD多次沉積。在一實施例中,沉積製程期間,靶材材料和電子束槍最初設在第一側邊520。腔室襯層500可於處理時旋轉以塗佈腔室襯層500的部分或所有內面。腔室襯層500靠近第一側邊520的區域更靠近靶材材料和槍,故比起遠離第一側邊的區域,會接收沉積較厚的薄膜保護層515。在沉積製程的第二部分期間,腔室襯層500可重新設置使靶材材料和電子束槍位在腔室襯層500的第二側邊525。此可確保腔室襯層內面的所有區域接收較均勻的塗層。
腔室襯層500的一些位置可能比其他區域更易侵蝕。在一實施例中,在沉積薄膜保護層515前,遮蔽腔室襯層500。遮罩可覆蓋較不易侵蝕的區域及露出較易侵蝕的區域。故沉積薄膜保護層515可覆蓋遭高侵蝕率的區域,而不覆蓋遭低侵蝕率的區域。
第6圖圖示製程600的實施例,用以形成薄膜保護層於物件主體上面,例如腔室部件。在製程600的方塊605中,提供物件。在方塊610中,決定是否沉積厚膜保護層至物件上。若欲形成厚膜保護層,則方法進行方塊615。否則,方法繼續進行方塊620。
在方塊615中,進行熱噴塗製程(例如電漿噴塗製程),以沉積厚膜保護層至物件上。在一些實施例中,進行熱噴塗製程前,粗糙化物件主體。厚膜保護層可為任何抗電漿陶瓷。一些厚膜保護層實例包括Y3 Al6 O12 、Y4 Al2 O9 、Y2 O3 、YSZ或包含Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物。形成厚膜保護層後,就一些應用而言,於厚膜保護層表面形成表面特徵結構。例如,若物件為ESC,則可形成臺面和He孔。在替代實施例中,抗電漿陶瓷盤或其他陶瓷結構可接合至物件主體、而不噴塗厚膜保護層。
在方塊620中,進行IAD或PVD,以沉積薄膜保護層至物件主體上。若在方塊615中形成厚膜保護層,則薄膜保護層可形成在厚膜保護層上面做為頂塗層。在一實施例中,進行IAD以沉積薄膜保護層前,進行腔室表面製備。例如,離子槍可使用氧及/或氬離子來燒灼表面有機污染物及分散其餘表面微粒,以製備物件表面。
薄膜保護層可為Y3 Al6 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al6 O12 、Gd3 Al6 O12 、Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物或所述任何其他抗電漿陶瓷。薄膜保護層的沉積速率可為約0.25-10埃/秒(Å/s),並可藉由調整沉積參數改變。在一實施例中,沉積薄膜保護層時採用多個沉積速率。例如,最初沉積速率可採用0.25-1.0 Å/s,以獲得共形且附著良好的塗層。沉積速率接著可提高成2-10 Å/s,以在更短、更有效率的塗佈遊程內獲得厚塗層。薄膜保護層可非常順應共形且厚度均勻,及對沉積於上的主體/基板有良好的附著力。
在一實施例中,沉積薄膜保護層期間,冷卻物件,使物件溫度維持在約150℃或以下。在一實施例中,靶材材料與物件間的工作距離設為小於1公尺。
在一實施例中,物件係蝕刻反應器的腔室襯層,其中腔室襯層具有中空圓柱形。進行IAD製程可包括把物件放到第一位置,使靶材位於物件的第一開口。當物件處於第一位置時,塗佈物件內部的第一部分。接著把物件放到第二位置,使靶材位於物件的第二開口。當物件處於第二位置時,塗佈物件內部的第二部分。
在一實施例中,識別物件的一或更多區域,該等區域比物件的其他區域具有更高侵蝕率。接著用遮罩遮蔽物件,以露出識別的一或更多區域。接著進行IAD沉積,以於識別的一或更多區域形成薄膜保護層。
在方塊625中,決定是否沉積任何附加薄膜保護層。若欲沉積附加薄膜保護層,則製程繼續進行方塊630。在方塊630中,形成另一薄膜保護層至第一薄膜保護層上面。其他薄膜保護層可由不同於第一薄膜保護層陶瓷的陶瓷組成。在一實施例中,其他薄膜保護層為Y3 Al6 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al6 O12 、Gd3 Al6 O12 、Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物或所述任何其他陶瓷材料之一。方法接著返回方塊625。若在方塊625中不施加附加薄膜保護層,則結束製程。沉積任一薄膜保護層後,可於該薄膜保護層中形成表面特徵結構。
第6B圖圖示製程650的實施例,用以利用具金屬靶材的IAD或PVD,在物件主體上面形成薄膜保護層。在製程650的方塊655中,在沉積腔室中提供物件。在方塊660中,使氮或氧自由基按流率流入沉積腔室。在方塊665中,氮或氧離子用於轟擊物件。在方塊670中,使用金屬靶材,進行IAD或PVD,以沉積薄膜保護層至物件上。電子束蒸鍍或濺射金屬靶材,使之與氮或氧自由基及/或離子反應而原位形成陶瓷。若使用氮自由基及/或離子,則陶瓷將為氮化物。若使用氧自由基及/或離子,則陶瓷將為氧化物。
在方塊675中,決定是否增加薄膜保護層中的氧或氮含量。若欲增加氧或氮含量,則製程繼續進行方塊680。在方塊680中,增加氧自由基或氮自由基的流量。或者或此外,增進氧離子或氮離子轟擊。製程接著返回方塊670。若在方塊675中決定不增加薄膜保護層中的氧或氮含量,則製程繼續進行方塊685。
在方塊685中,決定薄膜保護層是否達預定厚度。若達預定厚度,則結束製程。若未達預定厚度,則製程返回方塊670。
利用IAD製程,可獨立於其他沉積參數,由高能離子(或其他粒子)源個別控制高能粒子。根據能量(例如速度)、密度和高能離子通量的入射角,可操縱薄膜保護層的組成、結構、結晶定向和晶粒大小。可調整的附加參數為沉積時的物件溫度和沉積時間。離子能量可粗略分成低能離子輔助和高能離子輔助。低能離子輔助可包括約230 V電壓和約5 A電流。高能離子輔助可包括約270 V電壓和約7 A電流。離子輔助的低與高能量不限於所述數值。高與低階設計另取決於使用離子類型及/或用於進行IAD製程的腔室幾何形狀。比起低能離子輔助,高能離子輔助可以較高速度投射離子。沉積時的基板(物件)溫度可粗略分成低溫(在一實施例中為約120℃-150℃,此通常為室溫)和高溫(在一實施例中為約270℃)。就高溫IAD沉積製程而言,可在沉積之前和期間,加熱物件。
Figure AA2
表2A:利用IAD形成的示例性薄膜保護層
Figure AA101
表2B:利用IAD形成的示例性薄膜保護層
表2A至表2B顯示利用IAD與各種沉積參數形成的多個示例性薄膜保護層。實驗結果依據實驗的複因子設計(DOE)來改變離子輔助能量、沉積速率和溫度,從而確認最佳化塗佈製程,以獲得共形、緻密的微結構。塗層將從材料性質(微結構及/或晶相)和機械性質(硬度與附著力)及裂痕密度與真空密封能力等項特徵化。IAD塗佈製程最佳化可產生高密度薄膜且具低殘留應力的IAD塗層。最佳化參數可用於大多數的稀土氧化物系塗佈材料。
表列出由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物形成的六個不同薄膜保護層實例。第一示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,沉積速率為2埃/秒(Å/s)。X光繞射顯示第一示例性化合物陶瓷薄膜保護層具有結晶結構。第一示例性化合物陶瓷薄膜保護層亦具有4.11 GPa的硬度,目視檢查顯示順應底下基板的良好共形性和一些垂直裂痕與一些尖狀物。
第二示例性化合物陶瓷薄膜保護層的厚度為6微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,最初2微米的沉積速率為1 Å/s,其後4微米的沉積速率為2 Å/s。X光繞射顯示第二示例性化合物陶瓷薄膜保護層具有奈米結晶結構(其中部分為結晶,部分為無定形)。用作密封時,第二示例性化合物陶瓷薄膜保護層能維持低至5E-6立方公分/秒(cm3 /s)的真空度。目視檢查第二示例性化合物陶瓷薄膜保護層顯示良好的共形性和比第一示例性化合物陶瓷薄膜保護層少的垂直裂痕。
第三示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,沉積速率為1 Å/s。X光繞射顯示第三示例性化合物陶瓷薄膜保護層具有奈米結晶結構。用作密封時,第三示例性化合物陶瓷薄膜保護層能維持低至6.3E-6 cm3 /s的真空度。目視檢查第三示例性化合物陶瓷薄膜保護層顯示良好的共形性和比第一示例性化合物陶瓷薄膜保護層少的垂直裂痕。
第四示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具高能離子輔助與燒結插塞靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後4微米為2 Å/s。X光繞射顯示第四示例性化合物陶瓷薄膜保護層具有近乎無定形結構。用作密封時,第四示例性化合物陶瓷薄膜保護層能維持低至1.2E-9 cm3 /s的真空度。目視檢查第四示例性化合物陶瓷薄膜保護層顯示良好的共形性、平滑表面和很少的垂直裂痕。此外,第四示例性化合物陶瓷薄膜保護層的硬度為7.825 GPa。
第五示例性化合物薄膜保護層係以和第四示例性化合物薄膜保護層一樣的參數形成,但沉積溫度為室溫(約120℃-150℃)並使用煅燒粉末靶材。第五示例性化合物薄膜保護層的性質類似第四示例性化合物薄膜保護層。
第六示例性化合物陶瓷薄膜保護層的厚度為5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後4微米為4 Å/s。X光繞射顯示第六示例性化合物陶瓷薄膜保護層具有近乎無定形結構。用作密封時,第六示例性化合物陶瓷薄膜保護層能維持低至1.2E-9 cm3 /s的真空度。第六示例性化合物陶瓷薄膜保護層的硬度為7.812 GPa。
第一示例性YAG薄膜保護層的厚度為5微米,並利用具低能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,沉積速率為2.5 Å/s。X光繞射顯示第一YAG陶瓷薄膜保護層具有無定形結構。第一YAG薄膜保護層亦具有5.7 GPa的硬度,目視檢查顯示良好的共形性、最少裂痕與平滑表面。
第二示例性YAG薄膜保護層的厚度為5微米,並利用具高能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後4微米為2 Å/s。X光繞射顯示第二YAG薄膜保護層具有無定形結構。第二YAG薄膜保護層亦具有8.5 GPa的硬度,目視檢查顯示良好的共形性、較第一YAG薄膜少的破裂與平滑表面。
具化合物陶瓷與YAG層交替的示例性薄膜保護層堆疊的厚度為5微米,並以低能離子輔助的IAD形成,沉積溫度為270℃,沉積速率為2 Å/s。X光繞射顯示交替層呈無定形(就YAG層而言)和結晶或奈米結晶(就化合物陶瓷層而言)。目視檢查顯示化合物陶瓷層有較少的垂直裂痕。
第一示例性Er2 O3 薄膜保護層的厚度為5微米,並利用具低能離子輔助與燒結團塊靶材的IAD形成,沉積溫度為270℃,沉積速率為2 Å/s。X光繞射顯示第一Er2 O3 陶瓷薄膜保護層具有結晶結構。目視檢查顯示良好的共形性和垂直裂痕。
第二示例性Er2 O3 薄膜保護層的厚度為5微米,並利用具高能離子輔助與燒結團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後4微米的沉積速率為2 Å/s。X光繞射顯示第二Er2 O3 陶瓷薄膜保護層具有結晶結構。目視檢查顯示良好的共形性和比第一Er2 O3 陶瓷薄膜保護層少的垂直裂痕。
第一示例性EAG薄膜保護層的厚度為7.5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後的沉積速率為2 Å/s。X光繞射顯示第一EAG陶瓷薄膜保護層具有無定形結構,層硬度為8.485 GPa。目視檢查顯示良好的共形性與最少裂痕。
第二示例性EAG薄膜保護層的厚度為7.5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,沉積溫度為120℃-150℃,最初1微米的沉積速率為1 Å/s,其後的沉積速率為2 Å/s。X光繞射顯示第二EAG陶瓷薄膜保護層具有無定形結構,層硬度為9.057 GPa。目視檢查顯示良好的共形性和比第一EAG陶瓷薄膜保護層少的裂痕。
第三示例性EAG薄膜保護層的厚度為5微米,並利用具高能離子輔助與煅燒粉末靶材的IAD形成,最初1微米的沉積速率為1 Å/s,其後的沉積速率為2 Å/s。X光繞射顯示第三EAG陶瓷薄膜保護層具有無定形結構。
示例性Y2 O3 薄膜保護層的厚度為5微米,並利用具高能離子輔助與融合團塊靶材的IAD形成,沉積溫度為270℃,最初1微米的沉積速率為1 Å/s,其後的沉積速率為2 Å/s。X光繞射顯示Y2 O3 薄膜保護層具有結晶結構。
示例性YZ20薄膜保護層的厚度為5微米,並利用具高能離子輔助與粉末靶材的IAD形成,溫度為120℃-150℃,最初1微米的沉積速率為1 Å/s,其後的沉積速率為2 Å/s。X光繞射顯示YZ20陶瓷薄膜保護層具有結晶結構。用作密封時,YZ20陶瓷薄膜保護層能維持低至1.6E-7 cm3 /s的真空度。YZ20陶瓷薄膜保護層的硬度為5.98 GPa。
第7A圖至第7E圖圖示物件的掃描式電子顯微鏡(SEM)圖,物件具有薄膜保護層沉積在電漿噴塗保護層上面,薄膜保護層由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物形成,電漿噴塗保護層亦由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物形成。第7A圖圖示塗覆電漿噴塗層的物件平坦區由上而下的SEM圖。第7A圖SEM圖的放大率為約10000,視野為約22 mm。電漿噴塗層包括多個裂痕,例如裂痕710。第7B圖圖示薄膜保護層沉積在電漿噴塗層上面後的物件平坦區由上而下的SEM圖。第7B圖SEM圖的放大率為約10000,視野為約23 mm。薄膜保護層已密封電漿噴塗層中的裂痕。圖示密封裂痕715。
第7C圖圖示物件平坦區的剖面側視SEM圖,物件具有薄膜保護層725塗覆電漿噴塗保護層720。第7C圖SEM圖的放大率為約10000,視野為約23 mm。第7D圖圖示物件水平格柵區的剖面側視SEM圖,物件具有薄膜保護層735塗覆電漿噴塗保護層730。第7D圖SEM圖的放大率為約10000,視野為約23 mm。第7E圖圖示物件垂直格柵區的剖面側視SEM圖,物件具有薄膜保護層745塗覆電漿噴塗保護層740。第7E圖SEM圖的放大率為約4000,視野為約56 mm。
如第7A圖至第7E圖的SEM圖所示,薄膜保護層順應共形電漿噴塗保護層的表面。此外,薄膜保護層密封平坦區、水平格柵區和垂直格柵區的電漿噴塗保護層中的裂痕和孔隙。
現將敘述各種材料接觸介電質蝕刻CF4 化學品的樣品侵蝕率,包括根據所述實施例產生的多個不同IAD塗層的侵蝕率。92%氧化鋁的侵蝕率為約1.38微米/射頻小時(mm/Rfhr)。99.8%氧化鋁的侵蝕率為約1.21 mm/Rfhr。IAD沉積YAG的侵蝕率為約0.28 mm/Rfhr。IAD沉積EAG的侵蝕率為約0.24 mm/Rfhr。IAD沉積Y2 O3 的侵蝕率為約0.18 mm/Rfhr。IAD沉積Er2 O3 的侵蝕率為約0.18 mm/Rfhr。IAD沉積化合物陶瓷的侵蝕率為約0.18 mm/Rfhr。一射頻小時係處理一小時。
第8圖至第9圖圖示根據本發明實施例形成的薄膜保護層的侵蝕率。第8圖圖示薄膜保護層接觸CH4 /Cl2 電漿化學品時的侵蝕率。如所示,相較於Al2 O3 ,IAD沉積薄膜保護層的抗侵蝕性改善很多。例如,純度92%的氧化鋁的侵蝕率為約18奈米/射頻小時(nm/RFHr),純度99.8%的氧化鋁的侵蝕率為約56 nm/RFHr。反之,IAD沉積化合物陶瓷薄膜保護層的侵蝕率為約3 nm/RFHr,IAD沉積YAG薄膜保護層的侵蝕率為約1 nm/RFHr。
第9圖圖示薄膜保護層接觸H2 /NF3 電漿化學品時的侵蝕率。如所示,相較於Al2 O3 ,IAD沉積薄膜保護層的抗侵蝕性改善很多。例如,純度92%的氧化鋁的侵蝕率為約190 nm/RFHr,純度99.8%的氧化鋁的侵蝕率為約165 nm/RFHr。反之,IAD沉積YAG薄膜保護層的侵蝕率為約52 nm/RFHr。同樣地,利用具低能離子的IAD沉積的化合物陶瓷薄膜保護層的侵蝕率為約45 nm/RFHr,利用具高能離子的IAD沉積的化合物陶瓷薄膜保護層的侵蝕率為約35 nm/RFHr。利用具高沉積溫度(例如約270℃)的IAD沉積的EAG薄膜保護層的侵蝕率為約95 nm/RFHr,利用具低沉積溫度(例如約120℃-150℃)的IAD沉積的EAG薄膜保護層的侵蝕率為約70 nm/RFHr。利用具高能離子的IAD沉積的Er2 O3 薄膜保護層的侵蝕率為約35 nm/RFHr。
第10圖至第11圖圖示根據本發明實施例形成的薄膜保護層的粗糙度輪廓。第10圖圖示第8圖薄膜保護層在接觸CH4 /Cl2 電漿化學品100 RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積薄膜保護層顯示在接觸CH4 /Cl2 電漿化學品100 RFHrs後的表面粗糙度變化最小。
第11圖圖示第9圖薄膜保護層在接觸H2 /NF3 電漿化學品35 RFHrs前後的表面粗糙度輪廓。如所示,IAD沉積薄膜保護層顯示在接觸H2 /NF3 電漿化學品35 RFHrs後的表面粗糙度變化最小。
現將概述各種材料在低偏壓下接觸CF4 -CHF3 溝槽化學品的侵蝕率。92%氧化鋁的侵蝕率為約0.26微米/射頻小時(mm/Rfhr),IAD沉積EAG的侵蝕率為約0.18 mm/Rfhr,IAD沉積YAG的侵蝕率為約0.15 mm/Rfhr,電漿噴塗沉積化合物陶瓷的侵蝕率為約0.09 mm/Rfhr,IAD沉積Y2 O3 的侵蝕率為約0.08 mm/Rfhr,IAD沉積陶瓷化合物的侵蝕率為約0.07 mm/Rfhr,大塊Y2 O3 的侵蝕率為約0.07 mm/Rfhr,大塊陶瓷化合物的侵蝕率為約0.065 mm/Rfhr,IAD沉積Er2 O3 的侵蝕率為約0.05 mm/Rfhr。在高偏壓下以CF4 -CHF3 溝槽化學品蝕刻該等材料也有類似的蝕刻結果。例如,在高偏壓下,92%氧化鋁的蝕刻率為約1.38 mm/Rfhr,IAD沉積EAG的侵蝕率為約0.27 mm/Rfhr,IAD沉積YAG的侵蝕率為約0.27 mm/Rfhr,電漿噴塗沉積化合物陶瓷的侵蝕率為約0.35 mm/Rfhr,IAD沉積Y2 O3 的侵蝕率為約0.18 mm/Rfhr,IAD沉積陶瓷化合物的侵蝕率為約0.19 mm/Rfhr,大塊Y2 O3 的侵蝕率為約0.4 mm/Rfhr,大塊陶瓷化合物的侵蝕率為約0.4 mm/Rfhr,IAD沉積Er2 O3 的侵蝕率為約0.18 mm/Rfhr。
以上說明提及眾多特定細節,例如特定系統、部件、方法等實例,以對本發明的數個實施例有更徹底的理解。然熟諳此技術者將明白本發明的至少一些實施例可不按該等特定細節實踐。在其他情況下,不詳述已知部件或方法,或是以簡易方塊圖表示,以免讓本發明變得晦澀難懂。故提及的特定細節僅為舉例而已。特定實施方式可能偏離該等示例性細節,但仍涵蓋在本發明的範圍內。
整份說明書提及的「一個實施例」或「一實施例」意指該實施例描述的特定特徵、結構或特性係包括在至少一實施例內。故說明書各處出現的如「在一個實施例中」或「在一實施例中」等用語不必然指稱同一實施例。此外,「或」一詞擬指包容性「或」、而非排除性「或」。本文所用「約」或「近乎」一詞擬指所示標稱值的精確度在±30%以內。
雖然本文所示及所述方法操作係呈特定順序,但各方法操作順序可改變成讓某些操作按相反順序進行,或使某些操作至少部分與其他操作同時進行。在另一實施例中,可以間歇及/或交替方式進行不同操作的指令或次操作。
應理解以上敘述僅為舉例說明,而無限定意圖。熟諳此技術者在閱讀及理解本文後將能明白許多其他實施例。因此,本發明的保護範圍應視後附申請專利範圍和申請專利範圍主張的全部均等物範圍所界定者為準。
100‧‧‧處理腔室 102‧‧‧主體 104‧‧‧腔室蓋 106‧‧‧內部體積 108‧‧‧側壁 110‧‧‧底部 116、118‧‧‧襯層 126‧‧‧排氣口 128‧‧‧泵系統 130‧‧‧蓋 132‧‧‧噴嘴 133、134‧‧‧保護層 138‧‧‧黏著劑 144‧‧‧基板 146‧‧‧環 148‧‧‧支撐組件 150‧‧‧ESC 152‧‧‧基座 158‧‧‧氣體面板 162‧‧‧裝設板 164‧‧‧基底 166‧‧‧靜電定位盤 168、170‧‧‧導管 172‧‧‧流體源 174‧‧‧隔熱器 176‧‧‧加熱元件/加熱器 178‧‧‧電源 180‧‧‧電極 182、184、186‧‧‧電源 188‧‧‧匹配電路 190、192‧‧‧溫度感測器 195‧‧‧控制器 202‧‧‧沉積材料 203‧‧‧高能粒子 210、210A-B‧‧‧物件 215‧‧‧保護層 250‧‧‧材料源 255‧‧‧高能粒子源 270‧‧‧工作距離 272‧‧‧入射角 300‧‧‧物件 305‧‧‧主體 308‧‧‧保護層 350‧‧‧物件 355‧‧‧主體 358‧‧‧保護層 400‧‧‧物件 405‧‧‧主體 410、415‧‧‧保護層 420‧‧‧物件 425‧‧‧主體 430、435‧‧‧保護層 438‧‧‧保護層堆疊 440‧‧‧物件 445‧‧‧主體 450‧‧‧保護層 460、465‧‧‧層 470‧‧‧保護層堆疊 500‧‧‧腔室襯層 505‧‧‧主體 510‧‧‧抗電漿層 515‧‧‧保護層 520、525‧‧‧側邊 600、650‧‧‧製程 605、610、615、620、625、630、655、660、665、670、675、680、685‧‧‧方塊 710、715‧‧‧裂痕 720、730、740‧‧‧電漿噴塗保護層 725、735、745‧‧‧薄膜保護層
本發明以舉例方式說明,並無限定意圖,其中各附圖以相同的元件符號代表相仿的元件。應注意本文提及的「一」或「一個」實施例不必然指稱同一實施例,而是指至少一個。
第1圖圖示一處理腔室實施例的截面。
第2A圖圖示沉積機制,此沉積機制可應用到各種採用高能粒子的沉積技術,例如離子輔助沉積(IAD)。
第2B圖圖示IAD沉積設備的示意圖。
第3A圖至第4C圖圖示示例性物件的剖面側視圖,物件被一或更多薄膜保護層覆蓋。
第5圖圖示根據一實施例,具有稀土氧化物抗電漿層的腔室襯層。
第6A圖圖示一製程實施例,用以在物件上面形成一或更多保護層。
第6B圖圖示一製程實施例,用以利用具金屬靶材的IAD或PVD,在物件主體上面形成薄膜保護層。
第7A圖至第7E圖圖示物件的掃描式電子顯微鏡(SEM)圖,物件具有薄膜保護層沉積在電漿噴塗保護層上面,薄膜保護層由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物形成,電漿噴塗保護層亦由Y4 Al2 O9 與Y2 O3 -ZrO2 固溶液的陶瓷化合物形成。
第8圖至第9圖圖示根據本發明實施例形成的薄膜保護層分別遭受CH4 -Cl2 和CHF3 -NF3 -Cl2 化學品作用的侵蝕率。
第10圖至第11圖圖示根據本發明實施例形成的薄膜保護層分別遭受CH4 -Cl2 和CHF3 -NF3 -Cl2 化學品作用的粗糙度輪廓。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
650:製程
655、660、665、670、675、680、685:方塊

Claims (19)

  1. 一種腔室部件,包含:一主體;一第一保護層,位於該主體的至少一表面,該第一保護層包含一抗電漿陶瓷,其中該第一保護層的厚度大於約50微米且包含複數個裂痕與孔隙;以及一共形之第二保護層,該共形之第二保護層覆蓋至少一部分的該第一保護層,該共形之第二保護層係由40-100莫耳%的Y2O3、0-60莫耳%的ZrO2、及0-10莫耳%的Al2O3所構成,其中該共形之第二保護層的厚度小於約50微米,且具有小於1%的一孔隙度,並密封該第一保護層的該等複數個裂痕與孔隙;其中該共形之第二保護層接觸該第一保護層的一底部部分具有一第一氧濃度,且該共形之第二保護層的一頂部部分具有較高的一第二氧濃度。
  2. 如請求項1所述之腔室部件,其中該主體包含金屬、金屬合金、或具金屬雜質的陶瓷的至少一者;且該共形之第二保護層係被設置作為一阻障層,以防止處理氣體穿透該第一保護層的該複數個裂痕與孔隙而與該主體的金屬反應。
  3. 如請求項1所述之腔室部件,其中該第一保護層係一電漿噴塗層,該電漿噴塗層係選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、及包含Y4Al2O9與Y2O3-ZrO2固溶液的陶瓷化合物所構成之群組。
  4. 如請求項1所述之腔室部件,其中該共形之第二保護層係一離子輔助沉積(IAD)沉積層。
  5. 一種腔室部件,包含:一主體; 一第一保護層,位於該主體的至少一表面,該第一保護層包含一抗電漿陶瓷,其中該第一保護層的厚度大於約50微米且包含複數個裂痕與孔隙;以及一共形之第二保護層,該共形之第二保護層覆蓋至少一部分的該第一保護層,該共形之第二保護層係由40-100莫耳%的Y2O3、0-60莫耳%的ZrO2、及0-10莫耳%的Al2O3所構成,其中該共形之第二保護層的厚度小於約50微米,且具有小於1%的一孔隙度,並密封該第一保護層的該等複數個裂痕與孔隙;其中該共形之第二保護層係在一或更多個第一位置處覆蓋該主體的該至少一表面上的該第一保護層,但不在一或更多個第二位置處覆蓋該主體的該至少一表面上的該第一保護層。
  6. 一種製造腔室部件之方法,包含下列步驟:以0.25-1.0埃/秒之第一沉積速率進行一離子輔助沉積(IAD)製程,以沉積一第二保護層之底部部分至一第一保護層的至少一區域上,該第一保護層係位於該腔室部件之一表面上,其中相較於一更高之沉積速率,該第一沉積速率可使該第二保護層更加良好地共形並附著於該第一保護層;以及以2-10埃/秒之第二沉積速率繼續進行該IAD製程,以沉積該第二保護層之頂部部分至該第二保護層之底部部分上;其中該第二保護層係由40-100莫耳%的Y2O3、0-60莫耳%的ZrO2、及0-10莫耳%的Al2O3所構成,且其中該第二保護層的厚度小於約50微米,並密封該第一保護層的複數個裂痕與孔隙。
  7. 如請求項6所述之方法,進一步包含:在沉積該第二保護層期間冷卻該腔室部件,以使該腔室部件維持在低於約150℃的一溫度。
  8. 如請求項6所述之方法,其中進行該IAD製程以沉積該第二保護層之步驟包含:將一靶材置於該腔室部件的一第一開口;塗佈該腔室部件內壁的一第一部分;隨後將該靶材置於該腔室部件的一第二開口;以及塗佈該腔室部件內壁的一第二部分。
  9. 如請求項6所述之方法,進一步包含在沉積該第二保護層之前,進行下列步驟:識別該腔室部件的一或更多區域,相較於該腔室部件的其他區域,該一或更多區域在暴露至電漿時展現一高侵蝕率;以及用一遮罩遮蔽該腔室部件,其中該遮罩使該腔室部件的一或更多個識別區域於該IAD製程期間保持暴露,其中該第二保護層係沉積於該腔室部件的一或更多個識別區域。
  10. 如請求項6所述之方法,其中進行該IAD製程之步驟包含:設定一靶材材料與該腔室部件間的一工作距離小於1公尺。
  11. 如請求項6所述之方法,進一步包含:進行一電漿噴塗製程,以沉積該第一保護層至該腔室部件的該表面上,其中該第一保護層的厚度大於約50微米,且該第一保護層係選自由Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、及包含Y4Al2O9與Y2O3-ZrO2固溶液的陶瓷化合物所構成之群組。
  12. 如請求項6所述之方法,進一步包含:於進行該IAD製程之前,使用氧離子來燒掉該第一保護層之有機表面污染物,並分散該第一保護層上之表面微粒。
  13. 如請求項6所述之方法,其中該第二保護層的厚度為0.5-7.0微米。
  14. 如請求項6所述之方法,其中該第一保護層的表面粗糙度為0.5-180微吋。
  15. 一種製造腔室部件之方法,包含下列步驟:將氧自由基以一流率流入一沉積腔室,該沉積腔室中容納有該腔室部件;以及使用由一釔鋯合金所構成之一金屬靶材進行物理氣相沉積(PVD),以沉積一第一保護層至該腔室部件的至少一表面,該第一保護層包含一抗電漿陶瓷,其中該金屬靶材經蒸鍍或濺射而與該氧自由基反應,以原位形成包含該抗電漿陶瓷的該第一保護層,且其中該抗電漿陶瓷係由40-100莫耳%的Y2O3及0-60莫耳%的ZrO2所構成,且該抗電漿陶瓷的厚度小於約50微米。
  16. 如請求項15所述之方法,進一步包含:於進行PVD時,用氧離子轟擊該腔室部件。
  17. 如請求項15所述之方法,進一步包含:於進行PVD時,逐漸增加該氧自由基之該流率,其中該第一保護層於該第一保護層的一底部部份包含一第一氧含量,並於該第一保護層的一頂部部份包含一較高的第二氧含量。
  18. 如請求項15所述之方法,其中該腔室部件包含一外加保護層,該外加保護層係由一電漿噴塗製程沉積而得,該外加保護層的厚度大於約50微米,且包含複數個裂痕與孔隙,該等複數個裂痕與孔隙被該第一保護層密封。
  19. 如請求項15所述之方法,進一步包含:於使用該金屬靶材進行PVD之同時,使用一第二金屬靶材進行PVD,該第二金屬靶材具有與用於沉積該第一保護層至該腔室部件的該至少一表面之該金屬靶材相同之組成分,其中使用該金屬靶材及該第二金屬靶材之共沉積使得沉積速率增加。
TW106142829A 2014-04-25 2015-04-22 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法 TWI706047B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/262,644 2014-04-25
US14/262,644 US9869013B2 (en) 2014-04-25 2014-04-25 Ion assisted deposition top coat of rare-earth oxide

Publications (2)

Publication Number Publication Date
TW201812055A TW201812055A (zh) 2018-04-01
TWI706047B true TWI706047B (zh) 2020-10-01

Family

ID=54333052

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109128863A TW202102699A (zh) 2014-04-25 2015-04-22 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法
TW106142829A TWI706047B (zh) 2014-04-25 2015-04-22 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法
TW104112933A TWI665322B (zh) 2014-04-25 2015-04-22 離子輔助沉積的稀土氧化物之頂部塗層

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109128863A TW202102699A (zh) 2014-04-25 2015-04-22 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104112933A TWI665322B (zh) 2014-04-25 2015-04-22 離子輔助沉積的稀土氧化物之頂部塗層

Country Status (6)

Country Link
US (4) US9869013B2 (zh)
JP (3) JP6630345B2 (zh)
KR (2) KR20160147699A (zh)
CN (3) CN111640643A (zh)
TW (3) TW202102699A (zh)
WO (1) WO2015164263A1 (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160298467A1 (en) * 2013-11-18 2016-10-13 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6515050B2 (ja) * 2016-03-15 2019-05-15 東芝デバイス&ストレージ株式会社 半導体製造装置
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
JP6371354B2 (ja) * 2016-09-30 2018-08-08 本田技研工業株式会社 被膜形成装置
JP2020513387A (ja) 2016-11-07 2020-05-14 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 工業用セラミックスの改良された性能
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN107799253A (zh) * 2017-10-27 2018-03-13 包头稀土研究院 稀土金属旋转靶材的制造方法
US11685990B2 (en) 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
CN111511959B (zh) * 2017-12-20 2022-11-04 泰科耐斯集团有限公司 具有主动温度控制的沉积处理系统及相关方法
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR101961411B1 (ko) * 2018-07-02 2019-03-22 주식회사 그린리소스 대면적 oled 패널 제조용 챔버의 코팅재 및 그 제조 방법
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7183917B2 (ja) * 2019-03-29 2022-12-06 株式会社デンソー スパッタリング装置と半導体装置の製造方法
WO2020242799A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
CN110712094B (zh) * 2019-09-06 2021-07-23 中国兵器科学研究院宁波分院 降低离子束抛光光学元件表面污染的方法
US20220364221A1 (en) * 2019-10-24 2022-11-17 Soon Young Kwon Method for forming coating layer having plasma resistance
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
WO2021188340A1 (en) * 2020-03-18 2021-09-23 Lam Research Corporation Method for conditioning a plasma processing chamber
WO2021188710A1 (en) * 2020-03-20 2021-09-23 Lam Research Corporation Plasma processing chamber with multilayer protective surface
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
CN114496690A (zh) * 2020-10-27 2022-05-13 中微半导体设备(上海)股份有限公司 耐等离子体半导体零部件和形成方法、等离子体反应装置
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
CN112522673B (zh) * 2021-02-18 2021-04-27 中南大学湘雅医院 一种生物医用镁合金表面稀土复合薄膜及其制备方法
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components

Family Cites Families (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
DE3513882A1 (de) * 1985-04-17 1986-10-23 Plasmainvent AG, Zug Schutzschicht
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
DE3889024T2 (de) 1987-07-13 1994-10-13 Sumitomo Electric Industries Verfahren zum Herstellen einer supraleitenden Dünnschicht.
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5573862A (en) 1992-04-13 1996-11-12 Alliedsignal Inc. Single crystal oxide turbine blades
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5556525A (en) * 1994-09-30 1996-09-17 Advanced Micro Devices, Inc. PVD sputter system having nonplanar target configuration and methods for operating same
DE4442186C2 (de) * 1994-11-26 1999-03-04 Glyco Metall Werke Schichtwerkstoff und Verfahren zu seiner Herstellung
DE4445427C2 (de) * 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US5741544A (en) 1995-08-31 1998-04-21 Olin Corporation Articles using specialized vapor deposition processes
CN1074689C (zh) * 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6214473B1 (en) * 1998-05-13 2001-04-10 Andrew Tye Hunt Corrosion-resistant multilayer coatings
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
EP1013623B1 (en) 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6503575B1 (en) * 2000-05-22 2003-01-07 Praxair S.T. Technology, Inc. Process for producing graded coated articles
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
AU2001280609A1 (en) * 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US7066467B2 (en) * 2000-12-28 2006-06-27 Koyo Seiko Co., Ltd. Sealing device for water pump bearings
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6723209B2 (en) * 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
DE10119926A1 (de) * 2001-04-23 2002-10-24 Ernst Muenstermann Verfahren zur Optimierung der Zusammensetzung und/oder der mechanischen Eigenschaften einer oder mehrerer Schichten auf einem Substratkörper und Verwendung dieses Verfahrens
AUPR515301A0 (en) 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
DE10127079A1 (de) * 2001-06-02 2002-12-12 Ulrich Pachmann Verfahren zum quantitativen Nachweis vitaler epithelialer Tumorzellen in einer Körperflüssigkeit
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
MXPA04000297A (es) * 2001-07-24 2004-05-04 Toppan Printing Co Ltd Pelicula depositada en fase vapor.
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
JP4515255B2 (ja) 2001-08-02 2010-07-28 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−Y2O3−ZrO2材料
US7625509B2 (en) * 2001-08-02 2009-12-01 3M Innovative Properties Company Method of making ceramic articles
JP5148807B2 (ja) * 2001-08-02 2013-02-20 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−希土類酸化物−ZrO2/HfO2材料およびその製造方法ならびに使用方法
WO2003011786A1 (en) 2001-08-02 2003-02-13 3M Innovative Properties Company Glass-ceramics
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7426067B1 (en) * 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US6682821B2 (en) * 2001-12-28 2004-01-27 Kyocera Corporation Corrosion-resistant ceramics
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
FR2838752B1 (fr) * 2002-04-22 2005-02-25 Snecma Moteurs Procede de formation d'un revetement ceramique sur un substrat par depot physique en phase vapeur sous faisceau d'electrons
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
KR101168422B1 (ko) * 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
JP3894313B2 (ja) * 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
CN1841675A (zh) * 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7984195B2 (en) * 2006-07-07 2011-07-19 Logic Controls, Inc. Hybrid industrial networked computer system
US6969555B2 (en) * 2003-10-06 2005-11-29 General Electric Company Aluminate coating for a silicon containing substrate
US20050129869A1 (en) * 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a group 2 or 3/group 5 stabilization-composition-enriched surface
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
WO2005089107A2 (en) 2004-01-08 2005-09-29 University Of Virginia Patent Foundation Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom
JP2005260040A (ja) * 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7354663B2 (en) 2004-04-02 2008-04-08 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine
US7901870B1 (en) * 2004-05-12 2011-03-08 Cirrex Systems Llc Adjusting optical properties of optical thin films
CN101048531A (zh) * 2004-07-07 2007-10-03 通用电气公司 基材上的保护涂层及其制备方法
US7306860B2 (en) * 2004-07-30 2007-12-11 Honeywell International, Inc. Protective coating for oxide ceramic based composites
JP2006108602A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060110609A1 (en) 2004-11-19 2006-05-25 Eaton Harry E Protective coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7989095B2 (en) 2004-12-28 2011-08-02 General Electric Company Magnetic layer with nanodispersoids having a bimodal distribution
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
JP2006274387A (ja) * 2005-03-30 2006-10-12 Nagasaki Prefecture 筒状体の内周側表面へのスパッタ法を用いたイオン注入法及びその装置並びに筒状体の内周側表面へのスパッタ法を用いたコーティング法及びその装置
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
WO2007005832A2 (en) 2005-06-30 2007-01-11 University Of Virginia Patent Foundation Reliant thermal barrier coating system and related methods and apparatus of making the same
WO2007006681A1 (de) * 2005-07-12 2007-01-18 Alstom Technology Ltd Keramische wärmedämmschicht
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7785722B2 (en) * 2006-01-20 2010-08-31 United Technologies Corporation CMAS resistant thermal barrier coating
JP4327177B2 (ja) * 2006-05-31 2009-09-09 トーカロ株式会社 耐食性溶射皮膜および溶射皮膜の封孔被覆方法
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US20100028572A1 (en) * 2006-10-06 2010-02-04 Asahi Tech Co., Ltd. Corrosion-resistant member and process for producing the same
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8465602B2 (en) * 2006-12-15 2013-06-18 Praxair S. T. Technology, Inc. Amorphous-nanocrystalline-microcrystalline coatings and methods of production thereof
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP4597149B2 (ja) 2007-01-26 2010-12-15 株式会社シンクロン 薄膜形成装置及び薄膜形成方法
ATE492662T1 (de) * 2007-03-09 2011-01-15 Panasonic Corp Beschichtungsvorrichtung und verfahren zur herstellung einer folie mithilfe der beschichtungsvorrichtung
US7659204B2 (en) * 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
WO2009042371A1 (en) * 2007-09-28 2009-04-02 Avery Dennison Corporation Opacifying label
JP2009161846A (ja) * 2007-12-10 2009-07-23 Densho Engineering Co Ltd プラズマ処理容器内部材の製造方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
EP2271496B1 (en) * 2008-04-29 2014-11-12 Hewlett-Packard Development Company, L.P. Printing device
EP2128299B1 (en) * 2008-05-29 2016-12-28 General Electric Technology GmbH Multilayer thermal barrier coating
BRPI0916211B1 (pt) * 2008-07-16 2020-02-18 Electro-Motive Diesel, Inc. Sistema autodirecional para um truque radial de um veículo ferroviário
DE102008045381A1 (de) * 2008-09-02 2010-03-04 Schaeffler Kg Verschleiß- und korrosionshemmender Schichtverbund
US8315779B2 (en) * 2008-09-30 2012-11-20 Ford Global Technologies, Llc Fuel sulfur content-based operation control of a diesel engine
JP5390166B2 (ja) 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
WO2010085427A1 (en) 2009-01-22 2010-07-29 3M Innovative Properties Company Surface-modified zirconia nanoparticles
KR101123719B1 (ko) * 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
TW201129719A (en) * 2009-10-20 2011-09-01 Saint Gobain Ceramics Microelectronic processing component having corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
US20110135915A1 (en) 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates
US8679246B2 (en) * 2010-01-21 2014-03-25 The University Of Connecticut Preparation of amorphous mixed metal oxides and their use as feedstocks in thermal spray coating
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110117846A (ko) * 2010-04-22 2011-10-28 한국세라믹기술원 응력완화성이 우수한 플라즈마 저항성 세라믹 탑코트 및 그 제조 방법
CN102439195B (zh) * 2010-04-27 2014-09-03 株式会社新柯隆 半导体发光元件基板的制造方法
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
FI125358B (fi) * 2010-07-09 2015-09-15 Teknologian Tutkimuskeskus Vtt Oy Termisesti ruiskutettu täysin amorfinen oksidipinnoite
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8766240B2 (en) 2010-09-21 2014-07-01 Universal Display Corporation Permeation barrier for encapsulation of devices and substrates
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
GB201106553D0 (en) * 2011-04-19 2011-06-01 Pilkington Glass Ltd Mthod for coating substrates
US20120285819A1 (en) * 2011-05-09 2012-11-15 Intermolecular, Inc. Combinatorial and Full Substrate Sputter Deposition Tool and Method
US10309018B2 (en) * 2011-05-31 2019-06-04 United Technologies Corporation Composite article having layer with co-continuous material regions
JP5568756B2 (ja) * 2011-06-29 2014-08-13 トーカロ株式会社 耐食性や耐プラズマエロージョン性に優れるサーメット溶射皮膜被覆部材およびその製造方法
CN102869211A (zh) * 2011-07-08 2013-01-09 深圳富泰宏精密工业有限公司 壳体及其制备方法
CN103038734B (zh) * 2011-08-05 2017-02-08 谱瑞科技股份有限公司 可变分布共模滤波器
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US8840981B2 (en) * 2011-09-09 2014-09-23 Eastman Kodak Company Microfluidic device with multilayer coating
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
JP2013082993A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd マグネトロンスパッタ装置及びマグネトロンスパッタ方法
US9023486B2 (en) * 2011-10-13 2015-05-05 General Electric Company Thermal barrier coating systems and processes therefor
WO2013085625A2 (en) 2011-10-17 2013-06-13 Directed Vapor Technologies International Impact and erosion resistant thermal and environmental barrier coatings
RU2627823C2 (ru) 2011-12-19 2017-08-11 Праксэйр С.Т. Текнолоджи, Инк. Водная суспензия для получения тепловых и от воздействия внешних условий барьерных покрытий и способы их получения и применения
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) * 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140030497A1 (en) * 2012-07-30 2014-01-30 United Technologies Corporation Localized transitional coating of turbine components
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
DE102012109691B4 (de) * 2012-10-11 2014-08-07 Von Ardenne Anlagentechnik Gmbh Solarabsorber-Schichtsystem mit Gradientenschicht und Verfahren zu dessen Herstellung
KR101249951B1 (ko) * 2012-10-24 2013-04-03 아이원스 주식회사 공정 장비의 코팅 방법 및 이를 이용한 코팅 구조
JP2014116059A (ja) * 2012-11-16 2014-06-26 Iza Corp トンネルバリア層又はゲート絶縁膜の製造方法及びトンネルバリア層又はゲート絶縁膜の製造装置
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
GB2509335A (en) * 2012-12-31 2014-07-02 Univ Tartu Double-structured corrosion resistant coatings and methods of application
CN103184402B (zh) * 2013-03-28 2015-05-13 常州大学 一种稀土改性金属陶瓷涂层的制备方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
EP3014675B1 (en) 2013-06-29 2019-05-15 Aixtron Se Method for deposition of high-performance coatings and encapsulated electronic devices
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160298467A1 (en) * 2013-11-18 2016-10-13 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9139908B2 (en) * 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
US9890089B2 (en) * 2014-03-11 2018-02-13 General Electric Company Compositions and methods for thermal spraying a hermetic rare earth environmental barrier coating
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10472286B2 (en) * 2015-02-10 2019-11-12 University Of Connecticut Yttrium aluminum garnet based thermal barrier coatings

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components

Also Published As

Publication number Publication date
JP6956774B2 (ja) 2021-11-02
WO2015164263A1 (en) 2015-10-29
TW201812055A (zh) 2018-04-01
KR20170141277A (ko) 2017-12-22
US20180030589A1 (en) 2018-02-01
US9869013B2 (en) 2018-01-16
US20180080116A1 (en) 2018-03-22
CN107916399A (zh) 2018-04-17
US9970095B2 (en) 2018-05-15
US20160326626A1 (en) 2016-11-10
JP2020065058A (ja) 2020-04-23
TWI665322B (zh) 2019-07-11
CN105408987B (zh) 2020-06-23
CN105408987A (zh) 2016-03-16
CN111640643A (zh) 2020-09-08
US10544500B2 (en) 2020-01-28
KR20160147699A (ko) 2016-12-23
TW202102699A (zh) 2021-01-16
CN107916399B (zh) 2020-05-12
JP2018080396A (ja) 2018-05-24
JP6522724B2 (ja) 2019-05-29
JP2017520126A (ja) 2017-07-20
JP6630345B2 (ja) 2020-01-15
US10563297B2 (en) 2020-02-18
TW201606105A (zh) 2016-02-16
US20150311044A1 (en) 2015-10-29

Similar Documents

Publication Publication Date Title
TWI706047B (zh) 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法
US10930526B2 (en) Rare-earth oxide based coatings based on ion assisted deposition
US10796888B2 (en) Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
TWI748928B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層