JP6630345B2 - 希土類酸化物のイオンアシスト蒸着トップコート - Google Patents
希土類酸化物のイオンアシスト蒸着トップコート Download PDFInfo
- Publication number
- JP6630345B2 JP6630345B2 JP2017507688A JP2017507688A JP6630345B2 JP 6630345 B2 JP6630345 B2 JP 6630345B2 JP 2017507688 A JP2017507688 A JP 2017507688A JP 2017507688 A JP2017507688 A JP 2017507688A JP 6630345 B2 JP6630345 B2 JP 6630345B2
- Authority
- JP
- Japan
- Prior art keywords
- protective layer
- thin film
- article
- iad
- ceramic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 229910001404 rare earth metal oxide Inorganic materials 0.000 title claims description 17
- AHKZTVQIVOEVFO-UHFFFAOYSA-N oxide(2-) Chemical compound [O-2] AHKZTVQIVOEVFO-UHFFFAOYSA-N 0.000 title 1
- 239000011241 protective layer Substances 0.000 claims description 313
- 239000000919 ceramic Substances 0.000 claims description 177
- 238000000151 deposition Methods 0.000 claims description 108
- 150000001875 compounds Chemical class 0.000 claims description 106
- 239000010410 layer Substances 0.000 claims description 106
- 230000008021 deposition Effects 0.000 claims description 101
- 238000000576 coating method Methods 0.000 claims description 81
- 239000011248 coating agent Substances 0.000 claims description 67
- 238000000034 method Methods 0.000 claims description 60
- 150000002500 ions Chemical class 0.000 claims description 56
- 230000003628 erosive effect Effects 0.000 claims description 50
- 229910052760 oxygen Inorganic materials 0.000 claims description 46
- 230000008569 process Effects 0.000 claims description 43
- 239000001301 oxygen Substances 0.000 claims description 38
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 34
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 32
- 239000007789 gas Substances 0.000 claims description 31
- 238000012545 processing Methods 0.000 claims description 30
- 239000006104 solid solution Substances 0.000 claims description 29
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 27
- 229910052751 metal Inorganic materials 0.000 claims description 26
- 239000002184 metal Substances 0.000 claims description 26
- -1 oxygen ions Chemical class 0.000 claims description 25
- 229910052757 nitrogen Inorganic materials 0.000 claims description 21
- 239000011148 porous material Substances 0.000 claims description 12
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 11
- 238000000869 ion-assisted deposition Methods 0.000 claims description 10
- 239000000203 mixture Substances 0.000 claims description 10
- 238000005530 etching Methods 0.000 claims description 9
- 229910017493 Nd 2 O 3 Inorganic materials 0.000 claims description 7
- 238000007740 vapor deposition Methods 0.000 claims description 7
- 238000007789 sealing Methods 0.000 claims description 6
- 238000001816 cooling Methods 0.000 claims description 5
- 229910001092 metal group alloy Inorganic materials 0.000 claims description 5
- 150000004767 nitrides Chemical class 0.000 claims description 5
- 239000007921 spray Substances 0.000 claims description 5
- 230000004888 barrier function Effects 0.000 claims description 2
- 230000000873 masking effect Effects 0.000 claims description 2
- 150000002739 metals Chemical class 0.000 claims description 2
- 230000003116 impacting effect Effects 0.000 claims 1
- 239000012535 impurity Substances 0.000 claims 1
- 230000000149 penetrating effect Effects 0.000 claims 1
- 239000010409 thin film Substances 0.000 description 220
- 210000002381 plasma Anatomy 0.000 description 87
- 239000000463 material Substances 0.000 description 43
- 239000000758 substrate Substances 0.000 description 31
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 27
- 238000005240 physical vapour deposition Methods 0.000 description 25
- 239000002245 particle Substances 0.000 description 24
- 229910052782 aluminium Inorganic materials 0.000 description 17
- 238000002441 X-ray diffraction Methods 0.000 description 15
- 238000010438 heat treatment Methods 0.000 description 14
- 238000005260 corrosion Methods 0.000 description 13
- 230000007797 corrosion Effects 0.000 description 13
- 238000010894 electron beam technology Methods 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- 229910000838 Al alloy Inorganic materials 0.000 description 11
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 11
- 239000010408 film Substances 0.000 description 11
- 239000000843 powder Substances 0.000 description 11
- 238000001878 scanning electron micrograph Methods 0.000 description 11
- 238000011179 visual inspection Methods 0.000 description 11
- 239000002131 composite material Substances 0.000 description 10
- 229910052727 yttrium Inorganic materials 0.000 description 10
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 9
- 239000013077 target material Substances 0.000 description 9
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 8
- 230000007704 transition Effects 0.000 description 8
- 230000015556 catabolic process Effects 0.000 description 7
- 238000005336 cracking Methods 0.000 description 7
- 229910010271 silicon carbide Inorganic materials 0.000 description 7
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 7
- 229910052691 Erbium Inorganic materials 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 238000011109 contamination Methods 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 239000010453 quartz Substances 0.000 description 6
- 230000002829 reductive effect Effects 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000009826 distribution Methods 0.000 description 5
- 238000011065 in-situ storage Methods 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 238000007788 roughening Methods 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 230000003746 surface roughness Effects 0.000 description 5
- 239000000853 adhesive Substances 0.000 description 4
- 230000001070 adhesive effect Effects 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 230000004907 flux Effects 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000005507 spraying Methods 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 229910052688 Gadolinium Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000001704 evaporation Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- 239000000155 melt Substances 0.000 description 3
- 239000012768 molten material Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910001233 yttria-stabilized zirconia Inorganic materials 0.000 description 3
- 229910001094 6061 aluminium alloy Inorganic materials 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 239000011324 bead Substances 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052576 carbides based ceramic Inorganic materials 0.000 description 2
- 239000003086 colorant Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000013400 design of experiment Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000009501 film coating Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 238000007731 hot pressing Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000001659 ion-beam spectroscopy Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000003825 pressing Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910018138 Al-Y Inorganic materials 0.000 description 1
- 229920002683 Glycosaminoglycan Polymers 0.000 description 1
- 206010021143 Hypoxia Diseases 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910000946 Y alloy Inorganic materials 0.000 description 1
- 229910001093 Zr alloy Inorganic materials 0.000 description 1
- MOPYMMRQLGHOGZ-UHFFFAOYSA-N [Si].[Gd].[Zr].[Er].[Y] Chemical compound [Si].[Gd].[Zr].[Er].[Y] MOPYMMRQLGHOGZ-UHFFFAOYSA-N 0.000 description 1
- 238000005299 abrasion Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- BEZBEMZKLAZARX-UHFFFAOYSA-N alumane;gadolinium Chemical compound [AlH3].[Gd] BEZBEMZKLAZARX-UHFFFAOYSA-N 0.000 description 1
- XKRFYHLGVUSROY-UHFFFAOYSA-N argon Substances [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000005422 blasting Methods 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000010549 co-Evaporation Methods 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 239000010960 cold rolled steel Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 239000007888 film coating Substances 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 229910052574 oxide ceramic Inorganic materials 0.000 description 1
- 239000011224 oxide ceramic Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000007750 plasma spraying Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000004439 roughness measurement Methods 0.000 description 1
- 239000013464 silicone adhesive Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000007751 thermal spraying Methods 0.000 description 1
- 229910021654 trace metal Inorganic materials 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- RVRKDGLTBFWQHH-UHFFFAOYSA-N yttrium zirconium Chemical compound [Y][Zr][Y] RVRKDGLTBFWQHH-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B3/00—Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
- B32B3/26—Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B5/00—Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
- B32B5/14—Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by a layer differing constitutionally or physically in different parts, e.g. denser near its faces
- B32B5/145—Variation across the thickness of the layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B7/00—Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
- B32B7/02—Physical, chemical or physicochemical properties
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/024—Deposition of sublayers, e.g. to promote adhesion of the coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/0694—Halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/08—Oxides
- C23C14/081—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/221—Ion beam deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/46—Sputtering by ion beam produced by an external ion source
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/542—Controlling the film thickness or evaporation rate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/548—Controlling the composition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/04—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
- C23C28/042—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/04—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
- C23C28/046—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with at least one amorphous inorganic material layer, e.g. DLC, a-C:H, a-C:Me, the layer being doped or not
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/04—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
- C23C28/048—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/04—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
- C23C4/10—Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
- C23C4/11—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C4/00—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
- C23C4/12—Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
- C23C4/134—Plasma spraying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32807—Construction (includes replacing parts of the apparatus)
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B15/00—Layered products comprising a layer of metal
- B32B15/04—Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2250/00—Layers arrangement
- B32B2250/03—3 layers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2250/00—Layers arrangement
- B32B2250/04—4 layers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2250/00—Layers arrangement
- B32B2250/44—Number of layers variable across the laminate
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2255/00—Coating on the layer surface
- B32B2255/06—Coating on the layer surface on metal layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2255/00—Coating on the layer surface
- B32B2255/20—Inorganic coating
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2307/00—Properties of the layers or laminate
- B32B2307/70—Other properties
- B32B2307/702—Amorphous
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2307/00—Properties of the layers or laminate
- B32B2307/70—Other properties
- B32B2307/704—Crystalline
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2307/00—Properties of the layers or laminate
- B32B2307/70—Other properties
- B32B2307/724—Permeability to gases, adsorption
- B32B2307/7242—Non-permeable
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B2307/00—Properties of the layers or laminate
- B32B2307/70—Other properties
- B32B2307/752—Corrosion inhibitor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B32—LAYERED PRODUCTS
- B32B—LAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
- B32B33/00—Layered products characterised by particular properties or particular surface features, e.g. particular surface coatings; Layered products designed for particular purposes not covered by another single class
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24273—Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
- Y10T428/24322—Composite web or sheet
- Y10T428/24331—Composite web or sheet including nonapertured component
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24273—Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
- Y10T428/24322—Composite web or sheet
- Y10T428/24331—Composite web or sheet including nonapertured component
- Y10T428/24339—Keyed
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24802—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
- Y10T428/24926—Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24942—Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24942—Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
- Y10T428/2495—Thickness [relative or absolute]
- Y10T428/24967—Absolute thicknesses specified
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24942—Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
- Y10T428/24992—Density or compression of components
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Physical Vapour Deposition (AREA)
- Coating By Spraying Or Casting (AREA)
- Drying Of Semiconductors (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
Description
Claims (14)
- エッチングリアクタ用のチャンバコンポーネントであって、
本体と、
本体の少なくとも1つの表面上の第1保護層であって、第1保護層は、耐プラズマ性セラミックスを含み、第1保護層は、約50ミクロンよりも大きい厚さを有し、複数の亀裂及び細孔を含む第1保護層と、
第1保護層の少なくとも一部を覆うコンフォーマルな第2保護層であって、第2保護層は、耐プラズマ性希土類酸化物を含み、第2保護層は、50ミクロンよりも小さい厚さを有し、1%未満の空孔率を有し、第1保護層の複数の亀裂及び細孔をシールする第2保護層とを含み、
第1保護層に接触するコンフォーマルな第2保護層の底部は第1酸素濃度を有し、コンフォーマルな第2保護層の上部はより高い第2酸素濃度を有しているチャンバコンポーネント。 - 本体は、金属、金属合金、又は金属不純物を有するセラミックスのうちの少なくとも1つを含み、
第2保護層は、処理ガスが第1保護層内の複数の亀裂及び細孔を貫通し、本体内の金属と反応するのを防止するためのバリアとしての役割を果たす、請求項1記載のチャンバコンポーネント。 - 第1保護層は、Al2O3、Y2O3、SiO2、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含むプラズマ溶射層である、請求項1記載のチャンバコンポーネント。
- 第2保護層は、Y2O3、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含むイオンアシスト蒸着(IAD)で堆積された層である、請求項1記載のチャンバコンポーネント。
- 第2保護層は、本体の少なくとも1つの表面上の1以上の第1位置で第1保護層を覆うが、本体の少なくとも1つの表面上の1以上の第2位置で第1保護層を覆っていない、請求項1記載のチャンバコンポーネント。
- 第2保護層は、第2保護層と、第2保護層とは異なる組成を有する第3保護層とを含む保護層スタック内の一層であり、第2保護層は、アモルファス構造を有し、第3保護層は、結晶性構造を有する、請求項1記載のチャンバコンポーネント。
- 物品を提供する工程と、
物品の少なくとも1つの表面上に第1保護層を堆積させるために、プラズマ溶射プロセスを実行する工程であって、第1保護層は、第1耐プラズマ性セラミックスを含み、第1保護層は、約50ミクロンより大きい厚さと、複数の亀裂及び細孔を有する工程と、
第1保護層の少なくとも一部の上に第2保護層を堆積させるために、イオンアシスト蒸着(IAD)を実行する工程であって、第2保護層は、耐プラズマ性希土類酸化物を含み、第2保護層は、50ミクロン未満の厚さを有し、1%未満の空孔率を有し、第1保護層の複数の亀裂及び細孔を封止している工程とを含み、
堆積された第2保護層は、第1保護層の底部に酸素又は窒素の第1含有量を含み、第1保護層の上部により高い酸素又は窒素の第2含有量を含んでいる方法。 - 約150℃以下の温度に物品を維持するために、第2保護層の堆積中に物品を冷却する
工程を含む、請求項7記載の方法。 - 物品は、エッチングリアクタのチャンバライナーであり、チャンバライナーは、中空円筒形状を有し、第2保護層を堆積するためにIADを実行する工程は、
物品の第1開口部にターゲットを配置する工程と、
物品の内側の第1部分をコーティングする工程と、
その後、物品の第2開口部にターゲットを配置する工程と、
物品の内側の第2部分をコーティングする工程とを含む、請求項7記載の方法。 - 第2保護層を堆積する前に、以下を実行する工程であって、
物品の他の領域よりも高い浸食速度を示す物品の1以上の領域を特定する工程と、
物品をマスクでマスキングする工程であって、物品の特定された1以上の領域は、マスクによって露出され、第2保護層は、物品の1以上の特定された領域を覆う工程とを実行する工程を含む、請求項7記載の方法。 - 第1保護層は、少なくともAl2O3、Y2O3、SiO2、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含み、
第2保護層は、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、Nd2O3、YF3、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、又はY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物のうちの少なくとも1つを含む、請求項7記載の方法。 - 第2保護層上に第3保護層を堆積させるためにIADを実行する工程を含み、第3保護層は、約50ミクロン未満の厚さを有し、第1耐プラズマ性セラミックスとは異なる第2耐プラズマ性セラミックスを含み、第2耐プラズマ性セラミックスは、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、及びY4Al2O9とY2O3−ZrO2の固溶体とを含むセラミックス化合物からなる群より選択される、請求項7記載の方法。
- IADを実行しながら、窒素イオン又は酸素イオンのうちの1つを物品に衝突させる工程を含み、第1耐プラズマ性セラミックスが窒化物の場合、窒素イオンが使用され、第1耐プラズマ性セラミックスが酸化物の場合、酸素イオンが使用される、請求項7記載の方法。
- IADを実行しながら、窒素イオン又は酸素イオンの流量を徐々に増加させる工程を含む、請求項13記載の方法。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2019220830A JP6956774B2 (ja) | 2014-04-25 | 2019-12-06 | 希土類酸化物のイオンアシスト蒸着トップコート |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/262,644 US9869013B2 (en) | 2014-04-25 | 2014-04-25 | Ion assisted deposition top coat of rare-earth oxide |
US14/262,644 | 2014-04-25 | ||
PCT/US2015/026677 WO2015164263A1 (en) | 2014-04-25 | 2015-04-20 | Ion assisted deposition top coat of rare-earth oxide |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017232470A Division JP6522724B2 (ja) | 2014-04-25 | 2017-12-04 | 希土類酸化物のイオンアシスト蒸着トップコート |
JP2019220830A Division JP6956774B2 (ja) | 2014-04-25 | 2019-12-06 | 希土類酸化物のイオンアシスト蒸着トップコート |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2017520126A JP2017520126A (ja) | 2017-07-20 |
JP6630345B2 true JP6630345B2 (ja) | 2020-01-15 |
Family
ID=54333052
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017507688A Active JP6630345B2 (ja) | 2014-04-25 | 2015-04-20 | 希土類酸化物のイオンアシスト蒸着トップコート |
JP2017232470A Active JP6522724B2 (ja) | 2014-04-25 | 2017-12-04 | 希土類酸化物のイオンアシスト蒸着トップコート |
JP2019220830A Active JP6956774B2 (ja) | 2014-04-25 | 2019-12-06 | 希土類酸化物のイオンアシスト蒸着トップコート |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017232470A Active JP6522724B2 (ja) | 2014-04-25 | 2017-12-04 | 希土類酸化物のイオンアシスト蒸着トップコート |
JP2019220830A Active JP6956774B2 (ja) | 2014-04-25 | 2019-12-06 | 希土類酸化物のイオンアシスト蒸着トップコート |
Country Status (6)
Country | Link |
---|---|
US (4) | US9869013B2 (ja) |
JP (3) | JP6630345B2 (ja) |
KR (2) | KR20160147699A (ja) |
CN (3) | CN105408987B (ja) |
TW (3) | TWI665322B (ja) |
WO (1) | WO2015164263A1 (ja) |
Families Citing this family (59)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
WO2015073938A1 (en) * | 2013-11-18 | 2015-05-21 | United Technologies Corporation | Article having variable coating |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6515050B2 (ja) * | 2016-03-15 | 2019-05-15 | 東芝デバイス&ストレージ株式会社 | 半導体製造装置 |
JP6443380B2 (ja) * | 2016-04-12 | 2018-12-26 | 信越化学工業株式会社 | イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜 |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US11017984B2 (en) * | 2016-04-28 | 2021-05-25 | Applied Materials, Inc. | Ceramic coated quartz lid for processing chamber |
JP6908973B2 (ja) * | 2016-06-08 | 2021-07-28 | 三菱重工業株式会社 | 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法 |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US20180016678A1 (en) * | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
JP6371354B2 (ja) * | 2016-09-30 | 2018-08-08 | 本田技研工業株式会社 | 被膜形成装置 |
EP3535230A4 (en) | 2016-11-07 | 2020-06-24 | The Regents of The University of Colorado, A Body Corporate | IMPROVED PERFORMANCE OF TECHNICAL CERAMICS |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
US10755900B2 (en) * | 2017-05-10 | 2020-08-25 | Applied Materials, Inc. | Multi-layer plasma erosion protection for chamber components |
US20190136372A1 (en) * | 2017-08-14 | 2019-05-09 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature heaters |
US11279656B2 (en) | 2017-10-27 | 2022-03-22 | Applied Materials, Inc. | Nanopowders, nanoceramic materials and methods of making and use thereof |
CN107799253A (zh) * | 2017-10-27 | 2018-03-13 | 包头稀土研究院 | 稀土金属旋转靶材的制造方法 |
US11685990B2 (en) | 2017-12-08 | 2023-06-27 | Applied Materials, Inc. | Textured processing chamber components and methods of manufacturing same |
KR20200105847A (ko) * | 2017-12-20 | 2020-09-09 | 테크네틱스 그룹, 엘엘씨 | 능동 온도 제어를 갖는 증착 처리 시스템 및 관련 방법 |
US11124659B2 (en) * | 2018-01-30 | 2021-09-21 | Lam Research Corporation | Method to selectively pattern a surface for plasma resistant coat applications |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
US10443126B1 (en) | 2018-04-06 | 2019-10-15 | Applied Materials, Inc. | Zone-controlled rare-earth oxide ALD and CVD coatings |
KR101961411B1 (ko) * | 2018-07-02 | 2019-03-22 | 주식회사 그린리소스 | 대면적 oled 패널 제조용 챔버의 코팅재 및 그 제조 방법 |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
US11562890B2 (en) * | 2018-12-06 | 2023-01-24 | Applied Materials, Inc. | Corrosion resistant ground shield of processing chamber |
US11180847B2 (en) | 2018-12-06 | 2021-11-23 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature ceramic components |
US10858741B2 (en) | 2019-03-11 | 2020-12-08 | Applied Materials, Inc. | Plasma resistant multi-layer architecture for high aspect ratio parts |
JP7183917B2 (ja) * | 2019-03-29 | 2022-12-06 | 株式会社デンソー | スパッタリング装置と半導体装置の製造方法 |
CN114072898A (zh) * | 2019-05-24 | 2022-02-18 | 应用材料公司 | 基板处理腔室 |
JP2021017602A (ja) * | 2019-07-17 | 2021-02-15 | コニカミノルタ株式会社 | 微細構造体の製造方法及び微細構造体の製造装置 |
CN110712094B (zh) * | 2019-09-06 | 2021-07-23 | 中国兵器科学研究院宁波分院 | 降低离子束抛光光学元件表面污染的方法 |
WO2021080281A1 (ko) * | 2019-10-24 | 2021-04-29 | 권순영 | 플라즈마 저항성을 갖는 코팅층 형성방법 |
TWI768256B (zh) * | 2019-10-29 | 2022-06-21 | 行政院原子能委員會核能研究所 | 摻雜型金屬氧化物薄膜的製作方法 |
US20230122167A1 (en) * | 2020-03-18 | 2023-04-20 | Lam Research Corporation | Method for conditioning a plasma processing chamber |
WO2021188710A1 (en) * | 2020-03-20 | 2021-09-23 | Lam Research Corporation | Plasma processing chamber with multilayer protective surface |
US11661650B2 (en) | 2020-04-10 | 2023-05-30 | Applied Materials, Inc. | Yttrium oxide based coating composition |
US20210403337A1 (en) * | 2020-06-30 | 2021-12-30 | Applied Materials, Inc. | Yttrium oxide based coating and bulk compositions |
US20220013336A1 (en) * | 2020-07-10 | 2022-01-13 | Applied Materials, Inc. | Process kit with protective ceramic coatings for hydrogen and nh3 plasma application |
US11515195B2 (en) * | 2020-10-26 | 2022-11-29 | Applied Materials, Inc. | Semiconductor chamber components with high-performance coating |
CN114496690A (zh) * | 2020-10-27 | 2022-05-13 | 中微半导体设备(上海)股份有限公司 | 耐等离子体半导体零部件和形成方法、等离子体反应装置 |
KR102390123B1 (ko) * | 2020-12-22 | 2022-04-25 | 한국세라믹기술원 | 내플라즈마 세라믹 기판 및 그 제조방법 |
CN112522673B (zh) * | 2021-02-18 | 2021-04-27 | 中南大学湘雅医院 | 一种生物医用镁合金表面稀土复合薄膜及其制备方法 |
TWI781593B (zh) * | 2021-04-21 | 2022-10-21 | 翔名科技股份有限公司 | 耐電漿腐蝕的保護層與其形成方法 |
CN113862598A (zh) * | 2021-09-01 | 2021-12-31 | 暨南大学 | 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构 |
KR102701136B1 (ko) * | 2021-11-19 | 2024-08-30 | 한국세라믹기술원 | 내플라즈마 세라믹 부재 및 그 제조방법 |
Family Cites Families (210)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3796182A (en) | 1971-12-16 | 1974-03-12 | Applied Materials Tech | Susceptor structure for chemical vapor deposition reactor |
SE8000480L (sv) | 1979-02-01 | 1980-08-02 | Johnson Matthey Co Ltd | Artikel lemplig for anvendning vid hoga temperaturer |
DE3513882A1 (de) * | 1985-04-17 | 1986-10-23 | Plasmainvent AG, Zug | Schutzschicht |
US4695439A (en) | 1986-09-25 | 1987-09-22 | Gte Products Corporation | Yttrium oxide stabilized zirconium oxide |
DE3889024T2 (de) | 1987-07-13 | 1994-10-13 | Sumitomo Electric Industries | Verfahren zum Herstellen einer supraleitenden Dünnschicht. |
US4773928A (en) | 1987-08-03 | 1988-09-27 | Gte Products Corporation | Plasma spray powders and process for producing same |
US4880614A (en) | 1988-11-03 | 1989-11-14 | Allied-Signal Inc. | Ceramic thermal barrier coating with alumina interlayer |
US5573862A (en) | 1992-04-13 | 1996-11-12 | Alliedsignal Inc. | Single crystal oxide turbine blades |
US5415756A (en) | 1994-03-28 | 1995-05-16 | University Of Houston | Ion assisted deposition process including reactive source gassification |
US5556525A (en) * | 1994-09-30 | 1996-09-17 | Advanced Micro Devices, Inc. | PVD sputter system having nonplanar target configuration and methods for operating same |
DE4442186C2 (de) * | 1994-11-26 | 1999-03-04 | Glyco Metall Werke | Schichtwerkstoff und Verfahren zu seiner Herstellung |
DE4445427C2 (de) * | 1994-12-20 | 1997-04-30 | Schott Glaswerke | Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht |
US5741544A (en) | 1995-08-31 | 1998-04-21 | Olin Corporation | Articles using specialized vapor deposition processes |
CN1074689C (zh) * | 1996-04-04 | 2001-11-14 | E·O·帕通电子焊接研究院电子束工艺国际中心 | 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法 |
US6500314B1 (en) | 1996-07-03 | 2002-12-31 | Tegal Corporation | Plasma etch reactor and method |
US5837058A (en) | 1996-07-12 | 1998-11-17 | Applied Materials, Inc. | High temperature susceptor |
US6217662B1 (en) | 1997-03-24 | 2001-04-17 | Cree, Inc. | Susceptor designs for silicon carbide thin films |
US6214473B1 (en) * | 1998-05-13 | 2001-04-10 | Andrew Tye Hunt | Corrosion-resistant multilayer coatings |
JP2000001362A (ja) | 1998-06-10 | 2000-01-07 | Nippon Seratekku:Kk | 耐食性セラミックス材料 |
US6383964B1 (en) | 1998-11-27 | 2002-05-07 | Kyocera Corporation | Ceramic member resistant to halogen-plasma corrosion |
DE69920152T2 (de) | 1998-12-21 | 2005-09-22 | Shin-Etsu Chemical Co., Ltd. | Korrosionbeständiges Mischoxidmaterial |
JP4548887B2 (ja) | 1999-12-27 | 2010-09-22 | 京セラ株式会社 | 耐食性セラミック部材およびその製造方法 |
US6949203B2 (en) | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6962524B2 (en) | 2000-02-17 | 2005-11-08 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
TW503449B (en) | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
US6503575B1 (en) * | 2000-05-22 | 2003-01-07 | Praxair S.T. Technology, Inc. | Process for producing graded coated articles |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
NL1015550C2 (nl) | 2000-06-28 | 2002-01-02 | Xycarb Ceramics B V | Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor. |
DE60127035T2 (de) | 2000-06-29 | 2007-11-08 | Shin-Etsu Chemical Co., Ltd. | Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür |
EP1301941A2 (en) * | 2000-07-20 | 2003-04-16 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
US7066467B2 (en) * | 2000-12-28 | 2006-06-27 | Koyo Seiko Co., Ltd. | Sealing device for water pump bearings |
US6746539B2 (en) | 2001-01-30 | 2004-06-08 | Msp Corporation | Scanning deposition head for depositing particles on a wafer |
US6723209B2 (en) * | 2001-03-16 | 2004-04-20 | 4-Wave, Inc. | System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals |
JP2002356387A (ja) | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
DE10119926A1 (de) * | 2001-04-23 | 2002-10-24 | Ernst Muenstermann | Verfahren zur Optimierung der Zusammensetzung und/oder der mechanischen Eigenschaften einer oder mehrerer Schichten auf einem Substratkörper und Verwendung dieses Verfahrens |
AUPR515301A0 (en) | 2001-05-22 | 2001-06-14 | Commonwealth Scientific And Industrial Research Organisation | Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture |
DE10127079A1 (de) * | 2001-06-02 | 2002-12-12 | Ulrich Pachmann | Verfahren zum quantitativen Nachweis vitaler epithelialer Tumorzellen in einer Körperflüssigkeit |
TWI234417B (en) | 2001-07-10 | 2005-06-11 | Tokyo Electron Ltd | Plasma procesor and plasma processing method |
KR100864612B1 (ko) * | 2001-07-24 | 2008-10-22 | 도판 인사츠 가부시키가이샤 | 증착 필름 |
US20030047464A1 (en) | 2001-07-27 | 2003-03-13 | Applied Materials, Inc. | Electrochemically roughened aluminum semiconductor processing apparatus surfaces |
US8357454B2 (en) * | 2001-08-02 | 2013-01-22 | Siemens Energy, Inc. | Segmented thermal barrier coating |
US7625509B2 (en) * | 2001-08-02 | 2009-12-01 | 3M Innovative Properties Company | Method of making ceramic articles |
JP4532898B2 (ja) | 2001-08-02 | 2010-08-25 | スリーエム イノベイティブ プロパティズ カンパニー | 研磨粒子およびその製造方法ならびに使用方法 |
CN100522856C (zh) | 2001-08-02 | 2009-08-05 | 3M创新有限公司 | Al2O3-稀土元素氧化物-ZrO2/HfO2材料以及其制造方法 |
CA2455902A1 (en) | 2001-08-02 | 2003-12-18 | Anatoly Z. Rosenflanz | Alumina-yttria-zirconium oxide/hafnium oxide materials, and methods of making and using the same |
JP4921652B2 (ja) * | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
US20030029563A1 (en) * | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
JP4663927B2 (ja) | 2001-08-29 | 2011-04-06 | 信越化学工業株式会社 | 希土類含有酸化物部材 |
KR20030025007A (ko) | 2001-09-19 | 2003-03-28 | 삼성전자주식회사 | 쉴드링을 가지는 식각장비 |
US7426067B1 (en) * | 2001-12-17 | 2008-09-16 | Regents Of The University Of Colorado | Atomic layer deposition on micro-mechanical devices |
US6682821B2 (en) * | 2001-12-28 | 2004-01-27 | Kyocera Corporation | Corrosion-resistant ceramics |
US7371467B2 (en) * | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6884514B2 (en) | 2002-01-11 | 2005-04-26 | Saint-Gobain Ceramics & Plastics, Inc. | Method for forming ceramic layer having garnet crystal structure phase and article made thereby |
US20080264564A1 (en) | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US20080213496A1 (en) | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US20030175142A1 (en) * | 2002-03-16 | 2003-09-18 | Vassiliki Milonopoulou | Rare-earth pre-alloyed PVD targets for dielectric planar applications |
US6780787B2 (en) * | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
JP2003277051A (ja) | 2002-03-22 | 2003-10-02 | Ngk Insulators Ltd | イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法 |
FR2838752B1 (fr) * | 2002-04-22 | 2005-02-25 | Snecma Moteurs | Procede de formation d'un revetement ceramique sur un substrat par depot physique en phase vapeur sous faisceau d'electrons |
US7311797B2 (en) * | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
EP1386979B1 (en) | 2002-08-02 | 2008-03-05 | Fujikura Ltd. | Method of producing polycrystalline thin film and method of producing an oxide superconducting element |
US7507481B2 (en) * | 2002-11-20 | 2009-03-24 | Shin-Etsu Chemical Co., Ltd. | Heat resistant coated member, making method, and treatment using the same |
JP3894313B2 (ja) * | 2002-12-19 | 2007-03-22 | 信越化学工業株式会社 | フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法 |
US6894769B2 (en) * | 2002-12-31 | 2005-05-17 | Tokyo Electron Limited | Monitoring erosion of system components by optical emission |
JP4208580B2 (ja) | 2003-01-15 | 2009-01-14 | 日本碍子株式会社 | 複合焼結体およびその製造方法 |
CN100401478C (zh) * | 2003-02-12 | 2008-07-09 | 松下电器产业株式会社 | 半导体器件的制造方法 |
US7329467B2 (en) * | 2003-08-22 | 2008-02-12 | Saint-Gobain Ceramics & Plastics, Inc. | Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same |
US7984195B2 (en) * | 2006-07-07 | 2011-07-19 | Logic Controls, Inc. | Hybrid industrial networked computer system |
US6969555B2 (en) * | 2003-10-06 | 2005-11-29 | General Electric Company | Aluminate coating for a silicon containing substrate |
US20050129869A1 (en) * | 2003-12-12 | 2005-06-16 | General Electric Company | Article protected by a thermal barrier coating having a group 2 or 3/group 5 stabilization-composition-enriched surface |
US7220497B2 (en) * | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
US20050142393A1 (en) | 2003-12-30 | 2005-06-30 | Boutwell Brett A. | Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase |
US8110043B2 (en) | 2004-01-08 | 2012-02-07 | University Of Virginia Patent Foundation | Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom |
JP2005260040A (ja) * | 2004-02-12 | 2005-09-22 | Sony Corp | ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法 |
US7193228B2 (en) * | 2004-03-10 | 2007-03-20 | Cymer, Inc. | EUV light source optical elements |
US7354663B2 (en) | 2004-04-02 | 2008-04-08 | Mitsubishi Heavy Industries, Ltd. | Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine |
US7901870B1 (en) * | 2004-05-12 | 2011-03-08 | Cirrex Systems Llc | Adjusting optical properties of optical thin films |
US20060165994A1 (en) * | 2004-07-07 | 2006-07-27 | General Electric Company | Protective coating on a substrate and method of making thereof |
US7306860B2 (en) * | 2004-07-30 | 2007-12-11 | Honeywell International, Inc. | Protective coating for oxide ceramic based composites |
JP2006108602A (ja) * | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置及びその製造方法 |
JP4467453B2 (ja) * | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
JP2006128000A (ja) * | 2004-10-29 | 2006-05-18 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
KR20060041497A (ko) | 2004-11-09 | 2006-05-12 | 동부일렉트로닉스 주식회사 | 건식 식각장치 |
US20060110609A1 (en) | 2004-11-19 | 2006-05-25 | Eaton Harry E | Protective coatings |
US7579067B2 (en) * | 2004-11-24 | 2009-08-25 | Applied Materials, Inc. | Process chamber component with layered coating and method |
JP2006207012A (ja) * | 2004-12-28 | 2006-08-10 | Toshiba Ceramics Co Ltd | イットリウム系セラミックス被覆材およびその製造方法 |
US7989095B2 (en) | 2004-12-28 | 2011-08-02 | General Electric Company | Magnetic layer with nanodispersoids having a bimodal distribution |
US7838083B1 (en) | 2005-01-28 | 2010-11-23 | Sandia Corporation | Ion beam assisted deposition of thermal barrier coatings |
JP2006274387A (ja) * | 2005-03-30 | 2006-10-12 | Nagasaki Prefecture | 筒状体の内周側表面へのスパッタ法を用いたイオン注入法及びその装置並びに筒状体の内周側表面へのスパッタ法を用いたコーティング法及びその装置 |
WO2006135043A1 (ja) | 2005-06-17 | 2006-12-21 | Tohoku University | 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置 |
US20090194233A1 (en) * | 2005-06-23 | 2009-08-06 | Tokyo Electron Limited | Component for semicondutor processing apparatus and manufacturing method thereof |
US8084086B2 (en) | 2005-06-30 | 2011-12-27 | University Of Virginia Patent Foundation | Reliant thermal barrier coating system and related methods and apparatus of making the same |
ATE426052T1 (de) * | 2005-07-12 | 2009-04-15 | Alstom Technology Ltd | Keramische warmedammschicht |
KR20070013118A (ko) | 2005-07-25 | 2007-01-30 | 삼성전자주식회사 | 플라즈마 식각 장치 |
US7672110B2 (en) | 2005-08-29 | 2010-03-02 | Applied Materials, Inc. | Electrostatic chuck having textured contact surface |
JP4985928B2 (ja) | 2005-10-21 | 2012-07-25 | 信越化学工業株式会社 | 多層コート耐食性部材 |
US7968205B2 (en) | 2005-10-21 | 2011-06-28 | Shin-Etsu Chemical Co., Ltd. | Corrosion resistant multilayer member |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7736759B2 (en) | 2006-01-20 | 2010-06-15 | United Technologies Corporation | Yttria-stabilized zirconia coating with a molten silicate resistant outer layer |
US7785722B2 (en) * | 2006-01-20 | 2010-08-31 | United Technologies Corporation | CMAS resistant thermal barrier coating |
JP4327177B2 (ja) * | 2006-05-31 | 2009-09-09 | トーカロ株式会社 | 耐食性溶射皮膜および溶射皮膜の封孔被覆方法 |
US20080009417A1 (en) * | 2006-07-05 | 2008-01-10 | General Electric Company | Coating composition, article, and associated method |
US20080029032A1 (en) | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
US7722959B2 (en) | 2006-09-06 | 2010-05-25 | United Technologies Corporation | Silicate resistant thermal barrier coating with alternating layers |
US20100028572A1 (en) * | 2006-10-06 | 2010-02-04 | Asahi Tech Co., Ltd. | Corrosion-resistant member and process for producing the same |
US7479464B2 (en) * | 2006-10-23 | 2009-01-20 | Applied Materials, Inc. | Low temperature aerosol deposition of a plasma resistive layer |
US20080142755A1 (en) * | 2006-12-13 | 2008-06-19 | General Electric Company | Heater apparatus and associated method |
US8465602B2 (en) * | 2006-12-15 | 2013-06-18 | Praxair S. T. Technology, Inc. | Amorphous-nanocrystalline-microcrystalline coatings and methods of production thereof |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
JP4597149B2 (ja) | 2007-01-26 | 2010-12-15 | 株式会社シンクロン | 薄膜形成装置及び薄膜形成方法 |
ATE492662T1 (de) * | 2007-03-09 | 2011-01-15 | Panasonic Corp | Beschichtungsvorrichtung und verfahren zur herstellung einer folie mithilfe der beschichtungsvorrichtung |
US7659204B2 (en) * | 2007-03-26 | 2010-02-09 | Applied Materials, Inc. | Oxidized barrier layer |
JP4936948B2 (ja) | 2007-03-27 | 2012-05-23 | 日本碍子株式会社 | 複合材料及びその製造方法 |
US7718559B2 (en) * | 2007-04-20 | 2010-05-18 | Applied Materials, Inc. | Erosion resistance enhanced quartz used in plasma etch chamber |
US7696117B2 (en) | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
PT2193175E (pt) * | 2007-09-28 | 2013-03-28 | Avery Dennison Corp | Rótulo opacificante |
JP2009161846A (ja) * | 2007-12-10 | 2009-07-23 | Densho Engineering Co Ltd | プラズマ処理容器内部材の製造方法 |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
JP2009176787A (ja) * | 2008-01-22 | 2009-08-06 | Hitachi High-Technologies Corp | エッチング処理装置及びエッチング処理室用部材 |
US20090214825A1 (en) * | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
JP5466831B2 (ja) | 2008-04-28 | 2014-04-09 | 株式会社フェローテックセラミックス | イットリア焼結体およびプラズマプロセス装置用部材 |
EP2271496B1 (en) * | 2008-04-29 | 2014-11-12 | Hewlett-Packard Development Company, L.P. | Printing device |
EP2128299B1 (en) * | 2008-05-29 | 2016-12-28 | General Electric Technology GmbH | Multilayer thermal barrier coating |
EP2307255A4 (en) * | 2008-07-16 | 2017-01-04 | Electro-Motive Diesel, Inc. | Self-steering radial bogie |
DE102008045381A1 (de) * | 2008-09-02 | 2010-03-04 | Schaeffler Kg | Verschleiß- und korrosionshemmender Schichtverbund |
US8315779B2 (en) * | 2008-09-30 | 2012-11-20 | Ford Global Technologies, Llc | Fuel sulfur content-based operation control of a diesel engine |
JP5390166B2 (ja) | 2008-10-30 | 2014-01-15 | 株式会社日本セラテック | 耐食性部材 |
US8206829B2 (en) | 2008-11-10 | 2012-06-26 | Applied Materials, Inc. | Plasma resistant coatings for plasma chamber components |
US9017765B2 (en) | 2008-11-12 | 2015-04-28 | Applied Materials, Inc. | Protective coatings resistant to reactive plasma processing |
US8858745B2 (en) * | 2008-11-12 | 2014-10-14 | Applied Materials, Inc. | Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas |
JP5407324B2 (ja) | 2008-12-24 | 2014-02-05 | 堺化学工業株式会社 | 酸化ジルコニウム分散液の製造方法 |
US8829079B2 (en) | 2009-01-22 | 2014-09-09 | 3M Innovative Properties Company | Surface-modified zirconia nanoparticles |
KR101123719B1 (ko) * | 2009-06-05 | 2012-03-15 | 한국세라믹기술원 | 내플라즈마성 전자빔증착 세라믹 피막 부재 |
US20110086178A1 (en) | 2009-10-14 | 2011-04-14 | General Electric Company | Ceramic coatings and methods of making the same |
TW201129719A (en) * | 2009-10-20 | 2011-09-01 | Saint Gobain Ceramics | Microelectronic processing component having corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer |
JP2013512573A (ja) | 2009-11-25 | 2013-04-11 | グリーン, ツイード オブ デラウェア, インコーポレイテッド | プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板 |
US8679246B2 (en) * | 2010-01-21 | 2014-03-25 | The University Of Connecticut | Preparation of amorphous mixed metal oxides and their use as feedstocks in thermal spray coating |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
FR2957358B1 (fr) | 2010-03-12 | 2012-04-13 | Snecma | Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique |
KR20110117846A (ko) * | 2010-04-22 | 2011-10-28 | 한국세라믹기술원 | 응력완화성이 우수한 플라즈마 저항성 세라믹 탑코트 및 그 제조 방법 |
CN102439195B (zh) * | 2010-04-27 | 2014-09-03 | 株式会社新柯隆 | 半导体发光元件基板的制造方法 |
US20110315081A1 (en) | 2010-06-25 | 2011-12-29 | Law Kam S | Susceptor for plasma processing chamber |
FI125358B (fi) * | 2010-07-09 | 2015-09-15 | Teknologian Tutkimuskeskus Vtt Oy | Termisesti ruiskutettu täysin amorfinen oksidipinnoite |
US20120183790A1 (en) | 2010-07-14 | 2012-07-19 | Christopher Petorak | Thermal spray composite coatings for semiconductor applications |
US20120177908A1 (en) * | 2010-07-14 | 2012-07-12 | Christopher Petorak | Thermal spray coatings for semiconductor applications |
KR101108692B1 (ko) * | 2010-09-06 | 2012-01-25 | 한국기계연구원 | 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법 |
US8766240B2 (en) | 2010-09-21 | 2014-07-01 | Universal Display Corporation | Permeation barrier for encapsulation of devices and substrates |
US10720350B2 (en) | 2010-09-28 | 2020-07-21 | Kla-Tencore Corporation | Etch-resistant coating on sensor wafers for in-situ measurement |
US8916021B2 (en) | 2010-10-27 | 2014-12-23 | Applied Materials, Inc. | Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof |
JP2012128135A (ja) | 2010-12-15 | 2012-07-05 | Seiko Epson Corp | 光学物品およびその製造方法 |
GB201106553D0 (en) * | 2011-04-19 | 2011-06-01 | Pilkington Glass Ltd | Mthod for coating substrates |
US20120285819A1 (en) * | 2011-05-09 | 2012-11-15 | Intermolecular, Inc. | Combinatorial and Full Substrate Sputter Deposition Tool and Method |
US10309018B2 (en) * | 2011-05-31 | 2019-06-04 | United Technologies Corporation | Composite article having layer with co-continuous material regions |
JP5568756B2 (ja) * | 2011-06-29 | 2014-08-13 | トーカロ株式会社 | 耐食性や耐プラズマエロージョン性に優れるサーメット溶射皮膜被覆部材およびその製造方法 |
CN102869211A (zh) * | 2011-07-08 | 2013-01-09 | 深圳富泰宏精密工业有限公司 | 壳体及其制备方法 |
WO2013022459A1 (en) * | 2011-08-05 | 2013-02-14 | Cypress Semiconductor Corporation | Variable profile common mode filter |
US20130048606A1 (en) | 2011-08-31 | 2013-02-28 | Zhigang Mao | Methods for in-situ chamber dry clean in photomask plasma etching processing chamber |
US8840981B2 (en) * | 2011-09-09 | 2014-09-23 | Eastman Kodak Company | Microfluidic device with multilayer coating |
US20130071685A1 (en) | 2011-09-21 | 2013-03-21 | Iwaki Film Processing Co., Ltd. | Product and method for manufacturing the product |
JP2013082993A (ja) * | 2011-09-30 | 2013-05-09 | Tokyo Electron Ltd | マグネトロンスパッタ装置及びマグネトロンスパッタ方法 |
US9023486B2 (en) * | 2011-10-13 | 2015-05-05 | General Electric Company | Thermal barrier coating systems and processes therefor |
WO2013085625A2 (en) | 2011-10-17 | 2013-06-13 | Directed Vapor Technologies International | Impact and erosion resistant thermal and environmental barrier coatings |
US9096763B2 (en) | 2011-12-19 | 2015-08-04 | Praxair S.T. Technology, Inc. | Aqueous slurry for the production of thermal and environmental barrier coatings and processes for making and applying the same |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US20130273313A1 (en) | 2012-04-13 | 2013-10-17 | Applied Materials, Inc. | Ceramic coated ring and process for applying ceramic coating |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US20130288037A1 (en) | 2012-04-27 | 2013-10-31 | Applied Materials, Inc. | Plasma spray coating process enhancement for critical chamber components |
KR101637801B1 (ko) | 2012-05-22 | 2016-07-07 | 가부시끼가이샤 도시바 | 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법 |
US9299956B2 (en) | 2012-06-13 | 2016-03-29 | Aixtron, Inc. | Method for deposition of high-performance coatings and encapsulated electronic devices |
US9150602B2 (en) | 2012-07-24 | 2015-10-06 | Atomic Energy Council, Institute Of Nuclear Energy Research | Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US20140030497A1 (en) * | 2012-07-30 | 2014-01-30 | United Technologies Corporation | Localized transitional coating of turbine components |
US20140037969A1 (en) | 2012-08-03 | 2014-02-06 | General Electric Company | Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition |
JP5934069B2 (ja) | 2012-09-14 | 2016-06-15 | 日本碍子株式会社 | 積層構造体、半導体製造装置用部材及び積層構造体の製造方法 |
DE102012109691B4 (de) * | 2012-10-11 | 2014-08-07 | Von Ardenne Anlagentechnik Gmbh | Solarabsorber-Schichtsystem mit Gradientenschicht und Verfahren zu dessen Herstellung |
KR101249951B1 (ko) * | 2012-10-24 | 2013-04-03 | 아이원스 주식회사 | 공정 장비의 코팅 방법 및 이를 이용한 코팅 구조 |
JP2014116059A (ja) * | 2012-11-16 | 2014-06-26 | Iza Corp | トンネルバリア層又はゲート絶縁膜の製造方法及びトンネルバリア層又はゲート絶縁膜の製造装置 |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US8941969B2 (en) * | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
GB2509335A (en) * | 2012-12-31 | 2014-07-02 | Univ Tartu | Double-structured corrosion resistant coatings and methods of application |
CN103184402B (zh) * | 2013-03-28 | 2015-05-13 | 常州大学 | 一种稀土改性金属陶瓷涂层的制备方法 |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
KR101947796B1 (ko) | 2013-06-29 | 2019-04-22 | 아익스트론 에스이 | 고성능 코팅들을 증착하기 위한 방법 및 캡슐화된 전자 디바이스들 |
US9711334B2 (en) * | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
WO2015073938A1 (en) * | 2013-11-18 | 2015-05-21 | United Technologies Corporation | Article having variable coating |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
US9139908B2 (en) * | 2013-12-12 | 2015-09-22 | The Boeing Company | Gradient thin films |
US9890089B2 (en) * | 2014-03-11 | 2018-02-13 | General Electric Company | Compositions and methods for thermal spraying a hermetic rare earth environmental barrier coating |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) * | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US20150311043A1 (en) * | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
US9460898B2 (en) * | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
US10472286B2 (en) * | 2015-02-10 | 2019-11-12 | University Of Connecticut | Yttrium aluminum garnet based thermal barrier coatings |
-
2014
- 2014-04-25 US US14/262,644 patent/US9869013B2/en active Active
-
2015
- 2015-04-20 JP JP2017507688A patent/JP6630345B2/ja active Active
- 2015-04-20 CN CN201580001478.9A patent/CN105408987B/zh active Active
- 2015-04-20 KR KR1020167002591A patent/KR20160147699A/ko active IP Right Grant
- 2015-04-20 CN CN202010512920.7A patent/CN111640643A/zh active Pending
- 2015-04-20 WO PCT/US2015/026677 patent/WO2015164263A1/en active Application Filing
- 2015-04-20 CN CN201711275217.3A patent/CN107916399B/zh active Active
- 2015-04-20 KR KR1020177035798A patent/KR20170141277A/ko active IP Right Grant
- 2015-04-22 TW TW104112933A patent/TWI665322B/zh active
- 2015-04-22 TW TW106142829A patent/TWI706047B/zh active
- 2015-04-22 TW TW109128863A patent/TW202102699A/zh unknown
-
2016
- 2016-07-15 US US15/211,921 patent/US9970095B2/en active Active
-
2017
- 2017-09-27 US US15/717,844 patent/US10544500B2/en active Active
- 2017-11-28 US US15/824,912 patent/US10563297B2/en active Active
- 2017-12-04 JP JP2017232470A patent/JP6522724B2/ja active Active
-
2019
- 2019-12-06 JP JP2019220830A patent/JP6956774B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
TW201812055A (zh) | 2018-04-01 |
JP6522724B2 (ja) | 2019-05-29 |
US20180080116A1 (en) | 2018-03-22 |
KR20170141277A (ko) | 2017-12-22 |
TWI706047B (zh) | 2020-10-01 |
CN105408987B (zh) | 2020-06-23 |
CN107916399A (zh) | 2018-04-17 |
US20150311044A1 (en) | 2015-10-29 |
JP2020065058A (ja) | 2020-04-23 |
TW202102699A (zh) | 2021-01-16 |
US9970095B2 (en) | 2018-05-15 |
JP6956774B2 (ja) | 2021-11-02 |
TWI665322B (zh) | 2019-07-11 |
WO2015164263A1 (en) | 2015-10-29 |
JP2017520126A (ja) | 2017-07-20 |
JP2018080396A (ja) | 2018-05-24 |
US9869013B2 (en) | 2018-01-16 |
US20160326626A1 (en) | 2016-11-10 |
KR20160147699A (ko) | 2016-12-23 |
US20180030589A1 (en) | 2018-02-01 |
CN105408987A (zh) | 2016-03-16 |
US10563297B2 (en) | 2020-02-18 |
US10544500B2 (en) | 2020-01-28 |
CN107916399B (zh) | 2020-05-12 |
TW201606105A (zh) | 2016-02-16 |
CN111640643A (zh) | 2020-09-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6630345B2 (ja) | 希土類酸化物のイオンアシスト蒸着トップコート | |
US11424136B2 (en) | Rare-earth oxide based coatings based on ion assisted deposition | |
JP6487915B2 (ja) | プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着 | |
JP2019108612A (ja) | プラズマ耐食性希土類酸化物系薄膜コーティング |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20180411 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20190326 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20190402 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190701 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20190902 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20191002 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20191112 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20191206 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6630345 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |