JP6956774B2 - 希土類酸化物のイオンアシスト蒸着トップコート - Google Patents

希土類酸化物のイオンアシスト蒸着トップコート Download PDF

Info

Publication number
JP6956774B2
JP6956774B2 JP2019220830A JP2019220830A JP6956774B2 JP 6956774 B2 JP6956774 B2 JP 6956774B2 JP 2019220830 A JP2019220830 A JP 2019220830A JP 2019220830 A JP2019220830 A JP 2019220830A JP 6956774 B2 JP6956774 B2 JP 6956774B2
Authority
JP
Japan
Prior art keywords
protective layer
conformal
thin film
article
film protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019220830A
Other languages
English (en)
Other versions
JP2020065058A (ja
Inventor
ジェニファー ワイ サン
ビラジャ ピー カヌンゴ
バヒド フィロウズドア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020065058A publication Critical patent/JP2020065058A/ja
Application granted granted Critical
Publication of JP6956774B2 publication Critical patent/JP6956774B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/14Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by a layer differing constitutionally or physically in different parts, e.g. denser near its faces
    • B32B5/145Variation across the thickness of the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/02Physical, chemical or physicochemical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/046Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with at least one amorphous inorganic material layer, e.g. DLC, a-C:H, a-C:Me, the layer being doped or not
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/048Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/044 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/44Number of layers variable across the laminate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/20Inorganic coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/704Crystalline
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/724Permeability to gases, adsorption
    • B32B2307/7242Non-permeable
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/752Corrosion inhibitor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B33/00Layered products characterised by particular properties or particular surface features, e.g. particular surface coatings; Layered products designed for particular purposes not covered by another single class
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • Y10T428/24339Keyed
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

本発明の実施形態は、概して、イオンアシスト蒸着(IAD)された薄膜の耐プラズマ性保護層を有するチャンバコンポーネントに関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチング及びプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するために、プラズマの高速流に基板を曝露させる。プラズマは、非常に浸食性がある可能性があり、処理チャンバ及びプラズマに曝露される他の表面を浸食する可能性がある。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 イオンアシスト蒸着(IAD)のような高エネルギー粒子を利用した様々な堆積技術に適用可能な堆積メカニズムを示す。 IAD堆積装置の概略図を示す。 1以上の薄膜保護層で覆われた物品の断面側面図を示す。 一実施形態に係る、希土類酸化物の耐プラズマ層を有するチャンバライナーを示す。 物品上に1以上の保護層を形成するためのプロセスの一実施形態を示す。 金属ターゲットによるIAD又はPVDを使用して、物品の本体上に薄膜保護層を形成するためのプロセスの一実施形態を示す。 AlとY−ZrOの固溶体とのセラミックス化合物から形成されたプラズマ溶射された保護層上に堆積され、またYAlとY−ZrOの固溶体とのセラミックス化合物から形成された薄膜保護層を有する物品の走査型電子顕微鏡(SEM)画像を示す。 本発明の実施形態に従って形成された薄膜保護層に対する、それぞれCH−Cl及びCHF−NF−Clの化学物質の下での浸食速度を示す。 本発明の実施形態に従って形成された薄膜保護層に対する、それぞれCH−Cl及びCHF−NF−Clの化学物質の下での粗さプロファイルを示す。
実施形態の詳細な説明
本発明の実施形態は、物品の1以上のプラズマ対向面上に薄膜保護層を有するエッチングリアクタ用の物品(例えば、チャンバコンポーネント)を提供する。保護層は、最大約300μmの厚さを有することができ、物品を保護するためにプラズマ耐食性を提供することができる。保護層は、イオンアシスト蒸着(IAD)を用いて(例えば、電子ビームIAD(EB−IAD)又はイオンビームスパッタリングIAD(IBS−IAD)を用いて)又は物理蒸着(PVD)を用いて、物品上に形成することができる。薄膜保護層は、YAl12、Y、YAl、Er、Gd、ErAl12、GdAl12、YAlとY−ZrOの固溶体とを含むセラミックス化合物、又は、別の希土類酸化物とすることができる。一実施形態では、IAD又はPVDは、金属ターゲットを用いて実行され、希土類酸化物は、インサイチューに形成される。薄膜保護層によって提供される改善された耐食性は、メンテナンス及び製造コストを低減しつつ、物品の耐用年数を向上させることができる。また、IADコーティングは、プラズマ溶射コーティング上のトップコートとして堆積させることができる。IADコーティングは、プラズマ溶射コ−ティング内の細孔及び亀裂をシールし、これによって処理ガスのチャンバコンポーネントとの反応量並びに微量の金属汚染レベルを大幅に低減することができる。IADコーティングはまた、粒子欠陥を低減させるためにプラズマ溶射コーティング上に存在した任意の自由な粒子を埋め込むことができる。
図1は、本発明の実施形態に係る薄膜保護層で被覆された1以上のチャンバコンポーネントを有する半導体処理チャンバ100の断面図である。処理チャンバ100は、内部に腐食性のプラズマ環境が提供されるプロセスのために使用することができる。例えば、処理チャンバ100は、プラズマエッチングリアクタ(プラズマエッチング装置としても知られる)、プラズマ洗浄機などのためのチャンバとすることができる。薄膜保護層を含むことができるチャンバコンポーネントの例は、基板支持アセンブリ148、静電チャック(ESC)150、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、シャワーヘッド、チャンバライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋104、ノズル、フローイコライザ(FEQ)などを含む。特定の一実施形態では、保護層は、チャンバ蓋104及び/又はチャンバノズル132上に塗布される。
以下でより詳細に説明される薄膜保護層は、イオンアシスト蒸着(IAD)又は物理蒸着(PVD)によって堆積された希土類酸化物層である。薄膜保護層は、Y及びY系希土類酸化物複合材料、Er及びEr系希土類酸化物複合材料、Gd及びGd系希土類酸化物複合材料、Nd及びNd系セラミックス、Er系希土類酸化物複合材料、Ga系希土類酸化物複合材料、又はAlNを含むことができる。様々な実施形態では、薄膜保護層は、YAl12(YAG)、YAl(YAM)、ErAl12(EAG)、GdAl12(GAG)、YAlO(YAP)、ErAl(EAM)、ErAlO(EAP)、GdAl(GdAM)、GdAlO(GdAP)、NdAl12(NdAG)、NdAl(NdAM)、NdAlO(NDAP)、及び/又は、YAlとY−ZrOの固溶体とを含むセラミックス化合物から構成される。薄膜保護層はまた、Er−Y組成物(例えば、Erを80重量%、及びYを20重量%)、Er−Al−Y組成物(例えば、Erを70重量%、Alを10重量%、及びYを20重量%)、ER−Y−Zr系組成物(例えば、Erを70重量%、Yを20重量%、及びZrを10重量%)、又はEr−Al組成物(例えば、Erを80重量%、及びAlを20重量%)を含むこともできる。なお、重量%は重量百分率を意味する。対称的に、モル%は、モル分率である。
薄膜保護層はまた、上記セラミックスのいずれかによって形成された固溶体に基づくことができる。YAlとY−ZrOの固溶体とを含むセラミックス化合物を参照すると、一実施形態では、セラミックス化合物は、62.93モル比(モル%)のYと、23.23モル%のZrOと、13.94モル%のAlを含む。別の一実施形態では、セラミックス化合物は、50〜75モル%の範囲内のYと、10〜30モル%の範囲内のZrOと、10〜30モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜100モル%の範囲内のYと、0〜60モル%の範囲内のZrOと、0〜10モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、30〜50モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜50モル%の範囲内のYと、20〜40モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、70〜90モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、60〜80モル%の範囲内のYと、0〜10モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、30〜40モル%の範囲内のAlを含むことができる。他の実施形態では、他の配分もまた、セラミックス化合物のために使用することができる。
一実施形態では、Y、ZrO、Er、Gd、及びSiOの組み合わせを含む代替セラミックス化合物が、保護層用に使用される。一実施形態では、代替セラミックス化合物は、40〜45モル%の範囲内のYと、0〜10モル%の範囲内のZrOと、35〜40モル%の範囲内のErと、5〜10モル%の範囲内のGdと、5〜15モル%の範囲内のSiOを含むことができる。第1実施例では、代替セラミックス化合物は、40モル%のY、5モル%のZrO、35モル%のEr、5モル%Gd、及び15モル%のSiOを含む。第2実施例では、代替セラミックス化合物は、45モル%のY、5モル%のZrO、35モル%のEr、10モル%Gd、及び5モル%のSiOを含む。第3実施例では、代替セラミックス化合物は、40モル%のY、5モル%のZrO、40モル%のEr、7モル%Gd、及び8モル%のSiOを含む。
一実施形態では、Y、ZrO、Er、及びAlの組み合わせを含む代替セラミックス化合物が、保護層用に使用される。一実施形態では、代替セラミックス化合物は、25モル%のYと、25モル%のZrOと、25モル%のErと、25モル%のAlを含むことができる。
一実施形態では、Y、Gd、及びAlの組み合わせを含む代替セラミックス化合物が、保護層用に使用される。代替セラミックス化合物は、6.9〜22.1モル%のYと、14.1〜44.9モル%のGdと、33.0〜79モル%のAlを含むことができる。一実施形態では、代替セラミックス化合物は、22.1モル%のY、44.9モル%のGd、及び33.0モル%のAlを含む。別の一実施形態では、代替セラミックス化合物は、16.5モル%のY、33.5モル%のGd、及び50.0モル%のAlを含む。別の一実施形態では、代替セラミックス化合物は、12.5モル%のY、25.5モル%のGd、及び62.0モル%のAlを含む。別の一実施形態では、代替セラミックス化合物は、6.9モル%のY、14.1モル%のGd、及び79.0モル%のAlを含む。
上記薄膜保護層のいずれも、微量の他の材料(例えば、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物)を含んでもよい。
薄膜保護層は、酸化物系セラミックス、窒化物系セラミックス、及び炭化物系セラミックスを含む異なるセラミックス物品上に塗布されたIADコーティングとすることができる。酸化物系セラミックスの例は、SiO(石英)、Al、Yなどを含む。炭化物系セラミックスの例は、SiC、Si−SiCなどを含む。窒化物系セラミックスの例は、AlN、SiNなどを含む。薄膜保護層はまた、プラズマ溶射された保護層上に塗布されたIADコーティングとすることができる。プラズマ溶射された保護層は、YAl12、Y、YAl、Er、Gd、ErAl12、GdAl12、YAlとY−ZrOの固溶体とを含むセラミックス化合物、又は他のセラミックスとすることができる。
図示されるように、蓋130及びノズル132はそれぞれが、一実施形態に係る薄膜保護層133、134を有する。しかしながら、他のチャンバコンポーネント(例えば、上に列挙したもの)のいずれも、薄膜保護層もまた含むことができることを理解すべきである。例えば、処理チャンバ100の内側ライナー及び/又は外側ライナーは、薄膜保護層を含むことができる。
一実施形態では、処理チャンバ100は、内部容積106を囲むチャンバ本体102及び蓋130を含む。蓋130は、その中心に穴を有し、ノズル132を穴に挿入することができる。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ本体102は、一般的に、側壁108及び底部110を含む。蓋130、ノズル132、側壁108、及び/又は底部110のいずれも、プラズマ溶射された保護層及び/又はプラズマ溶射された保護層上のトップコートとしての役割を果たすことができる薄膜保護層を含むことができる。
外側ライナー116は、チャンバ本体102を保護するために、側壁108に隣接して配置することができる。外側ライナー116は、プラズマ蒸着された保護層及び/又は薄膜保護層を含むことができる。一実施形態では、外側ライナー116は、酸化アルミニウムから製造される。一実施形態では、外側ライナー116は、プラズマ溶射されたY保護層を有するアルミニウム合金(例えば、6061アルミニウム)から製造される。薄膜保護層は、外側ライナー上のY保護層上のトップコートとしての役割を果たすことができる。
排気口126は、チャンバ本体102内に形成されることができ、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、排気して処理チャンバ100の内部容積106の圧力を調整するために使用される1以上のポンプ及びスロットルバルブを含むことができる。
蓋130は、チャンバ本体102の側壁108に支持させることができる。蓋130は、処理チャンバ100の内部容積106へのアクセスを可能にするために開くことができ、閉じると同時に処理チャンバ100に対するシールを提供することができる。ガスパネル158は、処理チャンバ100に結合され、これによってノズル132を通して内部容積106に処理ガス及び/又は洗浄ガスを提供することができる。蓋130は、セラミックス(例えば、Al、Y、YAG、SiO、AlN、SiN、SiC、Si−SiC、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物)とすることができる。ノズル132もまた、セラミックス(例えば、蓋用に挙げたこれらのセラミックスのいずれか)とすることができる。蓋130及び/又はノズル132はそれぞれ、薄膜保護層133、134でコーティングすることができる。
処理チャンバ100内で基板を処理するために使用することができる処理ガスの例は、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSiF)及び他のガス(例えば、O、又はNO)を含む。キャリアガスの例は、N、He、Ar、及び処理ガスに不活性な他のガス(例えば、非反応性ガス)を含む。基板支持アセンブリ148は、蓋130の下の処理チャンバ100の内部容積106内に配置される。基板支持アセンブリ148は、処理中に基板144を保持する。リング146(例えば、単一リング)は、静電チャック150の一部を覆うことができ、処理中に覆われた部分をプラズマへの曝露から保護することができる。リング146は、一実施形態では、シリコン又は石英とすることができる。
内側ライナー118は、基板支持アセンブリ148の周縁部上で被覆されてもよい。内側ライナー118は、ハロゲン含有ガスレジスト材料(例えば、外側ライナー116を参照して説明したもの)とすることができる。一実施形態では、内側ライナー118は、外側ライナー116と同一の材料から製造することができる。また、内側ライナー118は、プラズマ溶射された保護層及び/又はIAD堆積された薄膜保護層で被覆することができる
一実施形態では、基板支持アセンブリ148は、台座152を支持する取付板162と、静電チャック150を含む。静電チャック150は、熱伝導性ベース164と、接着剤138(一実施形態では、シリコーン接着剤とすることができる)によって熱伝導性ベースに接合された静電パック166を更に含む。取付板162は、チャンバ本体102の底部110に結合され、ユーティリティ(例えば、流体、電力線、センサリード線など)を熱導電性ベース164及び静電パック166へルーティングするための通路を含む。
熱伝導性ベース164及び/又は静電パック166は、1以上のオプションの埋設された加熱素子176、埋設された熱絶縁体174、及び/又は導管168、170を含み、これによって支持アセンブリ148の横方向の温度プロファイルを制御することができる。導管168、170は、導管168、170を介して温度調節流体を循環させる流体源172に流体結合させることができる。埋設された熱絶縁体174は、一実施形態では、導管168、170間に配置することができる。ヒータ176は、ヒータ電源178によって調整される。導管168,170及びヒータ176は、熱伝導性ベース164の温度を制御するために利用され、これによって静電パック166及び処理される基板(例えば、ウェハ)を加熱及び/又は冷却することができる。静電パック166及び熱伝導性ベース164の温度は、コントローラ195を使用して監視することができる複数の温度センサ190、192を使用して監視することができる。
静電パック166は、複数のガス通路(例えば、溝、メサ、及びパック166の上面内に形成可能な他の表面構造)を更に含むことができる。ガス通路は、パック166内に開けられた穴を介して熱伝達(又は裏面)ガス(例えばHe)の供給源に流体結合させることができる。稼働時には、裏面ガスは制御された圧力でガス通路内へ供給され、これによって静電パック166と基板144との間の熱伝達を向上させることができる。
静電パック166は、チャッキング電源182によって制御された少なくとも1つのクランピング電極180を含む。電極180(又はパック166又はベース164内に配置された他の電極)は、処理チャンバ100内で処理ガス及び/又は他のガスから形成されたプラズマを維持するために整合回路188を介して1以上のRF電源184、186に更に結合させることができる。電源184、186は、一般的に、約50kHz〜約3GHzの周波数及び最大約10000ワットの電力を有するRF信号を生成することができる。
図2Aは、イオンアシスト蒸着(IAD)及びPVDなどの高エネルギー粒子を利用した様々な堆積技術に適用可能な堆積メカニズムを示す。いくつかの実施形態は、IADを参照して説明される。しかしながら、代替の実施形態はまた、PVD堆積法でも使用することができることを理解すべきである。典型的なIAD法は、イオン衝突を組み込む堆積プロセス(例えば、耐プラズマ性コーティングを形成するためのイオン衝突の存在下での蒸着(例えば、活性化反応性蒸着法(ARE)又は電子ビームイオンアシスト蒸着(EB−IAD))及びスパッタリング(例えば、イオンビームスパッタリングイオンアシスト蒸着(IBS−IAD)))を含む。EB−IADは、蒸着によって実行することができる。IBS−IADは、固体ターゲット材料をスパッタリングすることによって実行することができる。
図示されるように、薄膜保護層215は、イオン(例えば、酸素イオン又は窒素イオン)などの高エネルギー粒子203の存在下で堆積材料202の蓄積によって物品210上に又は複数の物品210A、210B上に形成される。物品210A、210Bは、金属(例えば、アルミニウム合金、ステンレス鋼など)、セラミックス(例えば、Al、Y、AlN、SiOなど)、又は高分子系材料とすることができる。物品210A、210Bは、少なくとも1つの表面にプラズマ溶射コーティング(例えば、Yコーティング)を既に有していてもよい。IAD又はPVDプロセスは、プラズマ溶射コーティングの上にトップコートを提供するために実行してもよい。
堆積材料202は、原子、イオン、ラジカルなどを含むことができる。高エネルギー粒子203は、薄膜保護層215が形成されるとき、薄膜保護層215に衝突し、圧縮することができる。IAD又はPVD法のいずれも、反応性ガス種(例えば、O2、N2、ハロゲンなど)の存在下で実行することができる。このような反応種は、堆積の前及び/又は堆積中に表面の有機汚染物質を焼失させることができる。
一実施形態では、薄膜保護層215を形成するために、EB−IADが利用される。別の一実施形態では、IBS−IADが、薄膜保護層215を形成するために利用される。あるいはまた、PVDが、薄膜保護層215を形成するために利用される。図2Bは、IAD堆積装置の概略図を示している。図示されるように、材料源250は、堆積材料202のフラックスを提供し、一方、高エネルギー粒子源255は、高エネルギー粒子203のフラックスを提供し、これらの両方とも、IADプロセスを通して物品210、210A、210Bに衝突する。高エネルギー粒子源255は、酸素、窒素、又は他のイオン源とすることができる。高エネルギー粒子源255はまた、粒子の発生源由来(例えば、プラズマ、反応性ガス由来、又は堆積材料を提供する材料源由来)の他の種類の高エネルギー粒子(例えば、不活性ラジカル、中性子原子、及びナノサイズ粒子)を提供することができる。
IADコーティングターゲット材料は、か焼粉末、予め形成された(例えば、グリーン体プレス、ホットプレスなどによって形成された)塊、(例えば、50〜100%の密度を有する)焼結体、又は(例えば、セラミックス、金属、又は金属合金とすることができる)機械加工体とすることができる。一実施形態では、堆積材料を提供するために使用される材料源(例えば、ターゲット本体)は、薄膜保護層215を構成する同じセラミックスに対応するセラミックスである。一実施形態では、材料源は、薄膜保護層215を構成する同じセラミックスに対応するバルク焼結セラミックスである。例えば、材料源は、バルク焼結セラミックス複合体、又はバルク焼結YAG、Er、Gd、ErAl12、又はGdAl12、又は上述した他のセラミックスとすることができる。他のターゲット材料(例えば、粉末、か焼粉末、予め成形された(例えば、グリーン体プレス又はホットプレスによって形成された)材料、又は機械加工体(例えば、溶融材料)もまた使用することができる。材料源250の異なる種類のすべてが、堆積中に溶融材料源に溶融される。しかしながら、出発物質の異なる種類は、溶融するのに異なる時間量が掛かる。溶融材料及び/又は機械加工体は、最も速く溶かすことができる。予め成形された材料は、溶融材料よりもゆっくり溶け、か焼粉末は、予め成形された材料よりもゆっくり溶け、標準粉末は、か焼粉末よりもゆっくりと溶ける。
別の一実施形態では、堆積材料を提供するために使用される材料源(例えば、ターゲット本体)は、金属ターゲットである。セラミックスターゲットではなく金属ターゲットの使用は、典型的には、IAD又はPVD堆積された層の堆積速度を増加させる。金属ターゲット材料は、蒸発又はスパッタリングさせることができ、セラミックス層を形成するために、インサイチューで1以上のガスと反応することができる。一実施形態では、酸素又は窒素ラジカルが、IAD堆積中に堆積チャンバ内に流される。蒸発又はスパッタリングされた金属は、酸化物又は窒化物セラミックス層を形成するために、酸素又は窒素ラジカルと反応する。例えば、イットリウム金属ターゲットを、蒸発又はスパッタリングさせることができ、YのIAD堆積層を形成するために、酸素ラジカルと反応することができる。別の一例では、アルミニウム金属ターゲットは、蒸発又はスパッタリングさせ、AlNのIAD堆積層を形成するために、窒素ラジカルと反応する。ターゲットとして使用することができる他の例の希土類金属は、アルミニウム、エルビウム、及びガドリニウムを含む。
複合酸化物組成物を形成するために、種々の金属合金が、ターゲット材料として使用可能である。耐プラズマ性希土類酸化物層を堆積させるために使用することができるいくつかの例の金属合金は、イットリウム・ジルコニウム合金、イットリウム・ジルコニウム・アルミニウム合金、エルビウム・アルミニウム合金、ガドリニウム・アルミニウム合金、イットリウム・エルビウム・ジルコニウム・アルミニウム合金、イットリウム・エルビウム・ジルコニウム・ガドリニウム・シリコン合金、及びイットリウム・ガドリニウム・アルミニウム合金を含む。
形成される薄膜保護層215中の酸素含有量又は窒素含有量を制御するために、酸素又は窒素ラジカルの流量を調整することができる。一実施形態では、酸素又は窒素ラジカルの低い流速が最初に使用され、これによって低濃度の酸素又は窒素を有する金属系コーティングを堆積させる。これは、薄膜保護層215と物品210との間の物理的特性の違いによって誘導される任意の不整合応力を最小化又は排除することができる。堆積プロセスが続くにつれて、酸素又は窒素ラジカルの流量は、徐々に増加させることができる。流量は、例えば、堆積プロセスの間に、直線的に、指数関数的に、又は対数的に、増加させることができる。その後、薄膜保護層215の上部は、酸素又は窒素の高い濃度を有し、酸化物又は窒化物とすることができる。例えば、堆積は、Al金属を蒸発させることにより、アルミニウム合金からなる基板上で開始することができる。酸素の最小濃度による本質的にアルミニウムコーティングの堆積を1μmした後に、チャンバ内の酸素ラジカルの濃度を増加させることができ、これによって堆積のもう1μmを、酸素のより大きな濃度を有するAlとして、チャンバ内の酸素ラジカルの濃度は、更に増加して、コーティングの残りをAlにすることができる。イオンアシストはまた、中性イオン(例えばAr)を含むことができる。蒸発及び堆積時に、材料が酸素を失った場合、酸素欠損は、チャンバ内に酸素を流出させることによって補償することができる。
IADは、材料及び高エネルギーイオン源を提供するために、1以上のプラズマ又はビーム(例えば、電子ビーム)を利用することができる。反応種もまた、耐プラズマ性コーティングの堆積中に供給することができる。一実施形態では、高エネルギー粒子203は、非反応種(例えば、Ar)又は反応種(例えば、O又はN)のうちの少なくとも1つを含む。例えば、酸素イオン又は窒素イオンは、IAD堆積中に物品210に衝突させるために使用することができる。これらの酸素又は窒素イオンは、インサイチューで蒸発した又はスパッタリングされた金属と更に反応する可能性がある。酸素又は窒素イオンの衝突は、インサイチューで蒸発した又はスパッタリングされた金属と反応するために、処理チャンバ内に酸素又は窒素ラジカルを流すことの代わりに、又はそれに加えて使用してもよい。
更なる実施形態では、反応種(例えば、CO)及びハロゲン(Cl、F、Brなど)もまた、耐プラズマコーティングの形成中に導入し、これによって薄膜保護層215に最も弱く結合された堆積材料を選択的に除去する傾向を更に高めることができる。
IADプロセスによって、高エネルギー粒子203は、高エネルギーイオン(又は他の粒子)源255によって、他の堆積パラメータとは独立して制御することができる。エネルギー(例えば、速度)、高エネルギーイオンフラックスの密度及び入射角は、薄膜保護層の組成、構造、結晶配向及び粒径を制御するために調整することができる。調整可能な追加のパラメータは、堆積中の物品の温度、並びに堆積の期間である。
イオンアシストエネルギーは、コーティングを高密度化し、基板の表面上への材料の堆積を加速するために使用される。イオンアシストエネルギーは、イオン源の電圧と電流の両方を使用して変更することができる。電圧と電流は、コーティングの応力と、コーティングの結晶化度を操作するために、高い及び低いコーティング密度を達成するように調整することができる。イオンアシストエネルギーは、約50〜500ボルト(V)及び約1〜50アンペア(A)の範囲とすることができる。イオンアシストエネルギーはまた、コーティングの化学量論を意図的に変更するために使用することができる。例えば、金属ターゲットは、堆積中に使用することができ、金属酸化物に転換される。
コーティング温度は、堆積チャンバ及び/又は基板を加熱するためのヒータを使用し、堆積速度を調節することによって制御することができる。一実施形態では、IAD堆積チャンバ(及びその中の物品)は、堆積前に160℃以上の開始温度まで加熱される。一実施形態では、開始温度は、160℃〜500℃である。一実施形態では、開始温度は、200℃〜270℃である。その後、チャンバ及び物品の温度は、堆積中、開始温度に維持することができる。一実施形態では、IADチャンバは、加熱を行う加熱ランプを含む。代替実施形態では、IADチャンバ及び物品は、加熱されない。チャンバが加熱されない場合、IADプロセスの結果として、自然に約160℃まで温度が上昇する。堆積中のより高い温度は、保護層の密度を高めることができるが、保護層の機械的応力もまた増大させる可能性がある。能動冷却は、コーティング中に低い温度を維持するためにチャンバに加えることができる。一実施形態では、160℃以下で最低0℃までの任意の温度で、低温を維持することができる。一実施形態では、物品は、堆積中に、150℃以下の温度を維持するために冷却される。IAD堆積中にプラズマ溶射保護層が物品から剥離するのを防止するために、物品は150℃以下に維持することができる。堆積温度は、膜応力、結晶化度、及び他のコーティング特性を調整することために使用することができる。
調整することができる追加のパラメータは、作動距離270及び入射角度272である。作動距離270は、材料源250と物品210A、210Bとの間の距離である。一実施形態では、作動距離は、0.2〜2.0メートルであり、特定の一実施形態では、作動距離は1.0メートル以下である。作動距離を減少させると、堆積速度が増加し、イオンエネルギーの有効性が増加する。しかしながら、特定の点よりも下に作動距離を減少させると、保護層の均一性を低下させる可能性がある。最も高い均一性を有するコーティングを達成するために、作動距離は変えることができる。また、作動距離は、堆積速度及びコーティングの密度に影響を与える可能性がある。一実施形態では、1.0メートル未満の作動距離が使用され、これによって薄膜保護層内に最大5〜10%の不均一性を導入することを犠牲にして増加した堆積速度を提供する。
入射角は、堆積材料202が物品210A、210Bに衝突する角度である。入射角は、基板の位置及び/又は向きを変えることによって変更することができる。一実施形態では、入射角は、10〜90度であり、特定の一実施形態では、入射角は約30度である。入射角を最適化することにより、三次元幾何学的形状内の均一なコーティングを達成することができる。
IADコーティングは、約0.5マイクロインチ(μin)から約180μinまでの粗さを有する表面状態の広い範囲の上に塗布することができる。しかしながら、より滑らかな表面は、均一なコーティングによる被覆を促進する。コーティングの厚さは、最大約1000ミクロン(μm)とすることができる。製造時に、コンポーネント上のコーティングの厚さは、意図的に、コーティング層スタックの底部に、希土類酸化物系の着色剤(例えば、Nd、Sm、Erなど)を添加することによって評価することができる。厚さはまた、偏光解析法を用いて正確に測定することができる。
IADコーティングは、コーティングを作成するために使用される希土類酸化物複合材料に応じてアモルファス又は結晶性とすることができる。例えば、EAG及びYAGは、アモルファスコーティングであり、一方、Er及びYAlとY−ZrOの固溶体とを含むセラミックス化合物は、典型的には結晶性である。アモルファスコーティングは、より適合性があり(コンフォーマルであり)、格子不整合に誘導されるエピタキシャルな亀裂を減らし、一方、結晶性コーティングは、耐食性がより高い。
コーティング構造は、二層又は多層構造とすることができる。二層構造では、エピタキシャルな亀裂を最小化するためにアモルファス層をバッファ層として堆積し、続いて耐食性とすることができる結晶性の層を最上部に堆積することができる。多層設計では、層材料は、基板から最上層まで滑らかな熱勾配を生じさせるために使用することができる。
複数の電子ビーム(e−ビーム)銃を用いた複数のターゲットの共蒸着は、より厚いコーティング並びに層構造を作成するために達成することができる。例えば、同一の材料タイプを有する2つのターゲットを同時に使用することができる。各ターゲットは、異なる電子ビーム銃によって衝突させることができる。これは、堆積速度と保護層の厚さを増加させることができる。別の一実施例では、2つのターゲットは、異なるセラミックス材料又は異なる金属材料とすることができる。第1の電子ビーム銃は、第1の保護層を堆積させるために第1のターゲットに衝突させることができ、第2の電子ビーム銃は、その後、第1の保護層とは異なる材料組成を有する第2の保護層を形成するために、第2のターゲットに衝突させることができる。あるいはまた、2つの電子ビーム銃は、2つのターゲットに同時に衝突し、これによって複雑なセラミックス化合物を作ることができる。したがって、複雑なセラミックス化合物を形成するために、単一の金属合金ではなく、2つの異なる金属ターゲットを使用することができる。
コーティング後の熱処理は、改善されたコーティング特性を達成するために使用することができる。例えば、それは、より高い耐食性を有する結晶性コーティングにアモルファスコーティングを変質させるために使用することができる。別の一実施例は、反応ゾーン又は遷移層を形成することによりコーティングの基板への接合強度を改善するためのものである。
一実施形態では、物品が、IADチャンバ内で並列に処理される。例えば、一実施形態では、最大5つの蓋及び/又はノズルを、並列に処理することができる。各物品は、異なる固定具によって支持することができる。あるいはまた、単一の固定具が、複数の物品を保持するように構成されてもよい。固定具は、堆積中に支持されている物品を移動させることができる。
一実施形態では、物品(例えば、チャンバライナー)を保持するための固定具は、金属コンポーネント(例えば、冷間圧延鋼)又はセラミックス(例えば、Al、Y)などから設計することができる。固定具は、材料源及び電子ビーム銃の上又は下でチャンバライナーを支持するために使用することができる。固定具は、より安全かつ簡単にハンドリングするため、並びにコーティング中に、蓋及びノズルをチャックするためのチャッキング機能を有することができる。また、固定具は、チャンバライナーの向きを変える、又は位置合わせする機能を有することができる。一実施形態では、固定具は、支持されたチャンバライナーの向きを原材料へと変えるために再配置及び/又は1以上の軸の周りに回転させることができる。固定具はまた、堆積前及び/又は堆積中に、作動距離及び/又は入射角を変更するために再配置することができる。固定具は、コーティング中に物品の温度を制御するための冷却又は加熱チャネルを有することができる。IADは直進的プロセスであるので、チャンバライナーを再配置し回転させる機能は、3D表面(例えば、穴)の最大コーティング被覆を可能にするかもしれない。
Figure 0006956774
表1は、92%のAl(アルミナ)の基板に対する、及び92%のAlの基板を被覆する様々なIAD薄膜保護層に対する材料特性を示す。表中の「C」は、結晶構造を表し、「A」は、非晶質構造を表す。図示のように、アルミナ基板は、363ボルト/ミル(V/mil)の絶縁破壊電圧を有する。対照的に、YAlとY−ZrOの固溶体とを含むIAD堆積されたセラミックス化合物の5ミクロン(μm)のコーティングは、(アルミナに対する363ボルト/ミルの正規化された値よりもはるかに大きい)427Vの絶縁破壊電圧を有する。IAD堆積されたYAGの5μmのコーティングは、1223Vの絶縁破壊電圧を有する。IAD堆積されたErの5μmのコーティングは、527Vの絶縁破壊電圧を有する。IAD堆積されたEAGの5μmのコーティングは、900Vの絶縁破壊電圧を有する。IAD堆積されたYの5μmのコーティングは、1032Vの絶縁破壊電圧を有する。IAD堆積されたYZ20の5μmのコーティングは、423Vの絶縁破壊電圧を有する。
アルミナの体積抵抗率は、室温で約0.01×1016(0.01E16)Ω・cmである。セラミックス化合物薄膜保護層の体積抵抗率は、室温で約4.1E16Ω・cmであり、YAG薄膜保護層の体積抵抗率は、室温で約11.3E16Ω・cmである。
アルミナの誘電率は、約9.2であり、セラミックス化合物薄膜の誘電率は、約9.83であり、YAG薄膜の誘電率は、約9.76であり、Er薄膜の誘電率は、約9.67であり、EAG薄膜の誘電率は約9.54である。アルミナの損失正接は、約5E−4であり、セラミックス化合物薄膜の損失正接は、約4E−4であり、YAG薄膜の損失正接は、約4E−4であり、Er薄膜の損失正接は、約4E−4であり、EAG薄膜の損失正接は、約4E−4である。92%アルミナの熱伝導率は、約18W/m・Kである。92%アルミナ上のセラミックス化合物薄膜の5μmコーティングのスタックの熱伝導率は、約19.9W/m・Kである。92%アルミナ上のYAG薄膜の5μmコーティングのスタックの熱伝導率は、約20.1W/m・Kである。92%アルミナ上のEr薄膜の5μmコーティングのスタックの熱伝導率は、約19.4W/m・Kである。92%アルミナ上のEAG薄膜の5μmコーティングのスタックの熱伝導率は、約19.2W/m・Kである。
アルミナ基板は、一実施形態では約8〜16マイクロインチの初期粗さを有することができ、その初期粗さは、薄膜保護層のすべてでほぼ変わらなくすることができる。一例では、YAlとY−ZrOの固溶体とを含むセラミックス化合物のプラズマ溶射コーティングを有する物品は、比較的高い表面粗さを有する。プラズマ溶射コーティングの表面は、211マイクロインチ(μinch)の算術平均うねり(Wa)及び標準偏差(STDEV)が43、230μinchの算術平均粗さ(Ra)及びSTDEVが14、272μmの平均長さ(RSm)及びSTDEVが69、19μmの標準高さ(Rc)及びSTDEVが5、及び1,726,330μmの表面積及び標準偏差が37,336を有することができる。YAlとY−ZrOの固溶体とを含むセラミックス化合物の5μm厚の薄膜保護層の堆積後、これらの粗さの測定値の各々は、低減させることができる。提供された例では、Waは187μinch(STDEVは35)まで低減し、Raは191μinch(STDEVは30)まで低減し、RSmは178μm(STDEVは34)まで低減し、Rcは17μm(STDEVは3.7)まで低減し、及び表面積は1,695,045μm(STDEVは258,900)まで低減している。Waは、うねりの凹凸の平均絶対偏差を測定する。Raは、粗さの凹凸の平均絶対偏差を測定する。Saは、曲線の表面積を測定する。Rcは、曲線要素内の高さの平均値を測定する。RSmは、曲線要素の長さの平均値を測定する。
アルミナ基板への薄膜保護層の接着強度は、セラミックス化合物薄膜に対して28メガパスカル(MPa)を超え、YAG薄膜に対して32MPaを超えることができる。接着強度は、基板から薄膜保護層を分離するために使用される力の量を測定することによって決定することができる。ヘルミシティは、薄膜保護層を用いて達成することができるシール能力を測定する。図示されるように、アルミナを使用して約1E−6立方センチメートル毎秒(cm/s)のHe漏れ速度を達成でき、セラミックス化合物を使用して約1.2E−9のHe漏れ速度を達成でき、YAGを使用して約4.4E−10のHe漏れ速度を達成でき、Erを使用して約5.5E−9のHe漏れ速度を達成でき、YZ20を使用して約1.6E−7のHe漏れ速度を達成でき、EAGを使用して約9.5E−10のHe漏れ速度を達成できる。より低いHe漏れ速度は、改善されたシールを示す。実施例の薄膜保護層の各々は、典型的なAlよりも低いHe漏れ速度を有する。
Al12、YAl、Er、Gd、ErAl12、GdAl12、及び、YAlとY−ZrOの固溶体とを含むセラミックス化合物の各々は、プラズマ処理中に摩耗に耐えることができる高い硬度を有する。図示されるように、アルミナは、約12.14ギガパスカル(GPa)のビッカース硬さ(5kgf)を有し、セラミックス化合物は、約7.825GPaでの硬度を有し、YAGは、約8.5GPaの硬度を有し、Erは、約5.009GPaの硬度を有し、YZ20は、約5.98GPaの硬度を有し、EAGは、約9.057GPaの硬度を有する。アルミナの測定された摩耗速度は、高周波時間当り約0.2ナノメートル(nm/RF時間)、セラミックス化合物の摩耗速度は、約0.14nm/RF時間、Erの摩耗速度は、約0.113nm/RF時間、EAGの摩耗速度は、約0.176nm/RF時間である。
なお、YAl12、YAl、Er、Gd、ErAl12、GdAl12、及びセラミックス化合物は、いくつかの実施形態では、上で特定される材料の特性及び特徴が、最大30%変化できるように改質することができることに留意すべきである。したがって、これらの材料特性に対して記載された値は、実施例の達成可能な値として理解されるべきである。本明細書内で記載されるセラミックス薄膜保護層は、提供された値に限定して解釈されるべきではない。
図3A〜図4Cは、1以上の薄膜保護層によって覆われた物品(例えば、チャンバコンポーネント)の断面側面図を示す。図3Aを参照すると、物品300のベース又は本体305の少なくとも一部は、薄膜保護層308によってコーティングされる。物品300は、チャンバコンポーネント(例えば、基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配板又はシャワーヘッド、チャンバライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋など)とすることができる。物品300の本体305は、金属、セラミックス、金属−セラミックス複合材料、ポリマー、又はポリマー−セラミックス複合材料とすることができる。
様々なチャンバコンポーネントは、異なる材料から構成される。例えば、静電チャックは、陽極酸化アルミニウムベースに結合されたセラミックス(例えば、Al(アルミナ)、AlN(窒化アルミニウム)、TiO(酸化チタン)、TiN(窒化チタン)、又はSiC(炭化ケイ素))で構成することができる。Al、AlN、及び陽極酸化アルミニウムは、悪いプラズマ耐食性を有する。フッ素化学及び/又は還元化学を有するプラズマ環境に曝露された場合、静電チャックの静電パックは、処理の約50高周波時間(RF時間)後に、劣化したウェハチャッキング、増加したHe漏れ速度、ウェハの正面側及び裏面側の粒子生成、及びウェハ上の金属汚染を示す可能性がある。高周波時間は、処理の1時間である。
Alは、高い曲げ強度と高い熱伝導率を有するので、導体エッチングプロセスに用いられるプラズマエッチング装置用の蓋は、Alなどの焼結セラミックスとすることができる。しかしながら、フッ素化学(化学物質)に曝露されるAlは、ウェハ上AlF粒子並びにアルミニウム金属汚染を形成する。いくつかのチャンバ蓋は、粒子の生成及び金属汚染を最小限にするために、及び蓋の寿命を延長するために、プラズマ対向面に厚膜保護層を有する。しかしながら、大部分の厚膜コーティングは、ウェハ上の欠陥性能を低下させる可能性のある固有の亀裂及び細孔を有する。
プロセスキットリング及び単一リングは、他のチャンバコンポーネントを封止及び/又は保護するために使用され、通常は、石英又はシリコンから製造される。これらのリングは、均一なプラズマ密度(及び均一なエッチング)を確保するために、支持されている基板(例えば、ウェハ)の周りに配置することができる。しかしながら、石英及びシリコンは、様々なエッチング化学(例えば、プラズマエッチング化学)の下で非常に高い浸食速度を有する。また、このようなリングは、プラズマ化学に曝露される場合、粒子汚染を引き起こす可能性がある。プロセスキットリング及び単一リングはまた、焼結セラミックス(例えば、YAG及び/又はYAlとY−ZrOの固溶体とを含むセラミックス化合物)からなることができる。
誘電体エッチングプロセスを実行するために使用されるエッチング装置用のシャワーヘッドは、通常、SiCフェースプレートに結合された陽極酸化アルミニウムで作られる。このようなシャワーヘッドは、フッ素を含むプラズマ化学に曝露されると、陽極酸化されたアルミニウムベースとのプラズマ相互作用に起因して、AlFを形成する可能性がある。また、陽極酸化アルミニウムベースの高い浸食速度は、アーク放電をもたらし、最終的にはシャワーヘッドのためのクリーニングの間の平均時間を減少させる可能性がある。
チャンバのビューポート(エンドポイントウィンドウとしても知られている)は、通常、石英又はサファイア製の透明なコンポーネントである。様々な光センサは、ビューポートによって保護可能であり、ビューポートを介して光センサの読み取りを行うことができる。また、ビューポートは、ユーザが処理中にウェハを視覚的に検査又は見ることを可能にするかもしれない。石英及びサファイアの両方は、悪いプラズマ耐食性を有している。プラズマ化学は、ビューポートを浸食し、粗面化するので、ビューポートの光学特性は変化する。例えば、ビューポートは、曇る可能性があり、及び/又はビューポートを通過した光信号は、歪む可能性がある。これは、正確な測定値を収集するための光学センサの能力を損なう可能性がある。しかしながら、厚膜保護層は、ビューポートでの使用に不適切な可能性があり、なぜなら、これらのコーティングは、ビューポートを閉塞する可能性があるからである。
チャンバライナーは、浸食及び腐食防止のためにプラズマ溶射イットリウム系コーティングによりアルミニウム合金(例えば、6061アルミニウム)から従来は作られている。プラズマ溶射コーティングは、かなりの量の亀裂、細孔、及び遊離粒子を有する粗い多孔性コーティングである。処理ガスは、アルミニウム合金と反応するために亀裂及び孔を介してプラズマ溶射コーティングを貫通することができる。これは、チャンバの内部に金属汚染を導入する。また、多孔性プラズマ溶射コーティングは、処理中に処理ガスを吸収することができる。処理ガスの吸収は、処理の開始時に発生する可能性があり、最初の数枚のウェハを処理するために利用可能な処理ガスの量を減少させる可能性がある。この効果は、「最初のウェハ効果」として知られている。最初のウェハ効果は、プラズマ溶射コーティングの上に薄膜保護層のトップコートを塗布することによって最小化又は除去することができる。
上で提供された例は、本明細書の実施形態に記載されるように、薄膜保護層を使用することによって性能を改善することができるほんのいくつかのチャンバコンポーネントを説明している。
図3Aを再び参照すると、物品300の本体305は、1以上の表面構造(例えば、図3Aに示されるメサ)を含むことができる。静電チャックの場合は、表面構造は、メサ、シーリングバンド、ガスチャネル、ヘリウム穴などを含むことができる。シャワーヘッドの場合は、表面構造は、接着ライン、ガス分配用の数百又は数千の孔、ガス分配孔の周囲のディボット又はバンプなどを含むことができる。他のチャンバコンポーネントは、他の表面構造を有することができる。
本体305上に形成された薄膜保護層308は、本体305の表面構造に適合させることができる。図示のように、薄膜保護層308は、本体305の上面の相対形状を維持する(例えば、メサの形状を伝える)。また、薄膜コーティングは、シャワーヘッドの孔又は静電チャック内のHe孔を塞がないように十分に薄くすることができる。一実施形態では、薄膜保護層308は、約1000ミクロン以下の厚さを有する。一実施形態では、薄膜保護層308は、約50ミクロン以下の厚さを有する。更なる一実施形態では、薄膜保護層は、約20ミクロン以下の厚さを有する。更なる一実施形態では、薄膜保護層は、約0.5ミクロン〜約7ミクロンの間の厚さを有する。
薄膜保護層308は、イオンアシスト蒸着(IAD)プロセス又は物理蒸着(PVD)プロセスを用いて、物品300の本体305上に形成することができる堆積されたセラミックス層である。IAD又はPVD堆積された薄膜保護層308は、(例えば、プラズマ溶射法又はスパッタリング法による膜応力と比較して)比較的低い膜応力を有することができる。比較的低い膜応力は、直径12インチの本体に対して本体全体に亘って約50ミクロン未満の曲率を有するように、本体305の下面を非常に平坦にすることができる。IAD又はPVD堆積された薄膜保護層308は更に、1%未満である空孔率、いくつかの実施形態では、約0.1%未満である空孔率を有することができる。したがって、IAD又はPVD堆積された保護層は、チャンバコンポーネントへの応用に対して性能上の利益を有することができる緻密な構造である。また、IAD又はPVD堆積された薄膜保護層308は、本体305の上面を最初に粗面化する、又は他の時期を消費する表面準備工程を実行することなしに堆積させることができる。本体を粗面化することは、本体305の絶縁破壊電圧を低下させる可能性があるので、本体305を最初に粗面化することなく、薄膜保護層308を塗布することができるのは、いくつかの用途に対して(例えば、静電チャックに対して)有益である可能性がある。
図3Bは、薄膜保護層358によってコーティングされた本体355を有する物品350の一実施形態の断面側面図を示す。図示されるように、本体355は、構造(フィーチャー)を欠いていてもよい。一実施形態では、本体355は、薄膜保護層358の堆積前に研磨される。本体355内に構造を有するのではなく、構造は、薄膜保護層358内に形成することができる。例えば、薄膜保護層358は、マスクされ、次いでエッチング又はビーズブラストされ、これによって薄膜保護層358のマスクされていない部分を除去することができる。構造はまた、基板をマスクして、その後、薄いコーティングを塗布することによっても形成することができる。形成された構造は、メサ、チャネル、シールリング、(例えば、シャワーヘッドの)露出した接着ラインなどを含むことができる。また、穴は、例えば、レーザ穿孔によって、薄膜保護層に穿孔することができる。構造が薄膜保護層358内に形成される場合、薄膜保護層は、好ましくは、機能を収容するのに十分に大きい厚さを有するべきである。例えば、12μmのメサが薄膜保護層内に形成される場合、薄膜保護層358は、12μmよりも大きい厚さを有するべきである。他の実施形態では、いくつかの構造は、本体355内に形成することができ、他の構造は、薄膜保護層358内に形成することができる。
図4Aは、本体405の少なくとも1つの表面をコーティングする厚い保護層410及び薄膜保護層415を有する物品400の一実施形態の断面側面図を示す。厚い保護層410は、YAl12、YAl、Y、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物とすることができる。他の耐プラズマ性セラミックスもまた、厚い保護層410用に使用することができる。
厚い保護層410は、本体405上に溶射(例えば、プラズマ溶射)された可能性のある厚膜保護層とすることができる。本体405の上面は、その上に厚膜保護層をプラズマ溶射する前に粗面化してもよい。粗面化は、例えば、本体405をビーズブラストすることによって実行することができる。本体の上面を粗面化することは、より良好な接着のために、プラズマ溶射された厚膜保護層と本体405との間に機械的結合を作成するためのアンカーポイントを提供する。厚膜保護層は、最大約200ミクロン又はそれよりも厚い溶射厚さを有することができ、いくつかの実施形態では、約50ミクロンの最終厚さまで研削することができる。プラズマ溶射厚膜保護層は、約2〜4%の空孔率を有することができる。
あるいはまた、厚い保護層410は、本体405に接合されたバルク焼結セラミックスすることができる。厚い保護層410は、例えば、約200ミクロンの厚さを有する薄いセラミックスウェハとして提供することができる。
薄膜保護層415は、IAD又はPVDを使用して、厚い保護層410上に塗布することができる。薄膜保護層415は、トップコートとしての役割を果たすことができ、及び耐食性バリアとしての役割を果たし、厚い保護層410の露出面を封止する(例えば、厚い保護層410内の固有の表面亀裂及び細孔を封止する)ことができる。
図4Bは、物品420の本体425上に薄膜保護層スタック438が堆積された物品420の一実施形態の断面側面図を示す。薄膜保護層スタック438内の各薄膜保護層430、435は、上記のセラミックス材料のうちの1つとすることができる。一実施形態では、同一のセラミックス材料は、隣接する2つの薄膜保護層に対して使用されない。しかしながら、別の一実施形態では、隣接する層は、同じセラミックスで構成されてもよい。
図4Cは、厚い保護層450と、厚い保護層450上に堆積された薄膜保護層スタック470とを有する物品440の別の一実施形態の断面側面図を示す。
薄膜保護層スタック(例えば、図示したもの)は、任意の数の薄膜保護層を有することができる。スタック内の薄膜保護層は、全て同じ厚さを有することができる、又はそれらは、様々な厚さを有することができる。薄膜保護層の各々は、いくつかの実施形態では、約20ミクロン未満、及び約10ミクロン未満の厚さを有することができる。一実施例では、第1層430は、4ミクロンの厚さを有し、第2層435は、1ミクロンの厚さを有することができる。もしも第1層がアモルファスで、第2層が結晶性であるならば、そのような2層構造は、増強された耐食性を提供しながら、亀裂の発生の可能性を低減することができる。別の一実施例では、第1層455は、2ミクロンの厚さを有するYAG層とすることができ、第2層460は、1ミクロンの厚さを有する化合物セラミックス層とすることができ、第3層465は、1ミクロンの厚さを有するYAG層とすることができる。
使用するセラミックス層の数とセラミックス層の組成の選択は、所望のアプリケーション及び/又はコーティングされる物品の種類に基づいてもよい。IAD及びPVDによって形成されるEAG及びYAG薄膜保護層は、典型的には、アモルファス構造を有する。対照的に、IAD及びPVD堆積された化合物セラミックス及びEr層は、典型的には、結晶又はナノ結晶構造を有する。結晶及びナノ結晶セラミックス層は、一般的に、アモルファスセラミックス層よりも高い耐食性とすることができる。しかしながら、いくつかの場合には、結晶構造又はナノ結晶構造を有する薄膜セラミックス層は、時折鉛直方向の亀裂(ほぼ膜厚方向で、コーティングされる面に対して略垂直に走る亀裂)を経験する可能性がある。このような鉛直方向の亀裂は、格子不整合に起因する可能性があり、プラズマ化学にとっての攻撃の点となる可能性がある。物品が加熱及び冷却されるたびに、薄膜保護層とそれを被覆する基板との間の熱膨張係数の不整合が、薄膜保護層に応力を生じさせる。このような応力は、鉛直方向の亀裂に集中する可能性がある。これは、薄膜保護層が、それを被覆する基板から最終的に剥離して離れることを引き起こす可能性がある。対照的に、鉛直方向の亀裂がない場合は、応力は、薄膜全域に亘ってほぼ均等に分散される。したがって、一実施形態では、薄膜保護層スタック438内の第1層430は、アモルファスセラミックス(例えば、YAG又はEAG)であり、薄膜保護層スタック438内の第2層435は、結晶又はナノ結晶セラミックス(例えば、セラミックス化合物又はEr)である。そのような一実施形態では、第2層435は、第1層430に比べてより高い耐プラズマ性を提供することができる。本体425上に直接ではなく第1層430上に第2層435を形成することにより、第1層430は、バッファの役割を果たし、これによって後続の層の格子不整合を最小限に抑える。こうして、第2層435の寿命を増加させることができる。
別の一実施例では、本体、YAl12(YAG)、YAl、Er、Gd、ErAl12、GdAl12、YAlとY−ZrOの固溶体とを含むセラミックス化合物は、異なる熱膨張係数を有することができる。2つの隣接する材料間の熱膨張係数の不整合が大きければ大きいほど、それらの材料の一方が最終的に、割れ、剥がれ、又はそうでなければ他方の材料とのその結合を失う可能性がより大きくなる。保護層スタック438、470は、隣接する層間(又は層と本体425、445との間)の熱膨張係数の不整合を最小限にするように形成することができる。例えば、本体405は、アルミナとすることができ、EAGは、アルミナの熱膨張係数に最も近い熱膨張係数を有することができ、YAGの熱膨張係数がそれに続き、化合物セラミックスの熱膨張係数がそれに続く。したがって、一実施形態では、第1層455は、EAGとすることができ、第2層460は、YAGとすることができ、第3層465は、化合物セラミックスとすることができる。
別の一実施例では、保護層スタック470内の層は、2つの異なるセラミックスの交互層とすることができる。例えば、第1層455と第3層465は、YAGとすることができ、第2層460と第4層(図示せず)は、化合物セラミックスとすることができる。このような交互層は、交互層内で使用される一方の材料がアモルファスであり、交互層内で使用される他方の材料が結晶又はナノ結晶である場合に、上記のものと同様の利点を提供することができる。
いくつかの実施形態では、薄膜保護層スタック438、470内の1以上の層は、熱処理を用いて形成された遷移層である。本体425、445が、セラミックス体の場合は、薄膜保護層と本体との間の相互拡散を促進するために、高温熱処理を実行することができる。また、熱処理は、隣接する薄膜保護層間又は厚い保護層と薄膜保護層との間の相互拡散を促進するために実行してもよい。特に、遷移層は、非多孔質層とすることができる。遷移層は、2つのセラミックス間の拡散接合の役割を果たすことができ、隣接するセラミックス間の改善された接着性を提供することができる。これは、プラズマ処理中に保護層が割れる、剥離する、はがれるのを防止するのを助けることができる。
熱処理は、最長約24時間(例えば、一実施形態では3〜6時間)の間、最高約1400〜1600℃の加熱処理とすることができる。これは、第1薄膜保護層と、隣接するセラミックス体、厚い保護層、又は第2薄膜保護層のうちの1以上との間に相互拡散層を作ることができる。セラミックス体がAlであり、保護層が化合物セラミックスYAlと固溶体Y2−xZr(Y−ZrO固溶体)で構成される場合、YAl12(YAG)界面層が形成される。同様に、熱処理は、EAGの遷移層をErとAlの間に形成させる。熱処理はまた、YAGの遷移層をYとAlの間に形成させる。熱処理はまた、GAGをGdとAlの間に形成させる。Al上のイットリア安定化ジルコニア(YSZ)の熱処理は、YAl(YAM)と固溶体Y2−xZrの化合物セラミックスの遷移層を形成することができる。他の遷移層を、他の隣接するセラミックス間に形成してもよい。
一実施形態では、着色剤は、第1の保護層308、408の堆積中に添加される。したがって、第2の保護層310、410がすり減った場合、オペレータは、蓋又はノズルを再生又は交換する時期である視覚的なキューを有することができる。
図5は、中空円筒体505を有するチャンバライナー500を示す。中空円筒体505は、一実施形態では、アルミニウム又はアルミニウム合金とすることができる。中空円筒体505は、本体505の内面をコーティングするプラズマ溶射イットリウム系プラズマ耐性層510を有する。プラズマ溶射イットリウム系プラズマ耐性層510は、多数の亀裂及び細孔を有する可能性がある。例えば、プラズマ溶射イットリウム系プラズマ耐性層510は、一実施形態では、約2〜4%の空孔率を有することができる。チャンバライナー500は、プラズマ溶射イットリウム系プラズマ耐性層510をコーティングする薄膜保護層515を更に含む。薄膜保護層515は、耐プラズマ性希土類酸化物(例えば、本明細書に上述したもの)から構成することができる。薄膜保護層515は、コンフォーマルで緻密であり、1%未満の空孔率を有することができる。一実施形態では、空孔率は、実際上、0%(例えば、0.1%未満)である。薄膜保護層515は、プラズマ溶射イットリウム系プラズマ耐性層510の亀裂及び細孔を封止することができる。
チャンバライナー500は、第1側520と第2側525を有する。薄膜保護層515は、複数のパスでIAD又はPVDによって堆積させることができる。一実施形態では、ターゲット材料及び電子ビーム銃は、堆積プロセス中、最初に第1側520に配置される。チャンバライナー500は、処理中、回転させて、チャンバライナー500の内面の一部又は全部をコーティングすることができる。第1側520により近いチャンバライナー500の領域は、ターゲット材料及び銃により近くすることができ、こうして第1側から遠い領域よりも厚く堆積された薄膜保護層515を受け取ることができる。したがって、チャンバライナー500は、ターゲット材料及び電子ビーム銃が、堆積プロセスの第2の部分の間、チャンバライナー500の第2側525に配置されるように、再配置することができる。これは、チャンバライナーの内側表面の全領域が、比較的均一なコーティングを受けることを保証することができる。
チャンバライナー500のいくつかの場所は、他の領域よりも腐食しやすいかもしれない。一実施形態では、チャンバライナー500は、薄膜保護層515の堆積前にマスクされる。マスクは、浸食がより少ない傾向にある領域を覆い、腐食がより多い傾向にある領域を露出させることができる。したがって、堆積された薄膜保護層515は、より低い浸食速度を経験するそれらの領域を覆うことなく、より高い浸食速度を経験するそれらの領域覆うことができる。
図6Aは、物品(例えば、チャンバコンポーネント)の本体上に薄膜保護層を形成するためのプロセス600の一実施形態を示す。プロセス600のブロック605では、物品が提供される。ブロック610では、物品上に厚膜保護層を堆積するか否かの判断がなされる。厚膜保護層が形成される場合、本方法はブロック615に進む。そうでない場合は、本方法はブロック620へと継続する。
ブロック615では、溶射プロセス(例えば、プラズマ溶射プロセス)が、物品上に厚膜保護層を堆積させるために実行される。溶射プロセスを実行する前に、物品の本体は、いくつかの実施形態では、粗面化されてもよい。厚膜保護層は、任意の耐プラズマ性セラミックスとすることができる。厚膜保護層のいくつかは、YAl12、YA1、Y、YSZ、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物を含む。厚膜保護層が形成された後、いくつかのアプリケーションのために、厚膜保護層の表面上に表面構造が形成される。例えば、物品がESCの場合、メサ及びHe孔を形成することができる。代替の一実施形態では、厚膜保護層を溶射するのではなく、耐プラズマ性セラミックスディスク又は他のセラミックス構造を、物品の本体に接合させてもよい。
ブロック620では、物品の本体上に薄膜保護層を堆積させるために、IAD又はPVDが実行される。厚膜保護層がブロック615で形成された場合、薄膜保護層は、トップコートとして、厚膜保護層上に形成することができる。一実施形態では、薄膜保護層を堆積させるために、IADを実行する前に、チャンバの表面処理(準備)が実行される。例えば、イオン銃は、表面有機物汚染物を燃焼させ、残留表面粒子を分散させるために、酸素及び/又はアルゴンイオンを用いることによって、物品の表面を調製することができる。
薄膜保護層は、YAl12、YA1、Er、Gd、ErAl12、GdAl12、YAlとY−ZrOの固溶体とを含むセラミックス化合物、又は本明細書に記載される他の耐プラズマ性セラミックスのいずれかとすることができる。薄膜保護層の堆積速度は、約0.25〜10オングストローム毎秒(A/s)とすることができ、堆積パラメータを調整することによって変化させることができる。一実施形態では、複数の堆積速度が、薄膜保護層の堆積中に使用される。例えば、0.25〜1A/sの初期堆積速度が使用され、これによって適合して良好に接着するコーティングを達成することができる。その後、2〜10A/sの堆積速度を使用し、これによってより短く、より費用効果の高いコーティングの運転でより厚いコーティングを達成することができる。薄膜保護層は、非常に適合性をもつことができ、厚さを均一とすることができ、それらが上に堆積される本体/基板に対して良好な接着性を有することができる。
一実施形態では、物品は約150℃以下に物品の温度を維持するために、薄膜保護層の堆積中に冷却される。一実施形態では、ターゲット材料と物品との間の作動距離は、1メートル未満に設定される。
一実施形態では、物品は、エッチングリアクタのチャンバライナーであり、チャンバライナーは、中空円筒形状を有する。IADプロセスを実行する工程は、ターゲットが物品の第1開口部になるように、第1位置に物品を配置する工程を含むことができる。物品が第1位置にある間に、物品の内部の第1部分は、コーティング可能である。その後、物品は、ターゲットが物品の第2開口部になるように、第2位置に配置することができる。物品が第2位置にある間に、物品の内部の第2部分は、コーティング可能である。
一実施形態では、物品の他の領域に比べて高い浸食速度を示す物品の1以上の領域が特定される。その後、物品は、特定された1以上の領域を露出するマスクによってマスクされる。その後、特定された1以上の領域に薄膜保護層を形成するために、IAD堆積が実行される。
ブロック625では、追加の薄膜保護層を堆積するかどうかに関しての判断がなされる。追加の薄膜保護層が堆積される場合、プロセスはブロック630へと継続する。ブロック630では、他の薄膜保護層が、第1薄膜保護層上に形成される。他の薄膜保護層は、第1薄膜保護層のセラミックスとは異なるセラミックスで構成されてもよい。一実施形態では、他の薄膜保護層は、YAl12、YA1、Er、Gd、ErAl12、GdAl12、YAlとY−ZrOの固溶体とを含むセラミックス化合物、又は本明細書に記載される他のセラミックス材料のいずれかのうちの1つとすることができる。その後、本方法は、ブロック625に戻る。ブロック625で追加の薄膜保護層が塗布されない場合は、プロセスは終了する。薄膜保護層のいずれかが堆積された後、その薄膜保護層内に表面構造を形成してもよい。
図6Bは、金属ターゲットによるIAD又はPVDを用いて、物品の本体上に薄膜保護層を形成するためのプロセス650の一実施形態を示す。プロセス600のブロック655では、物品が、堆積チャンバ内に提供される。ブロック660では、窒素又は酸素ラジカルが、ある流量で堆積チャンバ内に流入される。ブロック665では、窒素又は酸素イオンが、物品に衝突させるために使用される。ブロック670では、物品上に薄膜保護層を堆積させるために、IAD又はPVDが、金属ターゲットを用いて実行される。電子ビームは、金属ターゲットを蒸発又はスパッタリングさせ、これは窒素又は酸素ラジカル及び/又はイオンと反応し、これによってインサイチューでセラミックスを形成する。窒素ラジカル及び/又はイオンが使用された場合、セラミックスは、窒化物となる。酸素ラジカル及び/又はイオンが使用された場合、セラミックスは、酸化物となる。
ブロック675では、薄膜保護層中の酸素又は窒素の含有量を増加させるかどうかの判断がなされる。酸素又は窒素の含有量を増加させる場合は、プロセスはブロック680へと継続する。ブロック680では、酸素ラジカル又は窒素ラジカルの流れを増加させることができる。代替的に又は追加的に、酸素イオン又は窒素イオンによる衝突を増大させることができる。その後、プロセスは、ブロック670へと戻る。ブロック675で、薄膜保護層中の酸素又は窒素含有量を増加させない判断がなされた場合は、プロセスはブロック685へと進む。
ブロック685では、薄膜保護層が所望の厚さに達したかどうかの判断がなされる。所望の厚さに達していた場合、処理は終了する。所望の厚さに達していない場合は、プロセスはブロック670に戻る。
IADプロセスによって、高エネルギー粒子は、高エネルギーイオン(又は他の粒子)源によって、他の堆積パラメータとは独立して制御することができる。エネルギー(例えば、速度)に応じて、高エネルギーイオンフラックスの密度及び入射角、薄膜保護層の組成、構造、結晶配向及び粒径を、操作することができる。調整可能な追加のパラメータは、堆積中の物品の温度、並びに堆積の期間である。イオンエネルギーは、大ざっぱに、低エネルギーイオンアシストと高エネルギーイオンアシストに分類することができる。低エネルギーイオンアシストは、約230Vの電圧及び約5Aの電流を含むことができる。高エネルギーイオンアシストは、約270Vの電圧及び約7Aの電流を含むことができる。イオンアシスト用の低及び高エネルギーは、本明細書で言及した値に限定されない。高及び低レベルの指定は、IADプロセスを実行するために使用されるイオンの種類及び/又は使用されるチャンバの幾何学形状に更に依存する可能性がある。イオンは、低エネルギーイオンアシストを用いた場合よりも高エネルギーイオンアシストを用いてより高い速度で発射される。堆積中の基板(物品)の温度は、大ざっぱに、低温(典型的な室温である一実施形態では、約120〜150℃)と、高温(一実施形態では、約270℃)に分けることができる。高温IAD堆積プロセスのために、蓋又はノズルを堆積前及び堆積時に加熱してもよい。
Figure 0006956774
Figure 0006956774
表2A〜2Bは、様々な堆積パラメータによるIADを用いて形成された複数の実施例の薄膜保護層を示す。実験結果は、適合する高密度の微細構造を得るために、イオンアシストエネルギー、堆積速度、及び温度を変化させる多因子の実験計画法(DOE)に基づいて、最適化されたコーティングプロセスを特定する。コーティングは、材料特性(微細構造及び/又は結晶相)及び機械的特性(硬度及び密着性)、並びに亀裂密度及び真空シール性の点で特徴づけられる。IADコーティングプロセスの最適化は、低残留応力を有する高密度薄膜を有するIADコーティングを生成することができる。最適化されたパラメータは、ほとんどの希土類酸化物系コーティング材料に対して使用することができる。
AlとY−ZrOの固溶体とのセラミックス化合物から形成された薄膜保護層に対して、6つの異なる実施例が示される。第1実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び2オングストローム毎秒(A/s)の堆積速度によるIADを用いて形成された。X線回折は、第1実施例の化合物セラミックス薄膜保護層が結晶構造を有することを示した。第1実施例の化合物セラミックス薄膜保護層はまた、4.11GPaの硬度を有し、目視検査は、下地基板への良好な適合性、並びにいくつかの鉛直方向の亀裂といくつかのスパイクを示した。
第2実施例の化合物セラミックス薄膜保護層は、6ミクロンの厚さを有し、低エネルギーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び最初の2ミクロンに対して1A/sの堆積速度、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2実施例の化合物セラミックス薄膜保護層が(内部で一部が結晶で一部がアモルファスの)ナノ結晶構造を有することを示した。シールとして使用される場合、第2実施例の化合物セラミックス薄膜保護層は、最低5E−6立方センチメートル毎秒(cm/s)までの真空を維持することができた。第2実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、及び第1実施例の化合物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示した。
第3実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び1A/sの堆積速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄膜保護層がナノ結晶構造を有することを示した。シールとして使用される場合、第3実施例の化合物セラミックス薄膜保護層は、最低6.3E−6cm/sまでの真空を維持することができた。第3実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、及び第1実施例の化合物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示した。
第4実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及び焼結プラグターゲット、270℃の堆積温度、及び最初の1ミクロンに対して1A/s、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄膜保護層がほぼアモルファス構造を有することを示した。シールとして使用される場合、第3実施例の化合物セラミックス薄膜保護層は、最低1.2E−9cm/sまでの真空を維持することができた。第4実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、滑らかな表面、及び非常に少ない鉛直方向の亀裂を示した。また、第4実施例の化合物セラミックス薄膜保護層は、7.825GPaの硬度を有する。
第5実施例の化合物薄膜保護層は、第4実施例の化合物薄膜保護層と同じパラメータだが、堆積温度は室温(約120〜150℃)及びか焼粉末ターゲットを用いて形成された。第5実施例の化合物薄膜保護層は、第4実施例の化合物薄膜保護層と同様の特性を示した。
第6実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及びか焼粉末ターゲット、270℃の堆積温度、及び最初の1ミクロンに対して1A/s、それに続く4ミクロンに対して4A/sの堆積速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄膜保護層がほぼアモルファス構造を有することを示した。シールとして使用される場合、第3実施例の化合物セラミックス薄膜保護層は、最低1.2E−9cm/sまでの真空を維持することができた。第4実施例の化合物セラミックス薄膜保護層は、7.812GPaの硬度を有する。
第1実施例のYAG薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト及び溶融した塊のターゲット、270℃の堆積温度、及び2.5A/sの堆積速度によるIADを用いて形成された。X線回折は、第1YAGセラミックス薄膜保護層がアモルファス構造を有することを示した。第1YAGセラミックス薄膜保護層はまた、5.7GPaの硬度を有しており、目視検査は、良好な適合性、最小限の亀裂、及び滑らかな表面を示した。
第2実施例のYAG薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及び溶融した塊のターゲット、270℃の堆積温度、及び最初の1ミクロンに対して1A/s、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2YAG薄膜保護層がアモルファス構造を有することを示した。第2YAG薄膜保護層はまた、8.5GPaの硬度を有しており、目視検査は、良好な適合性、第1YAG薄膜と比べて亀裂の減少、及び滑らかな表面を示した。
化合物セラミックス及びYAGの交互層を有する一実施例の薄膜保護層スタックは、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2A/sの堆積速度によるIADを用いて形成された。X線回折は、交互層が(YAG層に対して)アモルファスであり、(化合物セラミックス層に対して)結晶又はナノ結晶であることを示した。目視検査は、化合物セラミックス層に対して鉛直方向の亀裂の減少を示した。
第1実施例のEr薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト及び焼結した塊のターゲット、270℃の堆積温度、及び2A/sの堆積速度によるIADを用いて形成された。X線回折は、第1Erセラミックス薄膜保護層が結晶構造を有することを示した。目視検査は、良好な適合性と鉛直方向の亀裂を示した。
第2実施例のEr薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及び焼結した塊のターゲット、270℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後の4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2Erセラミックス薄膜保護層が結晶構造を有することを示した。目視検査は、良好な適合性と、第1Erセラミックス薄膜保護層と比べてより小さい鉛直方向の亀裂を示した。
第1実施例のEAG薄膜保護層は、7.5ミクロンの厚さを有し、高エネルギーイオンアシスト及びか焼粉末ターゲット、270℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第1EAGセラミックス薄膜保護層がアモルファス構造を有し、層が8.485GPaの硬度を有することを示した。目視検査は、良好な適合性と最小限の亀裂を示した。
第2実施例のEAG薄膜保護層は、7.5ミクロンの厚さを有し、高エネルギーイオンアシスト、120〜150℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2EAGセラミックス薄膜保護層がアモルファス構造を有し、層が9.057GPaの硬度を有することを示した。目視検査は、良好な適合性と、第1EAGセラミックス薄膜保護層と比べてより少ない亀裂を示した。
第3実施例のEAG薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及びか焼粉末ターゲット、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第3EAGセラミックス薄膜保護層がアモルファス構造を有することを示した。
一実施例のY薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及び溶融した塊のターゲット、270℃の温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第3EAGセラミックス薄膜保護層が結晶構造を有することを示した。
一実施例のYZ20薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト及び粉末ターゲット、120〜150℃の温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、YZ20薄膜保護層が結晶構造を有することを示した。シールとして使用される場合、YZ20薄膜保護層は、最低1.6E−7cm/sまでの真空を維持することができた。YZ20薄膜保護層は、5.98GPaの硬度を有した。
図7A〜図7Eは、YAlとY−ZrOの固溶体とのセラミックス化合物から形成されたプラズマ溶射された保護層上に堆積され、これもまたYAlとY−ZrOの固溶体とのセラミックス化合物から形成された薄膜保護層を有する物品の走査型電子顕微鏡(SEM)画像を示す。図7Aは、プラズマ溶射層でコーティングされた物品の平面領域のトップダウンのSEM像を示す。図7AのSEM像は、約1万倍の倍率と約22μmの視野を有する。プラズマ溶射層は、複数の亀裂(例えば、亀裂710)を含む。図7Bは、薄膜保護層がプラズマ溶射層上に堆積された後の物品の平面領域のトップダウンのSEM像を示す。図7BのSEM像は、約1万倍の倍率と約23μmの視野を有する。薄膜保護層は、プラズマ溶射層内の亀裂を封止している。封止された亀裂715が図示される。
図7Cは、プラズマ溶射保護層720をコーティングする薄膜保護層725を有する物品の平坦な領域の断面側面図SEM像を示す。図7CのSEM像は、約1万倍の倍率と約23μmの視野を有する。図7Dは、プラズマ溶射保護層730をコーティングする薄膜保護層735を有する物品の水平格子領域の断面側面図SEM像を示す。図7DのSEM像は、約1万倍の倍率と約23μmの視野を有する。図7Eは、プラズマ溶射保護層740をコーティングする薄膜保護層745を有する物品の垂直格子領域の断面側面図SEM像を示す。図7EのSEM像は、約4000倍の倍率と約56μmの視野を有する。
図7A〜図7EのSEM像に図示されるように、薄膜保護層は、プラズマ溶射保護層の表面に適合する。また、薄膜保護層は、平坦な領域、水平格子領域、及びと垂直格子領域内において、プラズマ溶射保護層内の亀裂及び細孔を封止する。
実施形態に従って生成された複数の異なるIADコーティングの浸食速度を含む誘電体エッチングCF化学に曝露される様々な材料のサンプル浸食速度が、ここで説明される。92%アルミナの浸食速度は、約1.38ミクロン毎高周波時間(μm/Rf時間)である。99.8%アルミナの浸食速度は、約1.21μm/Rf時間である。IAD堆積されたYAGの浸食速度は、約0.28μm/Rf時間である。IAD堆積されたEAGの浸食速度は、約0.24μm/Rf時間である。IAD堆積されたYの浸食速度は、約0.18μm/Rf時間である。IAD堆積されたErの浸食速度は、約0.18μm/Rf時間である。IAD堆積された化合物セラミックスの浸食速度は、約0.18μm/Rf時間である。高周波時間は、処理の1時間である。
図8〜9は、本発明の実施形態に従って形成された薄膜保護層に対する浸食速度を示す。図8は、CH/Clプラズマ化学に曝露されたときの薄膜保護層の浸食速度を示す。図示されるように、IAD堆積された薄膜保護層は、Alと比べてはるかに改善された耐食性を示す。例えば、92%の純度を有するアルミナは、高周波時間当り約18ナノメートル(nm/RF時間)の浸食速度を示し、99.8%の純度を有するアルミナは、約56nm/RF時間の浸食速度を示した。対照的に、IAD堆積された化合物セラミックス薄膜保護層は、約3nm/RF時間の浸食速度を示し、IAD堆積されたYAG薄膜保護層は、約1nm/RF時間の浸食速度を示した。
図9は、H/NFプラズマ化学に曝露されたときの薄膜保護層の浸食速度を示す。図示されるように、IAD堆積された薄膜保護層は、Alと比べてはるかに改善された耐食性を示す。例えば、92%の純度を有するアルミナは、約190nm/RF時間の浸食速度を示し、99.8%の純度を有するアルミナは、約165nm/RF時間の浸食速度を示した。対照的に、IAD堆積されたYAG薄膜保護層は、約52nm/RF時間の浸食速度を示した。同様に、低エネルギーイオンによるIADを使用して堆積された化合物セラミックス薄膜保護層は、約45nm/RF時間の浸食速度を示し、高エネルギーイオンによるIADを使用して堆積された化合物セラミックス薄膜保護層は、約35nm/RF時間の浸食速度を示した。高い堆積温度(例えば、約270℃)でIADを使用して堆積されたEAG薄膜保護層は、約95nm/RF時間の浸食速度を示し、低い堆積温度(例えば、約120〜150℃)でIADを使用して堆積されたEAG薄膜保護層は、約70nm/RF時間の浸食速度を示した。高エネルギーイオンによるIADを使用して堆積されたEr薄膜保護層は、約35nm/RF時間の浸食速度を示した。
図10〜11は、本発明の実施形態に従って形成された薄膜保護層に対する粗さプロファイルを示す。図10は、100RF時間の間のCH/Clプラズマ化学への曝露前後の図8の薄膜保護層の表面粗さプロファイルを示す。図示されるように、IAD堆積された薄膜保護層は、100RF時間の間のCH/Clプラズマ化学への曝露後、表面粗さの最小の変化を示す。
図11は、35RF時間の間、H/NFプラズマ化学への曝露前後の図9の薄膜保護層の表面粗さプロファイルを示す。図示されるように、IAD堆積された薄膜保護層は、35RF時間の間のH/NFプラズマ化学への曝露後、表面粗さの最小の変化を示す。
低バイアスでCF−CHFトレンチ化学に曝露される様々な材料の浸食速度が、ここで簡単に説明される。92%アルミナの浸食速度は、約0.26ミクロン毎高周波時間(μm/RF時間)であり、IAD堆積されたEAGの浸食速度は、約0.18μm/RF時間であり、IAD堆積されたYAGの浸食速度は、約0.15μm/Rf時間であり、プラズマ蒸着された化合物セラミックスの浸食速度は、約0.09μm/Rf時間であり、IAD堆積されたYの浸食速度は、約0.08μm/Rf時間であり、IAD堆積された化合物セラミックスの浸食速度は、約0.07μm/Rf時間であり、バルクYの浸食速度は、約0.07μm/Rf時間であり、バルクセラミックス化合物の浸食速度は、約0.065μm/Rf時間であり、及びIAD堆積されたErの浸食速度は、約0.05μm/Rf時間である。これらの材料が、高バイアスでCF−CHFトレンチ化学を使用してエッチングされた場合、同様のエッチング結果が発生する。例えば、高バイアスで、92%アルミナのエッチング速度は、約1.38μm/RF時間であり、IAD堆積されたEAGの浸食速度は、約0.27μm/RF時間であり、IAD堆積されたYAGの浸食速度は、約0.27μm/Rf時間であり、プラズマ蒸着された化合物セラミックスの浸食速度は、約0.35μm/Rf時間であり、IAD堆積されたYの浸食速度は、約0.18μm/Rf時間であり、IAD堆積されたセラミックス化合物の浸食速度は、約0.19μm/Rf時間であり、バルクYの浸食速度は、約0.4μm/Rf時間であり、バルクセラミックス化合物の浸食速度は、約0.4μm/Rf時間であり、及びIAD堆積されたErの浸食速度は、約0.18μm/Rf時間である。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例では、周知のコンポーネント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発明の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。用語「約」又は「およそ」は、本明細書で使用される場合、これは、提示された公称値が±30%以内で正確であることを意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (20)

  1. 本体と、
    本体の少なくとも1つの表面上の第1保護層であって、
    第1保護層は耐プラズマ性セラミックスを含み、
    第1保護層は複数の亀裂及び細孔を含んでいる第1保護層と、
    第1保護層の少なくとも一部を覆う保護層スタックであって、保護層スタックは、
    コンフォーマルな第2保護層であって、
    コンフォーマルな第2保護層は、アモルファスの耐プラズマ性希土類酸化物を含み、
    コンフォーマルな第2保護層は、1%未満の空孔率を有して、第1保護層の複数の亀裂及び細孔を封止している第2保護層と、
    コンフォーマルな第2保護層上の第3保護層であって、
    第3保護層は第2耐プラズマ性セラミックスを含み、
    第3保護層は結晶構造を有し、
    第3保護層は、コンフォーマルな第2保護層とは異なる組成を有している第3保護層とを含んでいる保護層スタックとを含むチャンバコンポーネント。
  2. 第1保護層は、約50ミクロンを超える厚さを有し、
    コンフォーマルな第2保護層は50ミクロン未満の厚さを有し、
    第3保護層は50ミクロン未満の厚さを有し、
    第1保護層の表面粗さは0.5〜180マイクロインチである、請求項1に記載のチャンバコンポーネント。
  3. 第1保護層は、Al、Y、SiO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含むプラズマ溶射層である、請求項1に記載のチャンバコンポーネント。
  4. コンフォーマルな第2保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、Nd、YF、YAl12、YAl、Er、Gd、ErAl12、GdAl12、YF、Nd、ErAl、ErAlO、GdAl、GdAlO、NdAl12、NdAl、NdAlO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含むイオンアシスト蒸着(IAD)堆積層である、請求項1に記載のチャンバコンポーネント。
  5. 第3保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含んでいる、請求項1に記載のチャンバコンポーネント。
  6. コンフォーマルな第2保護層はErAl12を含んでいる、請求項1に記載のチャンバコンポーネント。
  7. 第3保護層はErを含んでいる、請求項1に記載のチャンバコンポーネント。
  8. 前記結晶構造はナノ結晶構造である、請求項7に記載のチャンバコンポーネント。
  9. コンフォーマルな第2保護層は、第1保護層を、本体の少なくとも1つの表面上の1つ以上の第1位置で覆っているが、1つ以上の第2位置では覆っていない、請求項8に記載のチャンバコンポーネント。
  10. 本体は、金属、金属合金、又は金属不純物を有するセラミックスのうちの1つ以上を含み、
    コンフォーマルな第2保護層は、処理ガスが第1保護層の複数の亀裂及び細孔を貫通し、本体内の金属との反応を防止するためのバリアとしての役割を果たしている、請求項1に記載のチャンバコンポーネント。
  11. 第1保護層に接触するコンフォーマルな第2保護層の底部は第1酸素濃度を有し、
    コンフォーマルな第2保護層の上部はより高い第2酸素濃度を有している、請求項1に記載のチャンバコンポーネント。
  12. プラズマ溶射プロセスを実行して、物品の少なくとも1つの表面に第1保護層を堆積させる工程であって、
    第1保護層は第1耐プラズマ性セラミックスを含み、
    第1保護層は複数の亀裂及び細孔を有している工程と、
    イオンアシスト蒸着(IAD)を実行して、第1保護層の少なくとも一部にコンフォーマルな第2保護層を堆積させる工程であって、
    コンフォーマルな第2保護層は、アモルファスの耐プラズマ性希土類酸化物を含み、
    コンフォーマルな第2保護層は、1%未満の空孔率を有して、第1保護層の複数の亀裂及び細孔を封止している工程と、
    IADを実行して、コンフォーマルな第2保護層上に第3保護層を堆積させる工程であって、
    第3保護層は第2耐プラズマ性セラミックスを含み、
    第3保護層は結晶構造を有し、
    第3保護層は、コンフォーマルな第2保護層とは異なる組成を有している工程とを含む方法。
  13. 第1保護層は、約50ミクロンを超える厚さを有し、
    コンフォーマルな第2保護層は50ミクロン未満の厚さを有し、
    第3保護層は50ミクロン未満の厚さを有し、
    第1保護層の表面粗さは0.5〜180マイクロインチである、請求項12に記載の方法。
  14. コンフォーマルな第2保護層の堆積中に前記物品を冷却して、前記物品を約150℃以下の温度に維持する工程をさらに含む、請求項12に記載の方法。
  15. 前記物品はエッチングリアクタのチャンバライナーであり、
    チャンバライナーは中空円筒形状を有し
    IADを実行して、コンフォーマルな第2保護層を堆積させる工程は、
    前記物品の第1開口部にターゲットを配置する工程と、
    前記物品の内部の第1部分をコーティングする工程と、
    その後、前記物品の第2開口部にターゲットを配置する工程と、
    前記物品の内部の第2部分をコーティングする工程とを含んでいる、請求項12に記載の方法。
  16. コンフォーマルな第2保護層を堆積させる前に、
    前記物品の他の領域よりも高い浸食速度を示す前記物品の1つ以上の領域を特定する工程と、
    前記物品をマスクでマスキングする工程であって、
    前記物品の1つ以上の特定された領域はマスクから露出され、
    コンフォーマルな第2保護層は、前記物品の1つ以上の特定された領域を被覆していく工程とを実行する工程をさらに含む、請求項12に記載の方法。
  17. 第1保護層は、Al、Y、SiO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含み、
    コンフォーマルな第2保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、Nd、YF、YAl12、YAl、Er、Gd、ErAl12、GdAl12、YF、Nd、ErAl、ErAlO、GdAl、GdAlO、NdAl12、NdAl、NdAlO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含み、
    第3保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含んでいる、請求項12に記載の方法。
  18. コンフォーマルな第2保護層はErAl12を含み、
    第3保護層はErを含んでいる、請求項12に記載の方法。
  19. IADを実行しながら、窒素イオン又は酸素イオンのうちの1つを前記物品に衝突させる工程であって、第1耐プラズマ性セラミックスが窒化物である場合は窒素イオンが使用され、第1耐プラズマ性セラミックスが酸化物である場合は酸素イオンが使用される工程と、
    IADを実行しながら、窒素イオン又は酸素イオンの流量を徐々に増加させる工程であって、コンフォーマルな第2保護層は、
    コンフォーマルな第2保護層の底部に第1の酸素又は窒素の含有量と、
    コンフォーマルな第2保護層の上部により高い第2の酸素又は窒素の含有量とを含んでいる工程とをさらに含む、請求項12に記載の方法。
  20. 本体と、
    本体の少なくとも1つの表面上の第1保護層であって、
    第1保護層は、Al、Y、SiO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含む第1耐プラズマ性セラミックスを含み、
    第1保護層は複数の亀裂及び細孔を含んでいる第1保護層と、
    第1保護層の少なくとも一部を覆う保護層スタックであって、保護層スタックは、
    コンフォーマルな第2保護層であって、
    コンフォーマルな第2保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、Nd、YF、YAl12、YAl、Er、Gd、ErAl12、GdAl12、YF、Nd、ErAl、ErAlO、GdAl、GdAlO、NdAl12、NdAl、NdAlO、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含むアモルファスの耐プラズマ性希土類酸化物を含み、
    コンフォーマルな第2保護層は、1%未満の空孔率を有して、第1保護層の複数の亀裂及び細孔を封止している第2保護層と、
    コンフォーマルな第2保護層上の第3保護層であって、
    第3保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又はYAlとY−ZrOの固溶体とを含むセラミックス化合物のうちの1つ以上を含む第2耐プラズマ性セラミックスを含み、
    第3保護層は結晶構造を有し、
    第3保護層は、コンフォーマルな第2保護層とは異なる組成を有している第3保護層とを含んでいる保護層スタックとを含むチャンバコンポーネント。
JP2019220830A 2014-04-25 2019-12-06 希土類酸化物のイオンアシスト蒸着トップコート Active JP6956774B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/262,644 2014-04-25
US14/262,644 US9869013B2 (en) 2014-04-25 2014-04-25 Ion assisted deposition top coat of rare-earth oxide
JP2017507688A JP6630345B2 (ja) 2014-04-25 2015-04-20 希土類酸化物のイオンアシスト蒸着トップコート

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017507688A Division JP6630345B2 (ja) 2014-04-25 2015-04-20 希土類酸化物のイオンアシスト蒸着トップコート

Publications (2)

Publication Number Publication Date
JP2020065058A JP2020065058A (ja) 2020-04-23
JP6956774B2 true JP6956774B2 (ja) 2021-11-02

Family

ID=54333052

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017507688A Active JP6630345B2 (ja) 2014-04-25 2015-04-20 希土類酸化物のイオンアシスト蒸着トップコート
JP2017232470A Active JP6522724B2 (ja) 2014-04-25 2017-12-04 希土類酸化物のイオンアシスト蒸着トップコート
JP2019220830A Active JP6956774B2 (ja) 2014-04-25 2019-12-06 希土類酸化物のイオンアシスト蒸着トップコート

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2017507688A Active JP6630345B2 (ja) 2014-04-25 2015-04-20 希土類酸化物のイオンアシスト蒸着トップコート
JP2017232470A Active JP6522724B2 (ja) 2014-04-25 2017-12-04 希土類酸化物のイオンアシスト蒸着トップコート

Country Status (6)

Country Link
US (4) US9869013B2 (ja)
JP (3) JP6630345B2 (ja)
KR (2) KR20160147699A (ja)
CN (3) CN105408987B (ja)
TW (3) TW202102699A (ja)
WO (1) WO2015164263A1 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
WO2015073938A1 (en) * 2013-11-18 2015-05-21 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6515050B2 (ja) * 2016-03-15 2019-05-15 東芝デバイス&ストレージ株式会社 半導体製造装置
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
JP6371354B2 (ja) * 2016-09-30 2018-08-08 本田技研工業株式会社 被膜形成装置
US11613502B2 (en) 2016-11-07 2023-03-28 The Regents Of The University Of Colorado Core-shell ceramic particle colloidal gel and solid oxide fuel cell electrolyte
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
CN107799253A (zh) * 2017-10-27 2018-03-13 包头稀土研究院 稀土金属旋转靶材的制造方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11685990B2 (en) 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
JP2021508775A (ja) * 2017-12-20 2021-03-11 テックネティックス グループ, エルエルシーTechnetics Group, Llc 能動的温度制御機能を有する蒸着処理システム及び関連する方法
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR101961411B1 (ko) * 2018-07-02 2019-03-22 주식회사 그린리소스 대면적 oled 패널 제조용 챔버의 코팅재 및 그 제조 방법
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7183917B2 (ja) * 2019-03-29 2022-12-06 株式会社デンソー スパッタリング装置と半導体装置の製造方法
US11560626B2 (en) * 2019-05-24 2023-01-24 Applied Materials, Inc. Substrate processing chamber
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
CN110712094B (zh) * 2019-09-06 2021-07-23 中国兵器科学研究院宁波分院 降低离子束抛光光学元件表面污染的方法
US20220364221A1 (en) * 2019-10-24 2022-11-17 Soon Young Kwon Method for forming coating layer having plasma resistance
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
WO2021188340A1 (en) * 2020-03-18 2021-09-23 Lam Research Corporation Method for conditioning a plasma processing chamber
US20230138555A1 (en) * 2020-03-20 2023-05-04 Lam Research Corporation Plasma processing chamber with multilayer protective surface
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
CN114496690A (zh) * 2020-10-27 2022-05-13 中微半导体设备(上海)股份有限公司 耐等离子体半导体零部件和形成方法、等离子体反应装置
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
CN112522673B (zh) * 2021-02-18 2021-04-27 中南大学湘雅医院 一种生物医用镁合金表面稀土复合薄膜及其制备方法
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Family Cites Families (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
DE3513882A1 (de) * 1985-04-17 1986-10-23 Plasmainvent AG, Zug Schutzschicht
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
DE3889024T2 (de) 1987-07-13 1994-10-13 Sumitomo Electric Industries Verfahren zum Herstellen einer supraleitenden Dünnschicht.
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5573862A (en) 1992-04-13 1996-11-12 Alliedsignal Inc. Single crystal oxide turbine blades
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5556525A (en) * 1994-09-30 1996-09-17 Advanced Micro Devices, Inc. PVD sputter system having nonplanar target configuration and methods for operating same
DE4442186C2 (de) * 1994-11-26 1999-03-04 Glyco Metall Werke Schichtwerkstoff und Verfahren zu seiner Herstellung
DE4445427C2 (de) * 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US5741544A (en) 1995-08-31 1998-04-21 Olin Corporation Articles using specialized vapor deposition processes
CN1074689C (zh) * 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6214473B1 (en) * 1998-05-13 2001-04-10 Andrew Tye Hunt Corrosion-resistant multilayer coatings
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6503575B1 (en) * 2000-05-22 2003-01-07 Praxair S.T. Technology, Inc. Process for producing graded coated articles
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
WO2002009167A2 (en) * 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US7066467B2 (en) * 2000-12-28 2006-06-27 Koyo Seiko Co., Ltd. Sealing device for water pump bearings
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6723209B2 (en) * 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
DE10119926A1 (de) * 2001-04-23 2002-10-24 Ernst Muenstermann Verfahren zur Optimierung der Zusammensetzung und/oder der mechanischen Eigenschaften einer oder mehrerer Schichten auf einem Substratkörper und Verwendung dieses Verfahrens
AUPR515301A0 (en) 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
DE10127079A1 (de) * 2001-06-02 2002-12-12 Ulrich Pachmann Verfahren zum quantitativen Nachweis vitaler epithelialer Tumorzellen in einer Körperflüssigkeit
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
EP1410901B1 (en) * 2001-07-24 2013-04-10 Toppan Printing Co., Ltd. Deposition film
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
RU2004101636A (ru) 2001-08-02 2005-06-10 3М Инновейтив Пропертиз Компани (US) Материалы на основе оксида алюминия, оксида иттрия, оксида циркония/оксида гафния и способы их изготовления и использования
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
US7625509B2 (en) * 2001-08-02 2009-12-01 3M Innovative Properties Company Method of making ceramic articles
CN1649802B (zh) 2001-08-02 2012-02-01 3M创新有限公司 陶瓷材料、磨粒、磨具及制造和使用方法
CN100522856C (zh) * 2001-08-02 2009-08-05 3M创新有限公司 Al2O3-稀土元素氧化物-ZrO2/HfO2材料以及其制造方法
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7426067B1 (en) * 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US6682821B2 (en) * 2001-12-28 2004-01-27 Kyocera Corporation Corrosion-resistant ceramics
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
FR2838752B1 (fr) * 2002-04-22 2005-02-25 Snecma Moteurs Procede de formation d'un revetement ceramique sur un substrat par depot physique en phase vapeur sous faisceau d'electrons
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
KR101168422B1 (ko) * 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
JP3894313B2 (ja) * 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
CN100401478C (zh) * 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7984195B2 (en) * 2006-07-07 2011-07-19 Logic Controls, Inc. Hybrid industrial networked computer system
US6969555B2 (en) * 2003-10-06 2005-11-29 General Electric Company Aluminate coating for a silicon containing substrate
US20050129869A1 (en) * 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a group 2 or 3/group 5 stabilization-composition-enriched surface
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US8110043B2 (en) 2004-01-08 2012-02-07 University Of Virginia Patent Foundation Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom
JP2005260040A (ja) * 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7354663B2 (en) 2004-04-02 2008-04-08 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine
US7901870B1 (en) * 2004-05-12 2011-03-08 Cirrex Systems Llc Adjusting optical properties of optical thin films
US20060165994A1 (en) * 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
US7306860B2 (en) * 2004-07-30 2007-12-11 Honeywell International, Inc. Protective coating for oxide ceramic based composites
JP2006108602A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060110609A1 (en) 2004-11-19 2006-05-25 Eaton Harry E Protective coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7989095B2 (en) 2004-12-28 2011-08-02 General Electric Company Magnetic layer with nanodispersoids having a bimodal distribution
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
JP2006274387A (ja) * 2005-03-30 2006-10-12 Nagasaki Prefecture 筒状体の内周側表面へのスパッタ法を用いたイオン注入法及びその装置並びに筒状体の内周側表面へのスパッタ法を用いたコーティング法及びその装置
JP5382677B2 (ja) 2005-06-17 2014-01-08 国立大学法人東北大学 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
KR100915722B1 (ko) * 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치
US8084086B2 (en) 2005-06-30 2011-12-27 University Of Virginia Patent Foundation Reliant thermal barrier coating system and related methods and apparatus of making the same
DE502006003197D1 (de) * 2005-07-12 2009-04-30 Alstom Technology Ltd Keramische wärmedämmschicht
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7785722B2 (en) * 2006-01-20 2010-08-31 United Technologies Corporation CMAS resistant thermal barrier coating
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4327177B2 (ja) * 2006-05-31 2009-09-09 トーカロ株式会社 耐食性溶射皮膜および溶射皮膜の封孔被覆方法
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
WO2008044555A1 (fr) * 2006-10-06 2008-04-17 Asahi Tech Co., Ltd. élément résistant à la corrosion et son procédé de fabrication
US7479464B2 (en) * 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8465602B2 (en) * 2006-12-15 2013-06-18 Praxair S. T. Technology, Inc. Amorphous-nanocrystalline-microcrystalline coatings and methods of production thereof
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP4597149B2 (ja) 2007-01-26 2010-12-15 株式会社シンクロン 薄膜形成装置及び薄膜形成方法
KR101478844B1 (ko) * 2007-03-09 2015-01-02 파나소닉 주식회사 증착장치 및 증착장치를 이용한 막의 제조방법
US7659204B2 (en) * 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
ES2403205T3 (es) * 2007-09-28 2013-05-16 Avery Dennison Corporation Etiqueta opacificante
JP2009161846A (ja) * 2007-12-10 2009-07-23 Densho Engineering Co Ltd プラズマ処理容器内部材の製造方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
CN102015311B (zh) * 2008-04-29 2015-05-20 惠普开发有限公司 打印装置
EP2128299B1 (en) * 2008-05-29 2016-12-28 General Electric Technology GmbH Multilayer thermal barrier coating
US8701564B2 (en) * 2008-07-16 2014-04-22 Electro-Motive Diesel, Inc. Self-steering radial bogie
DE102008045381A1 (de) * 2008-09-02 2010-03-04 Schaeffler Kg Verschleiß- und korrosionshemmender Schichtverbund
US8315779B2 (en) * 2008-09-30 2012-11-20 Ford Global Technologies, Llc Fuel sulfur content-based operation control of a diesel engine
JP5390166B2 (ja) 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
EP2389342B1 (en) 2009-01-22 2020-11-04 3M Innovative Properties Company Surface-modified zirconia nanoparticles
KR101123719B1 (ko) * 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110091700A1 (en) * 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
US8679246B2 (en) * 2010-01-21 2014-03-25 The University Of Connecticut Preparation of amorphous mixed metal oxides and their use as feedstocks in thermal spray coating
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110117846A (ko) * 2010-04-22 2011-10-28 한국세라믹기술원 응력완화성이 우수한 플라즈마 저항성 세라믹 탑코트 및 그 제조 방법
KR101087821B1 (ko) * 2010-04-27 2011-11-30 신크론 컴퍼니 리미티드 반도체 발광소자 기판의 제조방법
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
FI125358B (fi) * 2010-07-09 2015-09-15 Teknologian Tutkimuskeskus Vtt Oy Termisesti ruiskutettu täysin amorfinen oksidipinnoite
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8766240B2 (en) 2010-09-21 2014-07-01 Universal Display Corporation Permeation barrier for encapsulation of devices and substrates
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
GB201106553D0 (en) * 2011-04-19 2011-06-01 Pilkington Glass Ltd Mthod for coating substrates
US20120285819A1 (en) * 2011-05-09 2012-11-15 Intermolecular, Inc. Combinatorial and Full Substrate Sputter Deposition Tool and Method
US10309018B2 (en) * 2011-05-31 2019-06-04 United Technologies Corporation Composite article having layer with co-continuous material regions
JP5568756B2 (ja) * 2011-06-29 2014-08-13 トーカロ株式会社 耐食性や耐プラズマエロージョン性に優れるサーメット溶射皮膜被覆部材およびその製造方法
CN102869211A (zh) * 2011-07-08 2013-01-09 深圳富泰宏精密工业有限公司 壳体及其制备方法
CN103038734B (zh) * 2011-08-05 2017-02-08 谱瑞科技股份有限公司 可变分布共模滤波器
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US8840981B2 (en) * 2011-09-09 2014-09-23 Eastman Kodak Company Microfluidic device with multilayer coating
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
JP2013082993A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd マグネトロンスパッタ装置及びマグネトロンスパッタ方法
US9023486B2 (en) * 2011-10-13 2015-05-05 General Electric Company Thermal barrier coating systems and processes therefor
US20130095256A1 (en) 2011-10-17 2013-04-18 Derek Hass Impact and erosion resistant thermal and environmental barrier coatings
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140030497A1 (en) * 2012-07-30 2014-01-30 United Technologies Corporation Localized transitional coating of turbine components
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
DE102012109691B4 (de) * 2012-10-11 2014-08-07 Von Ardenne Anlagentechnik Gmbh Solarabsorber-Schichtsystem mit Gradientenschicht und Verfahren zu dessen Herstellung
KR101249951B1 (ko) * 2012-10-24 2013-04-03 아이원스 주식회사 공정 장비의 코팅 방법 및 이를 이용한 코팅 구조
JP2014116059A (ja) * 2012-11-16 2014-06-26 Iza Corp トンネルバリア層又はゲート絶縁膜の製造方法及びトンネルバリア層又はゲート絶縁膜の製造装置
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
GB2509335A (en) * 2012-12-31 2014-07-02 Univ Tartu Double-structured corrosion resistant coatings and methods of application
CN103184402B (zh) * 2013-03-28 2015-05-13 常州大学 一种稀土改性金属陶瓷涂层的制备方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
WO2014210613A1 (en) 2013-06-29 2014-12-31 Plasmasi, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
WO2015073938A1 (en) * 2013-11-18 2015-05-21 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9139908B2 (en) * 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
US9890089B2 (en) * 2014-03-11 2018-02-13 General Electric Company Compositions and methods for thermal spraying a hermetic rare earth environmental barrier coating
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10472286B2 (en) * 2015-02-10 2019-11-12 University Of Connecticut Yttrium aluminum garnet based thermal barrier coatings

Also Published As

Publication number Publication date
US9970095B2 (en) 2018-05-15
JP2020065058A (ja) 2020-04-23
TW201812055A (zh) 2018-04-01
KR20160147699A (ko) 2016-12-23
TW202102699A (zh) 2021-01-16
CN107916399A (zh) 2018-04-17
US20180030589A1 (en) 2018-02-01
WO2015164263A1 (en) 2015-10-29
CN111640643A (zh) 2020-09-08
US20150311044A1 (en) 2015-10-29
JP6630345B2 (ja) 2020-01-15
US10563297B2 (en) 2020-02-18
CN107916399B (zh) 2020-05-12
JP6522724B2 (ja) 2019-05-29
TWI665322B (zh) 2019-07-11
TWI706047B (zh) 2020-10-01
US10544500B2 (en) 2020-01-28
US20180080116A1 (en) 2018-03-22
KR20170141277A (ko) 2017-12-22
JP2017520126A (ja) 2017-07-20
CN105408987A (zh) 2016-03-16
CN105408987B (zh) 2020-06-23
US9869013B2 (en) 2018-01-16
TW201606105A (zh) 2016-02-16
US20160326626A1 (en) 2016-11-10
JP2018080396A (ja) 2018-05-24

Similar Documents

Publication Publication Date Title
JP6956774B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
JP6929397B2 (ja) 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
JP6820359B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
JP6487915B2 (ja) プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210907

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211005

R150 Certificate of patent or registration of utility model

Ref document number: 6956774

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150