CN111640643A - 稀土氧化物的顶部涂层的离子辅助沉积 - Google Patents

稀土氧化物的顶部涂层的离子辅助沉积 Download PDF

Info

Publication number
CN111640643A
CN111640643A CN202010512920.7A CN202010512920A CN111640643A CN 111640643 A CN111640643 A CN 111640643A CN 202010512920 A CN202010512920 A CN 202010512920A CN 111640643 A CN111640643 A CN 111640643A
Authority
CN
China
Prior art keywords
protective layer
conformal
thin film
ceramic
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010512920.7A
Other languages
English (en)
Inventor
J·Y·孙
B·P·卡农戈
V·菲鲁兹多尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111640643A publication Critical patent/CN111640643A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B5/00Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts
    • B32B5/14Layered products characterised by the non- homogeneity or physical structure, i.e. comprising a fibrous, filamentary, particulate or foam layer; Layered products characterised by having a layer differing constitutionally or physically in different parts characterised by a layer differing constitutionally or physically in different parts, e.g. denser near its faces
    • B32B5/145Variation across the thickness of the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/02Physical, chemical or physicochemical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/046Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with at least one amorphous inorganic material layer, e.g. DLC, a-C:H, a-C:Me, the layer being doped or not
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/048Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/044 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/44Number of layers variable across the laminate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/20Inorganic coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/704Crystalline
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/724Permeability to gases, adsorption
    • B32B2307/7242Non-permeable
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/752Corrosion inhibitor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B33/00Layered products characterised by particular properties or particular surface features, e.g. particular surface coatings; Layered products designed for particular purposes not covered by another single class
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • Y10T428/24339Keyed
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components

Abstract

本申请公开了稀土氧化物的顶部涂层的离子辅助沉积。制造制品的方法包含以下步骤:提供制品,所述制品诸如,用于蚀刻反应器的腔室部件。执行等离子体喷涂沉积工艺以在腔室部件的至少一个表面上方沉积第一保护层。所述第一保护层是具有大于约50微米的厚度以及多个裂痕与孔隙的抗等离子体的陶瓷。随后执行离子辅助沉积(IAD)工艺以在第一保护层上方沉积第二保护层。所述第二保护层是具有小于50微米的厚度以及小于1%的孔隙度的抗等离子体的稀土氧化物。第二保护层密封第一保护层的所述多个裂痕与孔隙。

Description

稀土氧化物的顶部涂层的离子辅助沉积
本申请是PCT国际申请号为PCT/US2015/026677、国际申请日为2015年4月20日、进入中国国家阶段的申请号为201580001478.9,题为“稀土氧化物的顶部涂层的离子辅助沉积”的发明专利申请的分案申请。
技术领域
本发明的实施例大体上关于具有利用离子辅助沉积(IAD)来沉积的抗等离子体的薄膜保护层的腔室部件。
背景技术
在半导体产业中,器件由制造持续减小的尺寸的结构的许多制造工艺来制造。诸如等离子体蚀刻和等离子体清洁工艺之类的一些制造工艺使基板暴露于高速的等离子体流以蚀刻或清洁基板。等离子体可能是高度腐蚀性的,并且可能腐蚀处理腔室以及暴露于等离子体的其他表面。
附图说明
在所附附图的各图中以示例方式而非限制方式图示本发明,在所附附图中,同样的元件符号指示类似的元件。应当注意,本公开中对“一”或“一个”实施例的不同的提及不一定是指同一个实施例,并且此类提及意味着至少一个实施例。
图1描绘处理腔室的实施例的截面图。
图2A描绘适用于利用高能粒子的各种沉积技术(诸如,离子辅助沉积(IAD))的沉积机制。
图2B描绘IAD沉积设备的示意图。
图3A至图4C图示由一个或更多个薄膜保护层覆盖的制品的剖面侧视图。
图5图示根据一个实施例的、具有抗等离子体的稀土氧化物层的腔室衬层。
图6A图示用于在制品上方形成一个或更多个保护层的工艺的一个实施例。
图6B图示用于使用利用金属靶材的IAD或PVD在制品的主体上方形成薄膜保护层的工艺的一个实施例。
图7A至图7E图示制品的扫描电子显微镜(SEM)图像,所述制品具有沉积在等离子体喷涂的保护层上方的薄膜保护层,所述薄膜保护层由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物(compound)形成,所述等离子体喷涂的保护层也由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物形成。
图8和图9分别图示根据本发明的实施例而形成的薄膜保护层在CH4-Cl2和CHF3-NF3-Cl2化学品作用下的侵蚀速率。
图10至图11分别图示根据本发明的实施例而形成的薄膜保护层在CH4-Cl2和CHF3-NF3-Cl2化学品作用下的粗糙度轮廓。
具体实施方式
本发明的实施例提供制品,所述制品诸如,用于蚀刻反应器的腔室部件,所述制品在所述制品面向等离子体的表面上具有薄膜保护层。保护层可具有高达约300μm(微米)的厚度,并且提供抗等离子体侵蚀性以保护制品。可使用离子辅助沉积(IAD)(例如,使用电子束IAD(EB-IAD)或离子束溅射IAD(IBS-IAD))或物理气相沉积(PVD)在制品上形成保护层。薄膜保护层可以是Y3Al5O12、Y2O3、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或另一稀土氧化物。在一个实施例中,使用金属靶材来执行IAD或PVD,并且原位地(in situ)形成稀土氧化物。由薄膜保护层提供的改善的抗侵蚀性可改善制品的使用寿命,同时降低维护和制造成本。此外,IAD涂层可沉积为等离子体喷涂的涂层上方的顶部涂层。IAD涂层可密封等离子体喷涂的涂层中的孔隙和裂痕以显著地降低工艺气体与腔室部件的反应量以及微量金属污染的等级。IAD涂层还可嵌入先前在等离子体喷涂的涂层上的松散的颗粒以减少颗粒缺陷。
图1为半导体处理腔室100的截面图,所述处理腔室具有以根据本发明的实施例的薄膜保护层来涂覆的一个或更多个腔室部件。处理腔室100可用于提供了腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻反应器(也称作等离子体蚀刻器)、等离子体清洁器等的腔室。可包括薄膜保护层的腔室部件的示例包括基板支撑组件148、静电夹盘(ESC)150、环(例如,处理套环或单环)、腔室壁、基底、气体分配板、喷淋头、腔室衬层、衬层套组、屏蔽件、等离子体屏、冷却基底、腔室观察口、腔室盖104、喷嘴、流量均衡器(FEQ)等。在一个特定的实施例中,保护层施加在腔室盖104和/或腔室喷嘴132上方。
薄膜保护层(在下文中将更详细地描述)是通过离子辅助沉积(IAD)或物理气相沉积(PVD)而沉积的稀土氧化物层。薄膜保护层可包括Y2O3与Y2O3基(Y2O3 based)稀土氧化物复合物(composite)、Er2O3与Er2O3基稀土氧化物复合物、Gd2O3与Gd2O3基稀土氧化物复合物、Nd2O3与Nd2O3基陶瓷、Er基稀土氧化物复合物、Ga基稀土氧化物复合物或AlN。在各种实施例中,薄膜保护层可由以下各项组成:Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er3Al5O12(EAG)、Gd3Al5O12(GAG)、YAlO3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Gd4Al2O9(GdAM)、GdAlO3(GdAP)、Nd3Al5O12(NdAG)、Nd4Al2O9(NdAM)、NdAlO3(NdAP)和/或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。薄膜保护层也可以是Er-Y组成物(composition)(例如,Er 80重量%和Y 20重量%)、Er-Al-Y组成物(例如,Er 70重量%、Al 10重量%以及Y 20重量%)、Er-Y-Zr组成物(例如,Er70重量%、Y 20重量%以及Zr 10重量%)或Er-Al组成物(例如,Er 80重量%和Al 20重量%)。注意,重量%意味着重量百分比。相比之下,摩尔%是摩尔比率。
薄膜保护层也可基于上述陶瓷中的任一种陶瓷形成的固溶体。参照包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物,在一个实施例中,陶瓷化合物包括62.93摩尔比率(摩尔%)的Y2O3、23.23摩尔%的ZrO2和13.94摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括50-75摩尔%的Y2O3、10-30摩尔%的ZrO2和10-30摩尔%的Al2O3。在又一实施例中,陶瓷化合物可包括40-100摩尔%的Y2O3、0-60摩尔%的ZrO2和0-10摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括40-60摩尔%的Y2O3、30-50摩尔%的ZrO2和10-20摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括40-50摩尔%的Y2O3、20-40摩尔%的ZrO2和20-40摩尔%的Al2O3。在又一实施例中,陶瓷化合物可包括70-90摩尔%的Y2O3、0-20摩尔%的ZrO2和10-20摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括60-80摩尔%的Y2O3、0-10摩尔%的ZrO2和20-40摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括40-60摩尔%的Y2O3、0-20摩尔%的ZrO2和30-40摩尔%的Al2O3。在其他实施例中,其他分布也可用于陶瓷化合物。
在一个实施例中,包括Y2O3、ZrO2、Er2O3、Gd2O3和SiO2的组合的替代陶瓷化合物用于保护层。在一个实施例中,替代陶瓷化合物可包括40-45摩尔%的Y2O3、0-10摩尔%的ZrO2、35-40摩尔%的Er2O3、5-10摩尔%的Gd2O3和5-15摩尔%的SiO2。在第一示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、5摩尔%的Gd2O3和15摩尔%的SiO2。在第二示例中,替代陶瓷化合物包括45摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、10摩尔%的Gd2O3和5摩尔%的SiO2。在第三示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、40摩尔%的Er2O3、7摩尔%的Gd2O3和8摩尔%的SiO2
在一个实施例中,包括Y2O3、ZrO2、Er2O3和Al2O3的组合的替代陶瓷化合物用于保护层。在一个实施例中,替代陶瓷化合物包括25摩尔%的Y2O3、25摩尔%的ZrO2、25摩尔%的Er2O3和25摩尔%的Al2O3
在一个实施例中,包括Y2O3、Gd2O3和Al2O3的组合的替代陶瓷化合物用于保护层。替代陶瓷化合物可包括6.9-22.1摩尔%的Y2O3、14.1-44.9摩尔%的Gd2O3和33.0-79摩尔%的Al2O3。在一个实施例中,替代陶瓷化合物包括22.1摩尔%的Y2O3、44.9摩尔%的Gd2O3和33.0摩尔%的Al2O3。在另一实施例中,替代陶瓷化合物包括16.5摩尔%的Y2O3、33.5摩尔%的Gd2O3和50.0摩尔%的Al2O3。在又一实施例中,替代陶瓷化合物包括12.5摩尔%的Y2O3、25.5摩尔%的Gd2O3和62.0摩尔%的Al2O3。在再一实施例中,替代陶瓷化合物包括6.9摩尔%的Y2O3、14.1摩尔%的Gd2O3和79.0摩尔%的Al2O3
上述薄膜保护层中的任何一者可包括微量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
薄膜保护层可以是施加至不同的陶瓷制品上方的IAD涂层,所述陶瓷制品包括氧化物基(oxide based)陶瓷、氮化物基陶瓷和碳化物基陶瓷。氧化物基陶瓷的示例包括SiO2(石英)、Al2O3、Y2O3等。碳化物基陶瓷的示例包括SiC、Si-SiC等。氮化物基陶瓷的示例包括AlN、SiN等。薄膜保护层还可以是施加至等离子体喷涂的保护层上方的IAD涂层。等离子体喷涂的保护层可以是Y3Al5O12、Y2O3、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或另一陶瓷。
如图所述,根据一个实施例,盖130和喷嘴132各自都具有薄膜保护层133、134。然而,应当理解,诸如上文中列举的那些腔室部件之类的任何腔室部件中的任何一者都可包括薄膜保护层。例如,处理腔室100的内衬层和/或外衬层可包括薄膜保护层。
在一个实施例中,处理腔室100包括封围内部容积106的腔室主体102和盖130。盖130在它的中心具有孔,喷嘴132可插入到所述孔中。腔室主体102可由铝、不锈钢或其他适合的材料制成。腔室主体102通常包括侧壁108和底部110。盖130、喷嘴132、侧壁108和/或底部110中的任一者可包括等离子体喷涂的保护层和/或薄膜保护层,所述薄膜保护层充当所述等离子体喷涂的保护层上方的顶部涂层。
外衬层116可邻接侧壁108而设置以保护腔室主体102。外衬层116可包括等离子体喷涂的保护层和/或薄膜保护层。在一个实施例中,外衬层116由氧化铝制成。在一个实施例中,外衬层116由具有等离子体喷涂的Y2O3保护层的铝合金(例如,6061铝)制成。薄膜保护层可充当外衬层上的Y2O3保护层上方的顶部涂层。
排气口126可限定在腔室主体102中,并且可将内部容积106耦接至泵系统128。泵系统128可包括用于排空并调节处理腔室100的内部容积106内的压力的一个或更多个泵和节流阀。
盖130可被支撑在腔室主体102的侧壁108上。盖130可被打开以允许进出处理腔室100的内部容积106,并且在关闭时可为处理腔室100提供密封。气体面板158可耦接至处理腔室100,以便通过喷嘴132将工艺和/或清洁气体提供至内部容积106。盖130可以是陶瓷,诸如,Al2O3、Y2O3、YAG、SiO2、AlN、SiN、SiC、Si-SiC或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。喷嘴132也可以是陶瓷,诸如,针对盖用所提及的那些陶瓷中的任何一种。可分别以薄膜保护层133、134来涂覆盖130和/或喷嘴132。
可用于在处理腔室100中处理基板的处理气体的示例包括含卤素气体(诸如,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4,等等)和其他气体(诸如,O2或N2O)。载气的示例包括N2、He、Ar以及不与工艺气体作用的其他气体(例如,非反应气体)。基板支撑组件148设置在处理腔室100的内部容积106中,在盖130下方。在处理期间,基板支撑组件148托住基板144。环146(例如,单环)可覆盖静电夹盘150的部分,并且可在处理期间保护被覆盖的部分免于暴露于等离子体。在一个实施例中,环146可以是硅或石英。
内衬层118可涂覆在基板支撑组件148的外围上。内衬层118可以是抗含卤素气体的材料,诸如,参照外衬层116所讨论的那些材料。在一个实施例中,内衬层118由与外衬层116相同的材料制成。此外,能以等离子体喷涂的保护层和/或IAD沉积的薄膜保护层来涂覆内衬层118。
在一个实施例中,基板支撑组件148包括支撑基座152的装配板162和静电夹盘150。静电夹盘150进一步包括导热基底164和静电定位盘166,所述静电定位盘166通过粘着剂138而接合至导热基底164,在一个实施例中,粘着剂可以是硅酮粘着剂。装配板162耦接至腔室主体102的底部110,并且包括通道以供将设施(例如,流体、电力线、传感器引线等)引导至导热基底164和静电定位盘166。
导热基底164和/或静电定位盘166可包括一个或更多个任选的嵌入式加热元件176、嵌入式隔热器174和/或导管168、170以控制支撑组件148的侧向温度轮廓。导管168、170可流体地耦接至流体源172,所述流体源172使温度调节流体循环通过导管168、170。在一个实施例中,嵌入式隔热器174可设置在导管168与170之间。加热器176由加热器电源178调节。导管168、170以及加热器176可用于控制导热基底164的温度,进而加热和/或冷却静电定位盘166和正在被处理的基板(例如,晶片)144。可使用多个温度传感器190、192来监测静电定位盘166和导热基底164的温度,可使用控制器195来监测所述多个温度传感器190、192。
静电定位盘166可进一步包括在定位盘166的上表面中形成的多个气体通道,诸如,沟槽、台面和其他表面特征。气体通道可经由在定位盘166中钻出的孔而流体地耦接至热传递(或背侧)气体(诸如,He)的源。在操作时,能以受控的压力将背侧气体提供至气体通道以增强静电定位盘166与基板144之间的热传递。
静电定位盘166包括至少一个夹持电极180,所述夹持电极受控于夹持电源182。电极180(或设置在定位盘166或基底164中的其他电极)可通过匹配电路188进一步耦接至一各或更多个RF(射频)电源184、186,以便维持处理腔室100内由工艺和/或其他气体形成的等离子体。电源184、186通常能产生具有约50kHz至约3GHz的频率以及高达约10000瓦的功率的RF信号。
图2A描绘适用于利用高能粒子的各种沉积技术(诸如,离子辅助沉积(IAD)和PVD)的沉积机制。参照IAD来讨论一些实施例。然而,应当理解,替代实施例也可与PVD沉积技术一起使用。示例性IAD方法包括和合并了离子轰击(诸如,蒸镀(例如,活化反应蒸镀(ARE)或电子束离子辅助沉积(EB-IAD))以及在离子轰击存在的情况下的溅射(例如,离子束溅射离子辅助沉积(IBS-IAD))的沉积工艺以形成本文中所述的抗等离子体的涂层。可通过蒸镀来执行EB-IAD。可通过溅射固体靶材材料来执行IBS-IAD。
如图所示,通过在诸如离子(例如,氧离子或氮离子)之类的高能粒子203存在的情况下沉积材料202的积累而在制品210上形成薄膜保护层215。制品210A、210B可以是金属(例如,铝合金、不锈钢等)、陶瓷(例如,Al2O3、Y2O3、AlN、SiO2等)或聚合物基材料。制品210A、210B可以已经在至少一个表面上具有等离子体喷涂涂层(诸如,Y2O3涂层)。可执行IAD或PVD工艺以在所述等离子体喷涂涂层上方提供顶部涂层。
沉积材料202可包括原子、离子、自由基等。高能粒子203可在被形成时撞击并压实薄膜保护层215。可在反应气体物质(诸如,O2、N2、卤素等)存在的情况下来执行IAD或PVD方法中的任何一种。反应物质可在沉积之前和/或期间燃耗表面有机污染物。
在一个实施例中,EB-IAD用于形成薄膜保护层215。在另一实施例中,IBS-IAD用于形成薄膜保护层215。或者,PVD用于形成薄膜保护层215。图2B描绘IAD沉积设备的示意图。如图所示,材料源250提供沉积材料202的通量(flux),而高能粒子源255提供高能粒子203的通量,在整个IAD工艺期间,沉积材料202的通量和高能粒子203的通量两者均撞击到制品210、210A、210B上。高能粒子源255可以是氧、氮或其他离子源。高能粒子源255还可提供来自颗粒生成源(例如,来自等离子体、反应气体,或来自提供沉积材料的材料源)的其他类型高能粒子,诸如,惰性自由基、中性原子和纳米尺寸的颗粒。
IAD涂覆靶材材料可以是煅烧粉末、预制(preformed)团块(例如,通过生坯冲压、热压等而形成)、烧结体(例如,具有50%-100%的密度)或经机械加工的主体(例如,可以是陶瓷、金属或金属合金)。在一个实施例中,用于提供沉积材料的材料源(例如,靶材主体)是对应于与将组成薄膜保护层215的陶瓷相同的陶瓷。在一个实施例中,材料源是对应于与将组成薄膜保护层215的陶瓷相同的陶瓷的块状烧结陶瓷。例如,材料源可以是块状烧结陶瓷化合物主体或块状烧结YAG、Er2O3、Gd2O3、Er3Al5O12或Gd3Al5O12或其他所述的陶瓷。还可使用其他靶材材料,诸如,粉末、煅烧粉末、预制材料(例如,通过生坯冲压或热压而形成)或经机械加工的主体(例如,经融合的材料)。在沉积期间,所有不同类型的材料源250熔化为熔化的材料源。然而,不同类型的起始材料花不同的时间量来熔化。经融合的材料和/或经机械加工的主体最快地熔化。预制材料熔化得比经融合的材料慢,煅烧粉末熔化得比预制材料慢,而标准粉末熔化得比煅烧粉末慢。
在另一实施例中,用于提供沉积材料的材料源(例如,靶材主体)是金属靶材。使用金属靶材而不是陶瓷靶材通常增加了IAD或PVD沉积的层的沉积速率。可蒸镀或溅射金属靶材,并且所述金属靶材可与一种或更多种气体原位地反应以形成陶瓷层。在一个实施例中,在IAD沉积期间,使氧或氮自由基流入到沉积腔室中。被蒸镀或溅射的金属与氧或氮自由基反应以形成氧化物或氮化物陶瓷层。例如,蒸镀或溅射钇金属靶材,并且所述钇金属靶材可与氧自由基反应以形成Y2O3 IAD沉积的层。在另一示例中,蒸镀或溅射铝金属靶材,并且所述铝金属靶材与氮自由基反应以形成AlN IAD沉积的层。可用作靶材的其他示例稀土金属包括铝、铒和钆。
为了形成错合(complex)氧化物组分,各种金属合金可用作靶材材料。可用于沉积抗等离子体的稀土氧化物层的一些示例金属合金包括钇锆合金、钇锆铝合金、铒铝合金、钆铝合金、钇铒锆铝合金、钇铒锆钆硅合金以及钇钆铝合金。
可调整氧或氮自由基的流率以控制所形成的薄膜保护层215中的氧含量或氮含量。在一个实施例中,初始使用低流率的氧或氮自由基来沉积具有低的氧或氮浓度的金属型涂层。这可最小化或消除由薄膜保护层215与制品210之间的物理性质差异引起的任何失配应力。随着沉积工艺继续,可逐渐增加氧或氮自由基的流率。例如,在沉积工艺期间,可线性地、指数式或对数式增加流率。薄膜保护层215的顶部随后可具有高浓度的氧或氮,并且可以是氧化物或氮化物。例如,可在由铝金属合金制成的基板上方通过蒸镀Al金属来开始沉积。在沉积了1μm的基本为铝且具有最低的氧浓度的涂层后,可增加腔室内的氧自由基浓度以另一个1μm的沉积为具较高浓度的氧的Al,并且可进一步增加腔室内的氧自由的基浓度以使涂层的其余部分为Al2O3。离子辅助还可包括中性离子(例如,Ar)。如果材料在蒸镀和沉积期间失氧,则可通过使氧渗入到腔室中来补偿氧不足。
IAD可利用一个或更多个等离子体或射束(例如,电子束)来提供材料和高能离子源。在沉积抗等离子体的涂层期间,还可提供反应物质。在一实施例中,高能粒子203包括非反应物质(例如,Ar)或反应物质(例如,O或N)中的至少一者。例如,氧离子或氮离子可用于在IAD沉积期间轰击制品210。这些氧离子或氮离子另外可与经蒸镀或溅射的金属原位地反应。可替代于或附加于使氧或氮自由基流至处理腔室来使用氧离子或氮离子的轰击以与经蒸镀或溅射的金属原位地反应。
在进一步的实施例中,还可在抗等离子体的涂层的形成期间引入诸如CO与卤素(Cl、F、Br等)之类的反应物质以进一步增加选择性地去除最微弱地接合至薄膜保护层215的经沉积的材料的趋势。
利用IAD工艺,可由高能离子(或其他粒子)源255独立于其他沉积参数来控制高能粒子203。可调整高能离子通量的能量(例如,速度)、密度和入射角以控制薄膜保护层的组分、结构、结晶定向和晶粒尺寸。可调整的附加参数是沉积期间的制品温度以及沉积的持续时间。
离子辅助能量用于使涂层致密化并且加速材料在基板表面上的沉积。可使用离子源的电压和电流两者来改变离子辅助能量。可调整电压和电流以实现高与低的涂层密度以操纵涂层的应力以及涂层的结晶度。离子辅助能量的范围可以是大约50-500伏特(V)以及大约1-50安培(A)。离子辅助能量还可用于刻意改变涂层的化学计量。例如,可在沉积期间使用金属靶材,并且所述金属靶材转化为金属氧化物。
可通过使用加热器来加热沉积腔室和/或基板以及通过调整沉积速率来控制涂覆温度。在一个实施例中,在沉积之前,将IAD沉积腔室(以及所述IAD沉积腔室中的制品)加热至160℃或更高的起始温度。在一个实施例中,起始温度为160℃至500℃。在一个实施例中,起始温度为200℃至270℃。随后,在沉积期间,可将腔室和制品的温度维持在起始温度。在一个实施例中,IAD腔室包括进行加热的热灯。在替代实施例中,不加热IAD腔室和制品。如果不加热腔室,则作为IAD工艺的结果,所述腔室将自然地使温度升高至约160℃。沉积期间的较高的温度可增加保护层的密度,但也可能增加保护层的机械应力。可将主动式冷却添加至腔室以在涂覆期间维持低温。在一个实施例中,可将低温维持在160℃或更低且一直降至0℃的任何温度。在一个实施例中,冷却制品以在沉积期间将温度维持在150℃或更低。可将制品可维持在150℃或更低的温度下以防止等离子体喷涂的保护层在IAD沉积期间从制品剥落。沉积温度可用于调整膜应力、结晶度和其他涂层性质。
可调整的附加参数是工作距离270和入射角272。工作距离270是材料源250与制品210A、210B之间的距离。在一个实施例中,工作距离270为0.2至2.0米,在一个特定的实施例中,工作距离为1.0米或更小。减小工作距离增加了沉积速率并提高了离子能的有效性。然而,将工作距离减小到低于特定的点可能降低保护层的均匀度。可改变工作距离以实现具有最高均匀度的涂层。此外,工作距离可影响沉积速率和涂层的密度。在一个实施例中,使用小于1.0米的工作距离,以便以将高达5-10%的非均匀度引入到薄膜保护层中为代价来提供增加的沉积速率。
入射角是沉积材料202击中制品210A、210B的角度。可通过改变基板的位置和/或取向来改变入射角。在一个实施例中,入射角为10-90度,在一个特定的实施例中,入射角为约30度。通过优化入射角,可实现三维几何形状中的均匀的涂层。
可在粗糙度从约0.5微英寸(μin)至约180μin的大范围的表面条件下来施加IAD涂层。然而,较平滑的表面有助于均匀的涂层覆盖。涂层厚度可高达约1000微米(μm)。在制造时,可通过刻意在涂层叠层的底部处添加稀土氧化物基着色剂(诸如,Nd2O3、Sm2O3、Er2O3等)来估计部件上的涂层厚度。还可使用椭圆仪来准确地测量厚度。
取决于用于形成涂层的稀土氧化物复合物,IAD涂层可以是非晶的或结晶的。例如,EAG和YAG是非晶涂层,而Er2O3以及包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物通常是结晶的。非晶涂层的共形性较佳,并且减少晶格失配引起的外延裂痕,而结晶涂层更抗侵蚀。
涂层架构可以是双层式或多层式结构。在双层式架构中,非晶层可沉积为缓冲层以使外延裂痕最小化,随后是顶部上可抗侵蚀的结晶层。在多层式设计中,层材料可用于产生从基板到顶层的平顺的热梯度。
可实现使用多个电子束(e-射束)枪管来共沉积以形成较厚的涂层以及分层的架构。例如,可同时使用具相同的材料类型的两个靶材。可由不同的电子束枪管轰击每一个靶材。这可增加沉积速率和保护层的厚度。在另一示例中,两个靶材可以是不同的陶瓷材料或不同的金属材料。第一电子束枪管可轰击第一靶材以沉积第一保护层,并且第二电子束枪管随后可轰击第二靶材以形成第二保护层,所述第二保护层具有与第一保护层不同的组分。或者,两个电子束枪管可同时轰击两个靶材以形成错合陶瓷化合物。因此,可使用两个不同的金属靶材而不是单一的金属合金来形成错合陶瓷化合物。
涂覆后(post coating)热处理可用于实现改善的涂层性质。例如,所述涂覆后热处理可用于将非晶涂层转化为具有较高的抗侵蚀性的结晶涂层。另一示例是通过形成反应区或过渡层来改善涂层对基板的接合强度。
在一个实施例中,在IAD腔室中并行地处理制品。例如,在一个实施例中,可并行地处理多达五个盖和/或喷嘴。每一个制品可由不同的固定件支撑。或者,单个的固定件可配置成用于支托多个制品。固定件可在沉积期间移动被支撑制品。
在一个实施例中,用于支托制品(例如,腔室衬层)的固定件可由金属部件(诸如,冷轧钢)或陶瓷(诸如,Al2O3、Y2O3等)设计而成。固定件可用于在材料源和电子束枪管上方或下方支撑腔室衬层。固定件可具有夹持能力,以便为实现更安全且更容易搬运以及在涂覆期间夹持盖和/或喷嘴。此外,固定件可具有特征以使腔室衬层取向或对准。在一个实施例中,可重定位固定件和/或围绕着一个或更多个轴转动所述固定件以改变被支撑的腔室衬层朝源材料的取向。还可在沉积之前和/或期间重定位固定件以改变工作距离和/或入射角。固定件可具有冷却或加热流道以控制涂覆期间的制品温度。重定位和转动腔室衬层的能力可允许对3D表面(诸如,孔)的最大涂层覆盖,因为IAD是视线工艺。
Figure BDA0002528966260000131
表1:IAD沉积的YAG、Er2O3、EAG以及包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物的材料性质
表1示出92%Al2O3(氧化铝)基板以及涂覆92%Al2O3基板的IAD薄膜保护层的材料性质。在表中,“C”表示结晶结构,“A”表示非晶结构。如表中所示,氧化铝基板具有363伏特/密耳(V/mil)的击穿电压。相比之下,IAD沉积的包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物的5微米(μm)涂层的具有427V(远高于氧化铝的363伏特/密耳的归一化值)的击穿电压。IAD沉积的YAG的5μm的涂层具有1223V的击穿电压。IAD沉积的Er2O3的5μm的涂层具有527V的击穿电压。IAD沉积的EAG的5μm的涂层具有900V的击穿电压。IAD沉积的Y2O3的5μm的涂层具有1032V的击穿电压。IAD沉积的YZ20的5微米的涂层具有423V的击穿电压。
氧化铝在室温下的体电阻率为约0.01×1016(0.01E16)Ω·cm(欧姆·厘米)。陶瓷化合物薄膜保护层在室温下的体电阻率为约4.1E16Ω·cm,YAG薄膜保护层在室温下的体电阻率为约11.3E16Ω·cm。
氧化铝的介电常数为约9.2,陶瓷化合物薄膜的介电常数为约9.83,YAG薄膜的介电常数为约9.76,Er2O3薄膜的介电常数为约9.67,EAG薄膜的介电常数为约9.54。氧化铝的损失正切为约5E-4,陶瓷化合物薄膜的损失正切为约4E-4,YAG薄膜的损失正切为约4E-4,Er2O3薄膜的损失正切为约4E-4,EAG薄膜的损失正切为约4E-4。92%氧化铝的热导率为约18W/m-K。92%氧化铝上方的5μm陶瓷化合物薄膜涂层的叠层的热导率为约19.9W/m-K。92%氧化铝上方的5μm YAG薄膜涂层的叠层的热导率为约20.1W/m-K。92%氧化铝上方的5μmEr2O3薄膜涂层的叠层的热导率为约19.4W/m-K。92%氧化铝上方的5μm EAG薄膜涂层的叠层的热导率为约19.2W/m-K。
在一个实施例中,氧化铝基板可具有约8-16微英寸的起始粗糙度,并且在所有的薄膜保护层中,起始粗糙度可以是大致不变的。在示例中,具有包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物等离子体喷涂的涂层的制品具有较高的表面粗糙度。等离子体喷涂的涂层的表面可具有211微英寸(μin)的算术平均波纹度(Wa),且标准差(STDEV)为43,可具有230μin的算术平均粗糙度(Ra),且STDEV为14,可具有272μm的平均长度(RSm),且STDEV为69,可具有19μm的标准高度(Rc),且STDEV为5,可具有1726330μm2的表面积,且STDEV为37336。在沉积了5μm厚的、包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物的薄膜保护层之后,可减小这些粗糙度度量中的每一个。在所提供的示例中,Wa减小为187微英寸,且STDEV为35,Ra减小为191微英寸,且STDEV为30,RSm减小为178微米,且STDEV为34,Rc减小为17微米,且STDEV为3.7,表面积减小为1695045μm2,且STDEV为258900。Wa衡量波纹度不规则性的平均绝对偏差。Ra衡量粗糙度不规则性的平均绝对偏差。Sa衡量曲面的表面积。Rc衡量曲面元件中高度的平均值。RSm衡量曲面元件的长度的平均值。
对于陶瓷化合物薄膜,薄膜保护层对氧化铝基板的附着强度可以为大于28兆帕(MPa),而对于YAG薄膜,薄膜保护层对氧化铝基板的附着强度可以为大于32MPa。可通过测量用于将薄膜保护层与基板分开的力量来确定附着强度。厄米性衡量可使用薄膜保护层而实现的密封能力。如表中所示,可使用氧化铝来实现约1E-6立方厘米/秒(cm3/s)的He漏率,可使用陶瓷化合物来实现约1.2E-9的He漏率,可使用YAG来实现约4.4E-10的He漏率,可使用Er2O3来实现约5.5E-9的He漏率,可使用YZ20来实现约1.6E-7的He漏率,可使用EAG来实现约9.5E-10的He漏率。较低的He漏率指示改善的密封。示例薄膜保护层中的每一个具有比典型的Al2O3低的He漏率。
Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物中的每一者都具有在等离子体处理期间可抗磨耗的高硬度。如表中所示,氧化铝具有约12.14千兆帕(GPa)的维氏硬度(5千克力),陶瓷化合物具有约7.825GPa的硬度,YAG具有约8.5GPa的硬度,Er2O3具有约5.009GPa的硬度,YZ20具有约5.98GPa的硬度,而EAG具有约9.057GPa的硬度。测量到的氧化铝的磨耗率为约0.2纳米/射频小时(nm/RFhr),陶瓷化合物的磨耗率为约0.14nm/RFhr,Er2O3的磨耗率为约0.113nm/RFhr,而EAG的磨耗率为约0.176nm/RFhr。
注意,在一些实施例中,Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物可改质,使得上文中标识的材料性质和特性可变化高达30%。因此,这些材料性质的所描述的数值应当解释为示例性可实现的值。本文中所述的陶瓷薄膜保护层不应当解释成限于所提供的值。
图3A至图4C图示由一个或更多个薄膜保护层覆盖的制品(例如,腔室部件)的剖面侧视图。参照图3A,由薄膜保护层308涂覆制品300的基底或主体305的至少部分。制品300可以是腔室部件,诸如,基板支撑组件、静电夹盘(ESC)、环(例如,处理套环或单环)、腔室壁、基底、气体分配板或喷淋头、腔室衬层、衬层套组、屏蔽件、等离子体屏、流量均衡器、冷却基底、腔室观察口、腔室盖等。制品300的主体305可以是金属、陶瓷、金属-陶瓷复合物、聚合物或聚合物-陶瓷复合物。
各种腔室部件可由不同的材料组成。例如,静电夹盘可由陶瓷组成,所述陶瓷诸如,Al2O3(氧化铝)、AlN(氮化铝)、TiO(氧化钛)、TiN(氮化钛)或接合至阳极化铝基底的SiC(碳化硅)。Al2O3、AlN和阳极化铝具有差的抗等离子体侵蚀性。当暴露于具有氟化学品和/或还原化学品的等离子体环境时,静电夹盘的静电定位盘在50个射频小时(RFHr)的处理之后会呈现出降级的晶片夹持、增加的He漏率、晶片前侧与背侧颗粒产生以及晶片上金属污染。一个射频小时是一个处理小时。
用于导体蚀刻工艺的等离子体蚀刻器的盖可以是烧结陶瓷(诸如,Al2O3),因为Al2O3具有高抗弯强度和高热导率。然而,暴露于氟化学品的Al2O3在晶片上形成AlF颗粒和铝金属污染。一些腔室盖在面向等离子体的侧上具有厚膜保护层,以使颗粒生成和金属污染最小化并延长盖的寿命。然而,厚膜涂层大多具有固有的裂痕和孔隙,所述固有的裂痕和空隙会使晶片上缺陷性能降级。
工艺套环和单环用于密封和/或保护其他腔室部件,并且通常由石英或硅制成。这些环可围绕支撑基板(例如,晶片)而设置以确保均匀的等离子体密度(以及由此产生的均匀的蚀刻)。然而,石英和硅在各种蚀刻化学品(例如,等离子体蚀刻化学品)作用下具有非常高的侵蚀速率。此外,此类环在暴露于等离子体化学品时会导致颗粒污染。工艺套环和单环还可由烧结陶瓷(诸如,YAG)和/或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物组成。
用于用来执行电介质蚀刻工艺的蚀刻器的喷淋头通常由接合至SiC面板的阳极化铝制成。当此类喷淋头暴露于包括氟的等离子体化学品时,由于等离子体与阳极化铝基底的相互作用,AlF会形成。此外,阳极化铝基底的高侵蚀速率会导致起弧,并最终缩短对喷淋头进行的清洁之间的平均时间。
腔室观察口(也称作端点窗)是通常由石英或蓝宝石制成的透明部件。各种光学传感器可受观察口保护,并且可通过观察口进行光学传感器读值。此外,观察口允许使用者在处理期间能够目视检查或观察晶片。石英和蓝宝石两者都具有差的抗等离子体侵蚀性。随着等离子体化学品侵蚀并粗糙化观察口,观察口的光学性质改变。例如,观察口可能变模糊和/或通过观察口的光学信号可能变得歪斜。这会损害光学传感器收集准确的读值的能力。然而,厚膜保护层可能不适合用在观察口上,因为这些涂层可能堵塞观察口。
常规意义上而言,腔室衬层由铝合金(例如,6061铝)制成,并且具有等离子体喷涂的钇基涂层用于侵蚀和腐蚀保护。等离子体喷涂涂层是具有大量的裂痕、孔隙和松散的颗粒的、粗糙的多孔涂层。工艺气体可经由裂痕和孔渗透等离子体喷涂的涂层以与铝合金反应。这将金属污染引入到腔室内部。此外,多孔的等离子体喷涂的涂层会在处理期间吸收工艺气体。对处理气体的吸收可能在工艺的开始时发生,并且可能减少可用于处理第一批几个晶片的工艺气体的量。这种效应被称作“头片效应”(“first wafer effect”)。通过在等离子体喷涂的涂层上方施加薄膜保护层顶部涂层,可最小化或消除所述头片效应。
上文提供的示例仅陈述可通过使用本文的实施例中所陈述的薄膜保护层来改善性能的一些腔室部件。
往回参照图3A,制品300的主体305可包括一个或更个多表面特征,诸如,图3A中所示的台面。对于静电夹盘,表面特征可包括台面、密封带、气体流道、氦气孔等。对于喷淋头而言,表面特征可包括接合线、数百或数千个气体分配孔、围绕气体分配孔的凹部或凸块等。其他腔室部件可具有其他表面特征。
形成在主体305上的薄膜保护层308可共形于(conform to)主体305的表面特征。如图所示,薄膜保护层308维持主体305的上表面的相对形状(例如,浮印台面的形状)。此外,薄膜涂层可以是足够薄的以免堵塞喷淋头中的孔或静电夹盘中的He孔。在一个实施例中,薄膜保护层308具有小于约1000微米的厚度。在一个实施例中,薄膜保护层308具有小于约50微米的厚度。在进一步的实施例中,薄膜保护层具有小于约20微米的厚度。在进一步的实施例中,薄膜保护层具有约0.5微米至约7微米之间的厚度。
薄膜保护层308是沉积的陶瓷层,可使用离子辅助沉积(IAD)工艺或物理气相沉积(PVD)工艺在制品300的主体305上形成所述沉积的陶瓷层。IAD或PVD沉积的薄膜保护层308可具有相对低的膜应力(例如,相比通过等离子体喷涂或溅射而导致的膜应力)。相对低的膜应力可使主体305的下表面非常平坦,对于具有12英寸的直径的主体,在整个主体上的曲率小于约50微米。IAD或PVD沉积的薄膜保护层308可另外具有小于1%的孔隙度,并且在一些实施例中为小于约0.1%。因此,IAD或PVD沉积的保护层是致密的结构,这对于在腔室部件上的应用具有性能益处。此外,可在不首先使主体305的上表面粗糙化或执行其他耗时的表面制备步骤的情况下来沉积IAD或PVD沉积的保护层308。由于使主体粗糙化的步骤可降低主体305的击穿电压,因此能够在不首先使主体305粗糙化的情况下来施加薄膜保护层308对于一些应用(例如,对于静电夹盘)可以是有益的。
图3B图示制品350的剖面侧视图,所述制品350具有由薄膜保护层358覆盖的主体355。如图所示,主体355可以是缺乏特征的。在一个实施例中,在沉积薄膜保护层358之前,抛光主体355。与在主体355中具有特征不同,特征可形成在薄膜保护层358中。例如,可对薄膜保护层358掩模,并且随后蚀刻或珠击所述薄膜保护层358以去除薄膜保护层358的未经掩模的部分。也可通过对基板掩模且随后施加薄涂层来形成特征。形成的特征可包括台面、通道、密封环、被暴露的接合线(例如,喷淋头的接合线)等。此外,可例如通过激光钻孔以在薄膜保护层中钻出孔。如果将在薄膜保护层358中形成特征,则薄膜保护层优选地应当具有足够大以容纳特征的厚度。例如,如果将在薄膜保护层中形成12μm的厚度,则薄膜保护层358应当具有大于12μm的厚度。在其他实施例中,可在主体355中形成一些特征,并且可在薄膜保护层358中形成其他特征。
图4A图示制品400的一个实施例的剖面侧视图,所述制品400具有涂覆所述主体405的至少一个表面的厚保护层410和薄膜保护层415。厚保护层410可以是Y3Al5O12、Y4Al2O9或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。其他抗等离子体的陶瓷也可用于厚保护层410。
厚保护层410可以是厚膜保护层,所述厚膜保护层可以是已被热喷涂(例如,被等离子体喷涂)到主体405上。可在将厚膜保护层等离子体喷涂到主体405的上表面上之前,使所述主体405的上表面粗糙化。可例如通过珠击主体405来执行粗糙化操作。使主体的上表面粗糙化可提供定锚点(anchor point),以便在等离子体喷涂的厚膜保护层与主体405间产生机械接合以实现更好的附着。在一些实施例中,厚膜保护层可具有高达约200微米或更厚的涂覆后厚度,并且所述厚膜保护层可被磨薄至约50微米的最终厚度。等离子体喷涂的厚膜保护层可具有约2%-4%的孔隙度。
或者,厚保护层410可以是已接合至主体405的块状烧结陶瓷。厚保护层410可例如提供作为具有约200微米的厚度的薄陶瓷晶片。
可使用IAD或PVD,在厚保护层410上方施加薄膜保护层415。薄膜保护层415可充当顶部涂层,并且可充当抗侵蚀阻挡层并密封厚保护层410的被暴露的表面(例如,密封厚保护层410的固有的表面裂痕和孔隙)。
图4B图示制品420的一个实施例的剖面侧视图,所述制品420具有沉积在所述制品420的主体425上方的薄膜保护层叠层438。薄膜保护层叠层438中的每一个薄膜保护层430、435可以是上述陶瓷材料中的一种。在一个实施例中,相同的陶瓷材料不用于两个相邻的薄膜保护层。然而,在另一实施例中,相邻的层可由相同的陶瓷组成。
图4C图示制品440的又一实施例的剖面侧视图,所述制品440具有厚保护层450以及沉积在所述厚保护层450上方的薄膜保护层叠层470。
薄膜保护层叠层(例如,示出的那些)可具有任何数量的薄膜保护层。叠层中的薄膜保护层可全都具相同的厚度,或者可具有不同的厚度。薄膜保护层中的每一个层可具有小于约20微米的厚度,并且在一些实施例中,可具有小于约10微米的厚度。在一个示例中,第一层430可具有4微米的厚度,而第二层435可具有1微米的厚度。如果第一层是非晶的且第二层是结晶的,则此类双层式架构可降低破裂的概率,同时提供增强的抗侵蚀性。在另一示例中,第一层455可以是具有2微米厚度的YAG层,第二层460可以是具有1微米厚度的化合物陶瓷层,而第三层465可以是具有1微米厚度的YAG层。
对将使用的陶瓷层的数量以及陶瓷层的组分的选择可基于所需的应用和/或正在被涂覆的制品的类型。由IAD和PVD形成的EAG和YAG薄膜保护层通常具有非晶结构。相比之下,IAD和PVD沉积的化合物陶瓷和Er2O3层通常具有结晶或纳米结晶结构。结晶和纳米结晶陶瓷层通常可以比非晶陶瓷层更抗侵蚀。然而,在一些实例中,具有结晶结构或纳米结晶结构的薄膜陶瓷层可能经受偶发的竖直裂痕(大致在膜厚度的方向上且大致垂直于经涂覆的表面而行进的裂痕)。此类竖直裂痕可能由晶格失配导致,并且可能是等离子体化学品的攻击点。每当加热和冷却制品时,薄膜保护层与所述薄膜保护层涂覆的基板之间的热膨胀系数的失配将在薄膜保护层上造成应力。此类应力会集中在竖直裂痕处。这可能导致薄膜保护层最终从所述薄膜保护层涂覆的基板上剥离。相比之下,如果没有竖直裂痕,则应力跨薄膜各处大致均匀地分布。因此,在一个实施例中,薄膜保护层叠层438中的第一层430是非晶陶瓷(诸如,YAG或EAG),而薄膜保护层叠层438中的第二层435是结晶或纳米结晶陶瓷(诸如,陶瓷化合物或Er2O3)。在此类实施例中,第二层435可提供比第一层430更强的抗等离子体性。通过在第一层430上方而不是直接在主体425上方形成第二层435,第一层430可充当缓冲以使后续层上的晶格失配最小化。由此,可增加第二层435的寿命。
在另一示例中,主体、Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物中的每一个都可具有不同的热膨胀系数。两个相邻的材料的热膨胀系数的失配越大,那些材料中的一种材料最终将破裂、剥离或失去它对其他材料的接合的可能性就越大。能以使相邻的层之间(或层与主体425、445之间)的热膨胀系数的失配最小化的方式来形成保护层叠层438、470。例如,厚保护层450可以是氧化铝,并且EAG可具有最接近氧化铝的热膨胀系数的热膨胀系数,其次为YAG的热膨胀系数,然后为化合物陶瓷的热膨胀系数。因此,在一个实施例中,第一层455可以是EAG,第二层460可以是YAG,而第三层465可以是化合物陶瓷。
在又一示例中,保护层叠层470中的多个层可以是两种的不同陶瓷的交替层。例如,第一层455和第三层465可以是YAG,第二层460和第四层(未示出)可以是化合物陶瓷。在用于交替层中的一种材料是非晶的且用于交替层的另一种材料是结晶或纳米结晶的情况下,此类交替层可提供与上述那些优点类似的优点。
在一些实施例中,薄膜保护层叠层438、470中的一个或更多个层是使用热处理而形成的过渡层。如果主体425、445是陶瓷主体,则可执行高温热处理以促进薄膜保护层与主体之间的相互扩散。此外,可执行热处理以促进相邻的薄膜保护层之间或厚保护层与薄膜保护层之间的相互扩散。值得注意的是,过渡层可以是非多孔层。过渡层可充当两种陶瓷之间的扩散接合,并且可改善相邻的陶瓷之间的附着。此有助于防止保护层在等离子体处理期间破裂、剥离或剥落。
热处理可以是在高达约1400℃-1600℃下到多达约24小时(例如,在一实施例中为3-6小时)的热处理。这可在第一薄膜保护层与相邻的陶瓷主体、厚保护层或第二薄膜保护中的一者或更多种者之层间形成相互扩散层。如果陶瓷主体是Al2O3并且保护层由Y4Al2O9(YAM)与Y2-xZrxO3的固溶体(Y2O3-ZrO2固溶体)的化合物陶瓷组成,则将形成Y3Al5O12(YAG)界面层。类似地,热处理将使EAG过渡层在Er2O3与Al2O3之间形成。热处理还可使YAG过渡层在Y2O3与Al2O3之间形成。热处理还可使GAG过渡层在Gd2O3与Al2O3之间形成。对Al2O3上方的氧化钇稳定的氧化锆(YSZ)的热处理可形成Y4Al2O9(YAM)与固溶体Y2-xZrxO3的化合物陶瓷的过渡层。其他过渡层也可形成在其他相邻的陶瓷之间。
在一个实施例中,可在第一保护层308、408的沉积期间添加着色剂。因此,当第二保护层310、410磨损时,可提供操作员适时再磨光或更换盖或喷嘴的目视指标。
图5图示具有空心圆柱形主体505的腔室衬层500。在一个实施例中,空心圆柱形主体505可以是铝或铝合金。空心圆柱形主体505具有等离子体喷涂的钇基抗等离子体的层510,所述层510涂覆主体505的内表面。等离子体喷涂的钇基抗等离子体的层510可能具有许多裂痕和孔隙。例如,在一个实施例中,等离子体喷涂的钇基抗等离子体的层510可具有约2%-4%的孔隙度。腔室衬层500进一步包括涂覆了等离子体喷涂的钇基抗等离子体的层510的薄膜保护层515。薄膜保护层515可由抗等离子体的稀土氧化物(诸如,上文中讨论的那些抗等离子体的稀土氧化物)组成。薄膜保护层515可以是共形且致密的,并且具有小于1%的孔隙度。在一个实施例中,孔隙度实际为0%(例如,小于0.1%)。薄膜保护层515可密封等离子体喷涂的钇基抗等离子体的层510的裂痕和孔隙。
腔室衬层500具有第一侧520和第二侧525。可由IAD或PVD以多遍来沉积薄膜保护层515。在一个实施例中,在沉积工艺期间,靶材材料和电子束枪管最初定位在第一侧520。可在工艺期间转动腔室衬层500以涂覆腔室衬层500的内表面中的一些或全部。腔室衬层500中更靠近第一侧520的区域可更靠近靶材材料和枪管,并且由此相比远离所述第一侧的区域,可接收更厚的沉积的薄膜保护层515。相应地,在沉积工艺的第二部分期间,腔室衬层500可重新定位,使得靶材材料和电子束枪管定位在腔室衬层500的第二侧525处。这可确保腔室衬层的内表面的所有区域都接收相对均匀的涂层。
腔室衬层500的一些位置可能比其他区域更易受侵蚀。在一个实施例中,在薄膜保护层515的沉积之前,对腔室衬层500掩模。掩模可覆盖较不易受侵蚀的区域并暴露出较易受侵蚀的区域。因此,所沉积的薄膜保护层515可覆盖经历较高的侵蚀速率的区域而不覆盖经历较低的侵蚀速率的区域。
图6图示用于在制品(诸如,腔室部件)的主体上方形成薄膜保护层的工艺600的一个实施例。在工艺600的框605处,提供制品。在框610处,作出是否将厚膜保护层沉积到制品上的判定。如果将形成厚膜保护层,则方法进行到框615。否则,方法继续进行到框620。
在框615处,执行热喷涂工艺(例如,等离子体喷涂工艺)以将厚膜保护层沉积到制品上。在一些实施例中,在执行热喷涂工艺之前,使制品的主体粗糙化。厚膜保护层可以是任何抗等离子体的陶瓷。厚膜保护层的一些示例包括Y3Al6O12、Y4Al2O9、Y2O3、YSZ或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。在形成了厚膜保护层之后,对于一些应用,在厚膜保护层的表面上形成表面特征。例如,如果制品是ESC,则可形成台面和He孔。在替代实施例中,抗等离子体的陶瓷盘或其他陶瓷结构可接合至制品的主体、而不是喷涂厚膜保护层。
在框620处,执行IAD或PVD在制品的主体上沉积薄膜保护层。如果在框615中形成了厚膜保护层,则薄膜保护层可形成在厚膜保护层上方作为顶部涂层。在一个实施例中,在执行IAD以沉积薄膜保护层之前,执行腔室表面制备。例如,离子枪管可通过使用氧和/或氩离子来烧灼表面有机污染物和分散的其余表面颗粒来制备制品表面。
薄膜保护层可以是Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12、Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或本文中所述的其他抗等离子体的陶瓷中的任何一种。薄膜保护层的沉积速率可以是约0.25-10埃/秒
Figure BDA0002528966260000231
并可通过调谐沉积参数来改变。在一个实施例中,在薄膜保护层的沉积器件使用多个沉积速率。例如,可使用
Figure BDA0002528966260000232
的初始沉积速率以获得共形且附着良好的涂层。随后,可将沉积速率增加至
Figure BDA0002528966260000233
以便在较短且较具成本效益的涂覆轮次内获得较厚的涂层。薄膜保护层可以是共形性非常好且厚度均匀的,并且可具有对所述薄膜保护层所沉积的主体/基板的良好的附着。
在一个实施例中,在薄膜保护的沉积层期间冷却制品以将制品的温度维持在约150℃或更低。在一个实施例中,靶材材料与制品之间的工作距离设置为小于1米。
在一个实施例中,制品是蚀刻反应器的腔室衬层,其中,腔室衬层具有空心圆柱形状。执行IAD工艺可包括:将制品放置在第一位置,使得靶材位于所述制品的第一开口处。当制品处于第一位置时,可涂覆制品内部的第一部分。随后,将制品放置在第二位置,使得靶材位于所述制品的第二开口处。当制品处于第二位置时,可涂覆制品内部的第二部分。
在一个实施例中,标识制品中将呈现出相对于所述制品的其他区域更高侵蚀速率的一个或更多个区域。随后,用掩模来对制品掩模,所述掩模使所标识的一个或更多个区域暴露。随后执行IAD沉积以在所标识的一个或更多个区域处形成薄膜保护层。
在框625处,作出是否沉积任何附加的薄膜保护层的判定。如果将沉积附加的薄膜保护层,则工艺继续进行到框630。在框630处,在第一薄膜保护层上方形成另一薄膜保护层。其他薄膜保护层可由与第一薄膜保护层的陶瓷的不同的陶瓷组成。在一个实施例中,其他薄膜保护层是以下各项中的一者:Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12、Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或本文中所述的其他陶瓷材料中的任何一种。随后,方法返回至框625。如果在框625中没有附加的薄膜保护层将施加,则工艺结束。在沉积了薄膜保护层中的任一层之后,可在那个薄膜保护层中形成表面特征。
图6B图示用于使用利用金属靶材的IAD或PVD而在制品的主体上方形成薄膜保护层的工艺650的一个实施例。在工艺650的框655处,在沉积腔室中提供制品。在框660处,使氮或氧自由基以流率流入沉积腔室。在框665处,使用氮或氧离子来轰击制品。在框670处,利用金属靶材来执行IAD或PVD以在制品上沉积薄膜保护层。电子束使金属靶材蒸发或溅射,所述金属靶材与氮或氧自由基和/或离子反应以原位地形成陶瓷。如果使用氮自由基和/或离子,则陶瓷将是氮化物。如果使用氧自由基和/或离子,则陶瓷将是氧化物。
在框675处,作出是否增加薄膜保护层中的氧或氮含量的判定。如果将增加氧或氮含量,则工艺继续进行到框680。在框680处,可增加氧自由基或氮自由基的流动。替代地或附加地,可增加氧离子或氮离子进行的轰击。随后,工艺返回至框670。如果在框675处确定了将不增加薄膜保护层中的氧或氮含量,则工艺继续进行到框685。
在框685处,作出薄膜保护层是否达到了所需厚度的判定。如果达到了所需厚度,则工艺终止。如果还未达到所需厚度,则工艺返回至框670。
利用IAD工艺,可由高能离子(或其他粒子)源独立于其他沉积参数来控制高能粒子。根据高能离子通量的能量(例如,速度)、密度和入射角,可操纵薄膜保护层的组分、结构、结晶定向和晶粒尺寸。可调整的附加参数是沉积期间的制品温度以及沉积的持续时间。离子能量可粗略低分类为低能量离子辅助和高能量离子辅助。低能量离子辅助可包括约230V的电压和约5A的电流。高能量离子辅助可包括约270V的电压和约7A的电流。离子辅助的低能量和高能量不限于此处提及的值。高水平和低水平的指定可附加地取决于所使用的离子类型和/或用于执行IAD工艺的腔室的几何形状。相比低能量离子辅助,利用高能量离子辅助,以更高的速度来投射离子。沉积期间的基板(制品)温度可粗略地分类为低温(在作为典型的室温的一个实施例中为约120℃-150℃)和高温(在一实施例中为约270℃)。对于高温IAD沉积工艺,可在沉积之前和期间加热制品。
Figure BDA0002528966260000251
表2A:使用IAD而形成的示例薄膜保护层
Figure BDA0002528966260000261
表2B:使用IAD而形成的示例薄膜保护层
表2A至表2B示出使用具有各种沉积参数的IAD而形成的多个示例薄膜保护层。实验结果标识了基于多因子实验设计(DOE)的经优化的涂覆工艺,所述多因子实验设计改变离子辅助能量、沉积速率和温度以获得共形且致密的微结构。在材料性质(微结构和/或晶相)和机械性质(硬度与附着)以及裂痕密度与真空密封能力方面来表征涂层。IAD涂覆工艺优化可产生具有高密度薄膜且具有低残留应力的IAD涂层。经优化的参数可用于大多数稀土氧化物基涂层材料。
对于由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物形成的薄膜保护层,示出六个不同的示例。第一示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用利用低能量离子辅助和烧结插塞靶材的IAD来形成,沉积温度为270℃,沉积速率为2埃/秒
Figure BDA0002528966260000271
X光衍射显示出第一示例化合物陶瓷薄膜保护层具有结晶结构。第一示例化合物陶瓷薄膜保护层还具有4.11GPa的硬度,并且目视检查显示出对位于下方的基板的良好的共形性以及一些竖直裂痕与一些尖状物。
第二示例化合物陶瓷薄膜保护层具有6微米的厚度,并且使用利用低能量离子辅助和烧结插塞靶材的IAD来形成,沉积温度为270℃,最初2微米的沉积速率为
Figure BDA0002528966260000272
后续的4微米的沉积速率为
Figure BDA0002528966260000273
X光衍射显示出第二示例化合物陶瓷薄膜保护层具有纳米结晶结构(其中,部分为结晶的,部分为非晶的)。当用作密封件时,第二示例化合物陶瓷薄膜保护层能够维持低至5E-6立方厘米/秒(cm3/s)的真空度。对第二示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性以及比第一示例化合物陶瓷薄膜保护层少的竖直裂痕。
第三示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用利用低能量离子辅助和烧结插塞靶材的IAD来形成,沉积温度为270℃,沉积速率为
Figure BDA0002528966260000274
X光衍射显示出第三示例化合物陶瓷薄膜保护层具有纳米结晶结构。当用作密封件时,第三示例化合物陶瓷薄膜保护层能够维持低至6.3E-6cm3/s的真空度。对第三示例化合物陶瓷薄膜保护层的目视检查保显示出良好的共形性以及比第一示例化合物陶瓷薄膜保护层少的竖直裂痕。
第四示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和烧结插塞靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000275
后续的4微米为
Figure BDA0002528966260000276
X光衍射显示出第四示例化合物陶瓷薄膜保护层具有近乎非晶结构。当用作密封件时,第四示例化合物陶瓷薄膜保护层能够维持低至1.2E-9cm3/s的真空度。对第四示例性化合物陶瓷薄膜保护层的目视检查显示出良好的共形性、平滑的表面和极少的竖直裂痕。此外,第四示例化合物陶瓷薄膜保护层具有7.825GPa的硬度。
第五示例化合物薄膜保护层是使用与第四示例化合物薄膜保护层相同的参数而形成的,但是沉积温度为室温(约120℃-150℃)并且使用煅烧粉末靶材。第五示例化合物薄膜保护层显示出与第四示例化合物薄膜保护层的那些性质类似的性质。
第六示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和煅烧粉末靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000281
后续的4微米为
Figure BDA0002528966260000282
X光衍射显示出第三示例化合物陶瓷薄膜保护层具有近乎非晶结构。当用作密封件时,第三示例化合物陶瓷薄膜保护层能构维持低至1.2E-9cm3/s的真空度。第四示例化合物陶瓷薄膜保护层具有7.812GPa的硬度。
第一示例YAG薄膜保护层具有5微米的厚度,并且使用利用低能量离子辅助和经融合的团块靶材的IAD来形成,沉积温度为270℃,沉积速率为
Figure BDA0002528966260000283
X光衍射显示出第一YAG陶瓷薄膜保护层具有非晶结构。第一YAG薄膜保护层还具有5.7GPa的硬度,并且目视检查显示出良好的共形性、最少的裂痕与平滑的表面。
第二示例YAG薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和经融合的团块靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000284
后续的4微米为
Figure BDA0002528966260000285
X光衍射显示出第二YAG薄膜保护层具有非晶结构。第二YAG薄膜保护层还具有8.5GPa的硬度,并且目视检查显示出良好的共形性、比第一YAG薄膜少的破裂以及平滑的表面。
具有交替的化合物陶瓷与YAG层的示例薄膜保护层叠层具有5微米的厚度,并且使用利用低能量离子辅助的IAD来形成,沉积温度为270℃,沉积速率为
Figure BDA0002528966260000286
X光衍射显示出交替的层是非晶的(对于YAG层)和结晶或纳米结晶的(对于化合物陶瓷层)。目视检查显示出化合物陶瓷层的减少的竖直裂痕。
第一示例Er2O3薄膜保护层具有5微米的厚度,并且使用利用低能量离子辅助和烧结团块靶材的IAD来形成,沉积温度为270℃,沉积速率为
Figure BDA0002528966260000287
Figure BDA0002528966260000288
X光衍射显示出第一Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性以及竖直开裂。
第二示例Er2O3薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和烧结团块靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000289
后续的4微米的沉积速率为
Figure BDA00025289662600002810
X光衍射显示出第二Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性以及比第一Er2O3陶瓷薄膜保护层少的竖直开裂。
第一示例EAG薄膜保护层具有7.5微米的厚度,并且使用利用高能量离子辅助和煅烧粉末靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000291
后续的几微米的沉积速率为
Figure BDA0002528966260000292
X光衍射显示出第一EAG陶瓷薄膜保护层具有非晶结构,并且层具有8.485GPa的厚度。目视检查显示出良好的共形性以及最少的开裂。
第二示例EAG薄膜保护层具有7.5微米的厚度,并且使用利用高能量离子辅助和煅烧粉末靶材的IAD来形成,沉积温度为120℃-150℃,最初1微米的沉积速率为
Figure BDA0002528966260000293
后续的几微米的沉积速率为
Figure BDA0002528966260000294
X光衍射显示出第二EAG陶瓷薄膜保护层具有非晶结构,并且层具有9.057GPa的硬度。目视检查显示出良好的共形性以及比第一EAG陶瓷薄膜保护层少的开裂。
第三示例EAG薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和煅烧粉末靶材的IAD来形成,最初1微米的沉积速率为
Figure BDA0002528966260000295
后续的几微米的沉积速率为
Figure BDA0002528966260000296
X光衍射显示出第三EAG陶瓷薄膜保护层具有非晶结构。
示例Y2O3薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和经融合的团块靶材的IAD来形成,沉积温度为270℃,最初1微米的沉积速率为
Figure BDA0002528966260000297
后续的几微米的沉积速率为
Figure BDA0002528966260000298
X光衍射显示出Y2O3薄膜保护层具有结晶结构。
示例YZ20薄膜保护层具有5微米的厚度,并且使用利用高能量离子辅助和粉末靶材的IAD来形成,温度为120℃-150℃,最初1微米的沉积速率为
Figure BDA0002528966260000299
后续的几微米的沉积速率为
Figure BDA00025289662600002910
X光衍射显示出YZ20陶瓷薄膜保护层具有结晶结构。当用作密封件时,YZ20陶瓷薄膜保护层能够维持低至1.6E-7cm3/s的真空度。YZ20陶瓷薄膜保护层具有5.98GPa的硬度。
图7A至图7E图示制品的扫描电子显微镜(SEM)图像,所述制品具有沉积在等离子体喷涂的保护层上方的薄膜保护层,所述薄膜保护层由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物形成,所述等离子体喷涂的保护层也由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物形成。图7A示出已涂覆了等离子体喷涂的层的制品的平坦区域的由上而下的SEM图像。图7A的SEM图具有约10000的放大倍率以及约22μm的视场。等离子体喷涂的层包括多个裂痕,诸如,裂痕710。图7B示出当薄膜保护层已沉积已在等离子体喷涂的层上方之后、制品的平坦区域的由上而下的SEM图像。图7B的SEM图具有约10000的放大倍率以及约23μm的视场。薄膜保护层已密封了等离子体喷涂的层中的裂痕。示出了被密封的裂痕715。
图7C示出具有涂覆了等离子体喷涂的保护层720的薄膜保护层725的制品的平坦的区域的剖面侧视SEM图像。图7C的SEM图具有约10000的放大倍率以及约23μm的视场。图7D示出具有涂覆了等离子体喷涂的保护层730的薄膜保护层735的制品的水平格栅区域的剖面侧视SEM图。图7D的SEM图具有约10000的放大倍率以及约23μm的视场。图7E示出具有涂覆了等离子体喷涂的保护层740的薄膜保护层745的制品的竖直格栅区域的剖面侧视SEM图。图7E的SEM图具有约4000的倍率以及约56μm的视场。
如图7A至图7E的SEM图所示,薄膜保护层共形于等离子体喷涂的保护层的表面。此外,薄膜保护层在平坦的区域、水平格栅区域和竖直格栅区域中密封等离子体喷涂的保护层中的裂痕和孔隙。
现在描述暴露于电介质蚀刻CF4化学品的样品侵蚀速率,包括根据实施例而生成的多个不同的IAD涂层的侵蚀速率。92%氧化铝的侵蚀速率为约1.38微米/射频小时(μm/Rfhr)。99.8%氧化铝的侵蚀速率为约1.21μm/Rfhr。IAD沉积的YAG的侵蚀速率为约0.28μm/Rfhr。IAD沉积的EAG的侵蚀速率为约0.24μm/Rfhr。IAD沉积的Y2O3的侵蚀速率为约0.18μm/Rfhr。IAD沉积的Er2O3的侵蚀速率为约0.18μm/Rfhr。IAD沉积的化合物陶瓷的侵蚀速率为约0.18μm/Rfhr。一个射频小时为一个处理小时。
图8至图9图示根据本发明实施例而形成的薄膜保护层的侵蚀速率。图8示出薄膜保护层暴露于CH4/Cl2等离子体化学品时的侵蚀速率。如图所示,IAD沉积的薄膜保护层显示出比Al2O3改善得多的抗侵蚀性。例如,纯度为92%的氧化铝显示出约18纳米/射频小时(nm/RFHr)的侵蚀速率,纯度为99.8%的氧化铝显示出约56nm/RFHr的侵蚀速率。相比之下,IAD沉积的化合物陶瓷薄膜保护层显示出约3nm/RFHr的侵蚀速率,IAD沉积的YAG薄膜保护层显示出约1nm/RFHr的侵蚀速率。
图9示出薄膜保护层暴露于H2/NF3等离子体化学品时的侵蚀速率。如图所示,IAD沉积的薄膜保护层显示出比Al2O3改善得多的抗侵蚀性。例如,纯度为92%的氧化铝显示出约190nm/RFHr的侵蚀速率,纯度为99.8%的氧化铝显示出约165nm/RFHr的侵蚀速率。相比之下,IAD沉积的YAG薄膜保护层显示出约52nm/RFHr的侵蚀速率。类似地,使用利用低能量离子的IAD而沉积的化合物陶瓷薄膜保护层显示出约45nm/RFHr的侵蚀速率,使用利用高能量离子的IAD而沉积的化合物陶瓷薄膜保护层具有约35nm/RFHr的侵蚀速率。使用利用高沉积温度(例如,约270℃)的IAD而沉积的EAG薄膜保护层显示出约95nm/RFHr的侵蚀速率,使用利用低沉积温度(例如,约120℃-150℃)的IAD而沉积的EAG薄膜保护层显示出约70nm/RFHr的侵蚀速率。使用利用高能量离子的IAD而沉积的Er2O3薄膜保护层显示出约35nm/RFHr的侵蚀速率。
图10至图11图示根据本发明实施例而形成的薄膜保护层的粗糙度轮廓。图10示出图8的薄膜保护层在暴露于CH4/Cl2等离子体化学品之前以及暴露于CH4/Cl2等离子体化学品达100RFHr之后的表面粗糙度轮廓。如图所示,IAD沉积的薄膜保护层在暴露于CH4/Cl2等离子体化学品达100RFHr之后显示出最小的表面粗糙度变化。
图11示出图9的薄膜保护层在暴露于H2/NF3等离子体化学品之前以及暴露于H2/NF3等离子体化学品达35RFHr之后的表面粗糙度轮廓。如图所示,IAD沉积的薄膜保护层在暴露于H2/NF3等离子体化学品35RFHr之后显示出最小的表面粗糙度变化。
现在简要地讨论在低偏置下暴露于CF4-CHF3沟槽化学品的各种材料的侵蚀速率。92%氧化铝的侵蚀速率为约0.26微米/射频小时(μm/Rfhr),IAD沉积的EAG的侵蚀速率为约0.18μm/Rfhr,IAD沉积的YAG的侵蚀速率为约0.15μm/Rfhr,等离子体喷涂沉积的化合物陶瓷的侵蚀速率为约0.09μm/Rfhr,IAD沉积的Y2O3的侵蚀速率为约0.08μm/Rfhr,IAD沉积的陶瓷化合物的侵蚀速率为约0.07μm/Rfhr,块状Y2O3的侵蚀速率为约0.07μm/Rfhr,块状陶瓷化合物的侵蚀速率为约0.065μm/Rfhr,IAD沉积的Er2O3的侵蚀速率为约0.05μm/Rfhr。当在高偏置下使用CF4-CHF3沟槽化学品来蚀刻这些材料时,类似的蚀刻结果产生。例如,在高偏置下,92%氧化铝的蚀刻速率为约1.38μm/Rfhr,IAD沉积EAG的侵蚀速率为约0.27μm/Rfhr,IAD沉积的YAG的侵蚀速率为约0.27μm/Rfhr,等离子体喷涂沉积的化合物陶瓷的侵蚀速率为约0.35μm/Rfhr,IAD沉积的Y2O3的侵蚀速率为约0.18μm/Rfhr,IAD沉积的陶瓷化合物的侵蚀速率为约0.19μm/Rfhr,块状Y2O3的侵蚀速率为约0.4μm/Rfhr,块状陶瓷化合物的侵蚀速率为约0.4μm/Rfhr,IAD沉积的Er2O3的侵蚀速率为约0.18μm/Rfhr。
以上说明陈述了众多特定的细节(诸如,特定的系统、部件、方法等的示例)以提供对本发明的若干实施例的良好理解。然而,对本领域技术人员将显而易见的是,可在不具有这些特定细节的情况下来实践本发明的至少一些实施。在其他实例中,不详细地描述以简易的框图格式来呈现公知的部件或方法以免不必要地使本发明变得晦涩难懂。因此,所陈述的特定细节仅是示例性的。特定的实现方式可能与这些示例性细节不同,但仍视为在本发明的范围内。
贯穿整份说明书对“一个实施例”或“实施例”的体积意味着结合所述实施例所描述的特定的特征、结构或特性被包括在至少一个实施例中。因此,贯穿说明书中各处出现的短语“在一个实施例中”或“在实施例中”不一定全都是指同一个实施例。此外,术语“或”旨在意味着包括性的“或”而非排除性的“或”。当在本文中使用术语“约”或“近乎”时,旨在意味着所呈现的标称值的精确度在±30%内。
虽然以特定的顺序示出并描述本文中的方法的操作,但是可改变每一种方法额操作顺序,使得可逆序地执行某些操作,或者使得可至少部分地与其他操作一起同时执行某些操作。在另一实施例中,不同的操作的指令或子操作可以使间歇性和/或交替方式的。
应当理解,以上描述旨在是说明性而非限制性的。在本领域技术人员阅读并理解以上描述之后,许多其他实施例对于他们将是显而易见的。因此,本发明的保护范围应当参照所附权利要求书以及此类权利要求主张的等效方案的完整范围来确定。

Claims (20)

1.一种腔室部件,所述腔室部件包含:
主体;
第一保护层,所述第一保护层在所述主体的至少一个表面上,所述第一保护层包含抗等离子体的陶瓷,并且其中所述第一保护层包含多个裂痕与孔隙;以及
保护层叠层,所述保护层叠层覆盖所述第一保护层的至少部分,所述保护层叠层包含:
共形的第二保护层,其中所述共形的第二保护层包含非晶抗等离子体的稀土氧化物,其中所述共形的第二保护层具有小于1%的孔隙度并且密封所述第一保护层的所述多个裂痕与孔隙;以及
第三保护层,所述第三保护层在所述共形的第二保护层上,其中所述第三保护层包含第二抗等离子体的陶瓷,其中所述第三保护层具有结晶结构,并且其中所述第三保护层具有与所述共形的第二保护层不同的组分。
2.如权利要求1所述的腔室部件,其中所述第一保护层具有大于约50微米的厚度,其中所述共形的第二保护层具有小于50微米的厚度,其中所述第三保护层具有小于50微米的厚度,并且其中所述第一保护层的表面粗糙度为0.5-180微英寸。
3.如权利要求1所述的腔室部件,其中所述第一保护层是包含以下中的一个或多个的等离子体喷涂的层:Al2O3、Y2O3、SiO2、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
4.如权利要求1所述的腔室部件,其中所述共形的第二保护层是包含以下中的一个或多个的离子辅助沉积(IAD)沉积的层:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、Nd2O3、YF3、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
5.如权利要求1所述的腔室部件,其中所述第三保护层包含以下中的一个或多个:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
6.如权利要求1所述的腔室部件,其中所述共形的第二保护层包含Er3Al5O12
7.如权利要求1所述的腔室部件,其中所述第三保护层包含Er2O3
8.如权利要求7所述的腔室部件,其中所述结晶结构是纳米结晶结构。
9.如权利要求8所述的腔室部件,其中所述共形的第二保护层在一个或多个第一位置处但是不在一个或多个第二位置处覆盖所述主体的所述至少一个表面上的所述第一保护层。
10.如权利要求1所述的腔室部件,其中:
所述主体包含金属、金属合金或具有金属杂质的陶瓷中的一个或多个;并且
所述共形的第二保护层充当阻挡层,以防止工艺气体渗透所述第一保护层中的所述多个裂痕与孔隙,并且防止所述工艺气体与所述主体中的金属反应。
11.如权利要求1所述的腔室部件,其中接触所述第一保护层的所述共形的第二保护层的底部部分具有第一氧浓度,并且所述共形的第二保护层的顶部部分具有更高的第二氧浓度。
12.一种方法,包含:
执行等离子体喷涂工艺以在制品的至少一个表面上沉积第一保护层,其中所述第一保护层包含第一抗等离子体的陶瓷,并且其中所述第一保护层具有多个裂痕与孔隙;以及
执行离子辅助沉积(IAD)以在所述第一保护层的至少部分上沉积共形的第二保护层,其中所述共形的第二保护层包含非晶抗等离子体的稀土氧化物,并且其中所述共形的第二保护层具有小于1%的孔隙度并且密封所述第一保护层的所述多个裂痕与孔隙,
执行IAD以在所述共形的第二保护层上沉积第三保护层,其中所述第三保护层包含第二抗等离子体的陶瓷,其中所述第三保护层具有结晶结构,并且其中所述第三保护层具有与所述共形的第二保护层不同的组分。
13.如权利要求12所述的方法,其中所述第一保护层具有大于约50微米的厚度,其中所述共形的第二保护层具有小于50微米的厚度,其中所述第三保护层具有小于50微米的厚度,并且其中所述第一保护层的表面粗糙度为0.5-180微英寸。
14.如权利要求12所述的方法,进一步包含:
在所述共形的第二保护层的沉积期间冷却所述制品以将所述制品维持在低于约150℃的温度。
15.如权利要求12所述的方法,其中所述制品是蚀刻反应器的腔室衬层,所述腔室衬层具有空心圆柱形状,并且其中执行IAD以沉积所述共形的第二保护层包含:
将靶材定位在所述制品的第一开口处;
涂覆所述制品的内部的第一部分;
随后将所述靶材定位在所述制品的第二开口处;以及
涂覆所述制品的内部的第二部分。
16.如权利要求12所述的方法,进一步包含在沉积所述共形的第二保护层之前执行以下步骤:
标识所述制品中将呈现出相对于所述制品的其他区域的高侵蚀速率的一个或更多个区域;以及
利用掩模对所述制品掩模,其中所述制品的所标识的一个或更多个区域由所述掩模暴露,并且其中所述共形的第二保护层将涂覆所述制品的一个或多个所标识的区域。
17.如权利要求12所述的方法,其中:
所述第一保护层包含以下中的一个或多个:Al2O3、Y2O3、SiO2、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物;
所述共形的第二保护层包含以下中的一个或多个:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、Nd2O3、YF3、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物;并且
所述第三保护层包含以下中的一个或多个:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
18.如权利要求12所述的方法,其中所述共形的第二保护层包含Er3Al5O12,并且其中所述第三保护层包含Er2O3
19.如权利要求12所述的方法,进一步包含:
在执行所述IAD时,利用氮离子或氧离子中的一者轰击所述制品,其中如果所述第一抗等离子体的陶瓷将是氮化物则使用氮离子,并且如果所述第一抗等离子体的陶瓷将是氧化物则使用氧离子;以及
当执行所述IAD时,逐渐增加所述氮离子或所述氧离子流率,其中所述共形的第二保护层在所述第一保护层的底部包含第一氧含量或氮含量,并且在所述第一保护层的顶部包含更高的第二氧含量或氮含量。
20.一种腔室部件,所述腔室部件包含:
主体;
第一保护层,所述第一保护层在所述主体的至少一个表面上,所述第一保护层包含第一抗等离子体的陶瓷,所述第一抗等离子体的陶瓷包含以下中的一个或多个:Al2O3、Y2O3、SiO2、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物,其中所述第一保护层包含多个裂痕与孔隙;以及
保护层叠层,所述保护层叠层覆盖所述第一保护层的至少部分,所述保护层叠层包含:
共形的第二保护层,其中所述共形的第二保护层包含非晶抗等离子体的稀土氧化物,所述非晶抗等离子体的稀土氧化物包含以下中的一个或多个:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、Nd2O3、YF3、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物,其中所述共形的第二保护层具有小于1%的孔隙度并且密封所述第一保护层的所述多个裂痕与孔隙;以及
第三保护层,所述第三保护层在所述共形的第二保护层上,其中所述第三保护层包含第二抗等离子体的陶瓷,所述第二抗等离子体的陶瓷包含以下中的一个或多个:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物,其中所述第三保护层具有结晶结构,并且其中所述第三保护层具有与所述共形的第二保护层不同的组分。
CN202010512920.7A 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积 Pending CN111640643A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/262,644 2014-04-25
US14/262,644 US9869013B2 (en) 2014-04-25 2014-04-25 Ion assisted deposition top coat of rare-earth oxide
CN201580001478.9A CN105408987B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580001478.9A Division CN105408987B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积

Publications (1)

Publication Number Publication Date
CN111640643A true CN111640643A (zh) 2020-09-08

Family

ID=54333052

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201711275217.3A Active CN107916399B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积
CN202010512920.7A Pending CN111640643A (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积
CN201580001478.9A Active CN105408987B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201711275217.3A Active CN107916399B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201580001478.9A Active CN105408987B (zh) 2014-04-25 2015-04-20 稀土氧化物的顶部涂层的离子辅助沉积

Country Status (6)

Country Link
US (4) US9869013B2 (zh)
JP (3) JP6630345B2 (zh)
KR (2) KR20160147699A (zh)
CN (3) CN107916399B (zh)
TW (3) TWI706047B (zh)
WO (1) WO2015164263A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112522673A (zh) * 2021-02-18 2021-03-19 中南大学湘雅医院 一种生物医用镁合金表面稀土复合薄膜及其制备方法
TWI807383B (zh) * 2020-10-27 2023-07-01 大陸商中微半導體設備(上海)股份有限公司 耐電漿半導體零組件及其形成方法以及電漿反應裝置

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160298467A1 (en) * 2013-11-18 2016-10-13 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6515050B2 (ja) * 2016-03-15 2019-05-15 東芝デバイス&ストレージ株式会社 半導体製造装置
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
JP6371354B2 (ja) * 2016-09-30 2018-08-08 本田技研工業株式会社 被膜形成装置
WO2018156218A1 (en) * 2016-11-07 2018-08-30 The Regents Of The University Of Colorado, A Body Corporate Improved performance of technical ceramics
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN107799253A (zh) * 2017-10-27 2018-03-13 包头稀土研究院 稀土金属旋转靶材的制造方法
US11685990B2 (en) * 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
SG11202005615TA (en) * 2017-12-20 2020-07-29 Technetics Group Llc Deposition processing systems having active temperature control and associated methods
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR101961411B1 (ko) * 2018-07-02 2019-03-22 주식회사 그린리소스 대면적 oled 패널 제조용 챔버의 코팅재 및 그 제조 방법
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7183917B2 (ja) * 2019-03-29 2022-12-06 株式会社デンソー スパッタリング装置と半導体装置の製造方法
JP2022534885A (ja) * 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
CN110712094B (zh) * 2019-09-06 2021-07-23 中国兵器科学研究院宁波分院 降低离子束抛光光学元件表面污染的方法
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
CN115298798A (zh) * 2020-03-18 2022-11-04 朗姆研究公司 调节等离子体处理室的方法
KR20220156059A (ko) * 2020-03-20 2022-11-24 램 리써치 코포레이션 다층 보호 표면을 갖는 플라즈마 프로세싱 챔버
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US20210403337A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Yttrium oxide based coating and bulk compositions
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US11515195B2 (en) * 2020-10-26 2022-11-29 Applied Materials, Inc. Semiconductor chamber components with high-performance coating
KR102390123B1 (ko) * 2020-12-22 2022-04-25 한국세라믹기술원 내플라즈마 세라믹 기판 및 그 제조방법
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040125360A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US20050136188A1 (en) * 2003-12-18 2005-06-23 Chris Chang Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050199830A1 (en) * 2004-03-10 2005-09-15 Bowering Norbert R. EUV light source optical elements
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
CN102084020A (zh) * 2008-02-26 2011-06-01 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법

Family Cites Families (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
DE3513882A1 (de) * 1985-04-17 1986-10-23 Plasmainvent AG, Zug Schutzschicht
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
DE3889024T2 (de) 1987-07-13 1994-10-13 Sumitomo Electric Industries Verfahren zum Herstellen einer supraleitenden Dünnschicht.
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5573862A (en) 1992-04-13 1996-11-12 Alliedsignal Inc. Single crystal oxide turbine blades
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5556525A (en) * 1994-09-30 1996-09-17 Advanced Micro Devices, Inc. PVD sputter system having nonplanar target configuration and methods for operating same
DE4442186C2 (de) * 1994-11-26 1999-03-04 Glyco Metall Werke Schichtwerkstoff und Verfahren zu seiner Herstellung
DE4445427C2 (de) * 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US5741544A (en) 1995-08-31 1998-04-21 Olin Corporation Articles using specialized vapor deposition processes
CN1074689C (zh) * 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6214473B1 (en) * 1998-05-13 2001-04-10 Andrew Tye Hunt Corrosion-resistant multilayer coatings
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
JP4548887B2 (ja) 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6503575B1 (en) * 2000-05-22 2003-01-07 Praxair S.T. Technology, Inc. Process for producing graded coated articles
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US7066467B2 (en) * 2000-12-28 2006-06-27 Koyo Seiko Co., Ltd. Sealing device for water pump bearings
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6723209B2 (en) * 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
DE10119926A1 (de) * 2001-04-23 2002-10-24 Ernst Muenstermann Verfahren zur Optimierung der Zusammensetzung und/oder der mechanischen Eigenschaften einer oder mehrerer Schichten auf einem Substratkörper und Verwendung dieses Verfahrens
AUPR515301A0 (en) 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
DE10127079A1 (de) * 2001-06-02 2002-12-12 Ulrich Pachmann Verfahren zum quantitativen Nachweis vitaler epithelialer Tumorzellen in einer Körperflüssigkeit
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
CA2453596C (en) * 2001-07-24 2010-04-20 Toppan Printing Co., Ltd. Vapor-deposited film
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
BR0211578A (pt) 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
US8357454B2 (en) * 2001-08-02 2013-01-22 Siemens Energy, Inc. Segmented thermal barrier coating
JP4955192B2 (ja) 2001-08-02 2012-06-20 スリーエム イノベイティブ プロパティズ カンパニー ガラス−セラミック
US7625509B2 (en) * 2001-08-02 2009-12-01 3M Innovative Properties Company Method of making ceramic articles
BR0211577A (pt) 2001-08-02 2004-07-13 3M Innovative Properties Co Vidro, métodos para fabricar um artigo que compreende vidro, um vidro-cerâmica, um artigo de vidro-cerâmica e partìculas abrasivas, vidro-cerâmica, partìcula abrasiva, pluralidade de partìculas abrasivas, e, artigo abrasivo
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7426067B1 (en) * 2001-12-17 2008-09-16 Regents Of The University Of Colorado Atomic layer deposition on micro-mechanical devices
US6682821B2 (en) * 2001-12-28 2004-01-27 Kyocera Corporation Corrosion-resistant ceramics
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
FR2838752B1 (fr) * 2002-04-22 2005-02-25 Snecma Moteurs Procede de formation d'un revetement ceramique sur un substrat par depot physique en phase vapeur sous faisceau d'electrons
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
KR101168422B1 (ko) * 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
JP3894313B2 (ja) * 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
CN100401478C (zh) * 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7984195B2 (en) * 2006-07-07 2011-07-19 Logic Controls, Inc. Hybrid industrial networked computer system
US6969555B2 (en) * 2003-10-06 2005-11-29 General Electric Company Aluminate coating for a silicon containing substrate
US20050129869A1 (en) * 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a group 2 or 3/group 5 stabilization-composition-enriched surface
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
WO2005089107A2 (en) 2004-01-08 2005-09-29 University Of Virginia Patent Foundation Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom
JP2005260040A (ja) * 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7354663B2 (en) 2004-04-02 2008-04-08 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine
US7901870B1 (en) * 2004-05-12 2011-03-08 Cirrex Systems Llc Adjusting optical properties of optical thin films
CN101076614A (zh) * 2004-07-07 2007-11-21 莫门蒂夫性能材料股份有限公司 基底上的保护涂层及其制备方法
US7306860B2 (en) * 2004-07-30 2007-12-11 Honeywell International, Inc. Protective coating for oxide ceramic based composites
JP2006108602A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060110609A1 (en) 2004-11-19 2006-05-25 Eaton Harry E Protective coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7989095B2 (en) 2004-12-28 2011-08-02 General Electric Company Magnetic layer with nanodispersoids having a bimodal distribution
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
JP2006274387A (ja) * 2005-03-30 2006-10-12 Nagasaki Prefecture 筒状体の内周側表面へのスパッタ法を用いたイオン注入法及びその装置並びに筒状体の内周側表面へのスパッタ法を用いたコーティング法及びその装置
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
WO2007005832A2 (en) 2005-06-30 2007-01-11 University Of Virginia Patent Foundation Reliant thermal barrier coating system and related methods and apparatus of making the same
WO2007006681A1 (de) * 2005-07-12 2007-01-18 Alstom Technology Ltd Keramische wärmedämmschicht
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7785722B2 (en) * 2006-01-20 2010-08-31 United Technologies Corporation CMAS resistant thermal barrier coating
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4327177B2 (ja) * 2006-05-31 2009-09-09 トーカロ株式会社 耐食性溶射皮膜および溶射皮膜の封孔被覆方法
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
KR20090085049A (ko) * 2006-10-06 2009-08-06 아사히 테크 가부시끼가이샤 내식성 부재 및 그의 제조 방법
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080142755A1 (en) * 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8465602B2 (en) * 2006-12-15 2013-06-18 Praxair S. T. Technology, Inc. Amorphous-nanocrystalline-microcrystalline coatings and methods of production thereof
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP4597149B2 (ja) 2007-01-26 2010-12-15 株式会社シンクロン 薄膜形成装置及び薄膜形成方法
KR101478844B1 (ko) * 2007-03-09 2015-01-02 파나소닉 주식회사 증착장치 및 증착장치를 이용한 막의 제조방법
US7659204B2 (en) * 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
PT2193175E (pt) * 2007-09-28 2013-03-28 Avery Dennison Corp Rótulo opacificante
JP2009161846A (ja) * 2007-12-10 2009-07-23 Densho Engineering Co Ltd プラズマ処理容器内部材の製造方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
EP2271496B1 (en) * 2008-04-29 2014-11-12 Hewlett-Packard Development Company, L.P. Printing device
EP2128299B1 (en) * 2008-05-29 2016-12-28 General Electric Technology GmbH Multilayer thermal barrier coating
EP2307255A4 (en) * 2008-07-16 2017-01-04 Electro-Motive Diesel, Inc. Self-steering radial bogie
DE102008045381A1 (de) * 2008-09-02 2010-03-04 Schaeffler Kg Verschleiß- und korrosionshemmender Schichtverbund
US8315779B2 (en) * 2008-09-30 2012-11-20 Ford Global Technologies, Llc Fuel sulfur content-based operation control of a diesel engine
JP5390166B2 (ja) 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) * 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
EP2389342B1 (en) 2009-01-22 2020-11-04 3M Innovative Properties Company Surface-modified zirconia nanoparticles
KR101123719B1 (ko) * 2009-06-05 2012-03-15 한국세라믹기술원 내플라즈마성 전자빔증착 세라믹 피막 부재
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
TW201129719A (en) * 2009-10-20 2011-09-01 Saint Gobain Ceramics Microelectronic processing component having corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2013512573A (ja) 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US8679246B2 (en) * 2010-01-21 2014-03-25 The University Of Connecticut Preparation of amorphous mixed metal oxides and their use as feedstocks in thermal spray coating
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
KR20110117846A (ko) * 2010-04-22 2011-10-28 한국세라믹기술원 응력완화성이 우수한 플라즈마 저항성 세라믹 탑코트 및 그 제조 방법
WO2011135667A1 (ja) * 2010-04-27 2011-11-03 株式会社シンクロン 半導体発光素子基板の製造方法
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
FI125358B (fi) * 2010-07-09 2015-09-15 Teknologian Tutkimuskeskus Vtt Oy Termisesti ruiskutettu täysin amorfinen oksidipinnoite
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US8766240B2 (en) 2010-09-21 2014-07-01 Universal Display Corporation Permeation barrier for encapsulation of devices and substrates
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
GB201106553D0 (en) * 2011-04-19 2011-06-01 Pilkington Glass Ltd Mthod for coating substrates
US20120285819A1 (en) * 2011-05-09 2012-11-15 Intermolecular, Inc. Combinatorial and Full Substrate Sputter Deposition Tool and Method
US10309018B2 (en) * 2011-05-31 2019-06-04 United Technologies Corporation Composite article having layer with co-continuous material regions
JP5568756B2 (ja) * 2011-06-29 2014-08-13 トーカロ株式会社 耐食性や耐プラズマエロージョン性に優れるサーメット溶射皮膜被覆部材およびその製造方法
CN102869211A (zh) * 2011-07-08 2013-01-09 深圳富泰宏精密工业有限公司 壳体及其制备方法
CN103038734B (zh) * 2011-08-05 2017-02-08 谱瑞科技股份有限公司 可变分布共模滤波器
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US8840981B2 (en) * 2011-09-09 2014-09-23 Eastman Kodak Company Microfluidic device with multilayer coating
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
JP2013082993A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd マグネトロンスパッタ装置及びマグネトロンスパッタ方法
US9023486B2 (en) * 2011-10-13 2015-05-05 General Electric Company Thermal barrier coating systems and processes therefor
US20130095256A1 (en) 2011-10-17 2013-04-18 Derek Hass Impact and erosion resistant thermal and environmental barrier coatings
EP2794956B1 (en) 2011-12-19 2018-10-17 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
KR101637801B1 (ko) * 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140030497A1 (en) * 2012-07-30 2014-01-30 United Technologies Corporation Localized transitional coating of turbine components
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
DE102012109691B4 (de) * 2012-10-11 2014-08-07 Von Ardenne Anlagentechnik Gmbh Solarabsorber-Schichtsystem mit Gradientenschicht und Verfahren zu dessen Herstellung
KR101249951B1 (ko) * 2012-10-24 2013-04-03 아이원스 주식회사 공정 장비의 코팅 방법 및 이를 이용한 코팅 구조
JP2014116059A (ja) * 2012-11-16 2014-06-26 Iza Corp トンネルバリア層又はゲート絶縁膜の製造方法及びトンネルバリア層又はゲート絶縁膜の製造装置
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
GB2509335A (en) * 2012-12-31 2014-07-02 Univ Tartu Double-structured corrosion resistant coatings and methods of application
CN103184402B (zh) * 2013-03-28 2015-05-13 常州大学 一种稀土改性金属陶瓷涂层的制备方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
KR101947796B1 (ko) 2013-06-29 2019-04-22 아익스트론 에스이 고성능 코팅들을 증착하기 위한 방법 및 캡슐화된 전자 디바이스들
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160298467A1 (en) * 2013-11-18 2016-10-13 United Technologies Corporation Article having variable coating
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9139908B2 (en) * 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
US9890089B2 (en) * 2014-03-11 2018-02-13 General Electric Company Compositions and methods for thermal spraying a hermetic rare earth environmental barrier coating
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US10472286B2 (en) * 2015-02-10 2019-11-12 University Of Connecticut Yttrium aluminum garnet based thermal barrier coatings

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040125360A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US20050136188A1 (en) * 2003-12-18 2005-06-23 Chris Chang Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050199830A1 (en) * 2004-03-10 2005-09-15 Bowering Norbert R. EUV light source optical elements
CN102084020A (zh) * 2008-02-26 2011-06-01 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
US20100119843A1 (en) * 2008-11-10 2010-05-13 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI807383B (zh) * 2020-10-27 2023-07-01 大陸商中微半導體設備(上海)股份有限公司 耐電漿半導體零組件及其形成方法以及電漿反應裝置
CN112522673A (zh) * 2021-02-18 2021-03-19 中南大学湘雅医院 一种生物医用镁合金表面稀土复合薄膜及其制备方法
CN112522673B (zh) * 2021-02-18 2021-04-27 中南大学湘雅医院 一种生物医用镁合金表面稀土复合薄膜及其制备方法

Also Published As

Publication number Publication date
WO2015164263A1 (en) 2015-10-29
CN107916399A (zh) 2018-04-17
JP2017520126A (ja) 2017-07-20
US9869013B2 (en) 2018-01-16
JP6630345B2 (ja) 2020-01-15
US10563297B2 (en) 2020-02-18
KR20160147699A (ko) 2016-12-23
TW201606105A (zh) 2016-02-16
TWI706047B (zh) 2020-10-01
TW201812055A (zh) 2018-04-01
JP2018080396A (ja) 2018-05-24
US20160326626A1 (en) 2016-11-10
US9970095B2 (en) 2018-05-15
KR20170141277A (ko) 2017-12-22
JP2020065058A (ja) 2020-04-23
JP6522724B2 (ja) 2019-05-29
TW202102699A (zh) 2021-01-16
US10544500B2 (en) 2020-01-28
US20150311044A1 (en) 2015-10-29
CN105408987B (zh) 2020-06-23
CN105408987A (zh) 2016-03-16
JP6956774B2 (ja) 2021-11-02
US20180030589A1 (en) 2018-02-01
CN107916399B (zh) 2020-05-12
TWI665322B (zh) 2019-07-11
US20180080116A1 (en) 2018-03-22

Similar Documents

Publication Publication Date Title
CN105408987B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
US11424136B2 (en) Rare-earth oxide based coatings based on ion assisted deposition
US20210317563A1 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
CN107978507B (zh) 用于处理腔室的腔室部件和制造制品的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200908