JP2022534885A - 基板処理チャンバ - Google Patents

基板処理チャンバ Download PDF

Info

Publication number
JP2022534885A
JP2022534885A JP2021569407A JP2021569407A JP2022534885A JP 2022534885 A JP2022534885 A JP 2022534885A JP 2021569407 A JP2021569407 A JP 2021569407A JP 2021569407 A JP2021569407 A JP 2021569407A JP 2022534885 A JP2022534885 A JP 2022534885A
Authority
JP
Japan
Prior art keywords
processing chamber
spacer
coupled
resonant cavity
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021569407A
Other languages
English (en)
Other versions
JPWO2020242799A5 (ja
Inventor
ティモシー ジョセフ フランクリン,
アダム フィッチバック,
エドワード ヘイウッド,
アビジット ビー. マリック,
プラミット マンナ,
カーラトン ウォン,
スティーブン シー. ガーナー,
エスワラナンド ベンカタサブラマニアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022534885A publication Critical patent/JP2022534885A/ja
Publication of JPWO2020242799A5 publication Critical patent/JPWO2020242799A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本開示の実施形態は、概して、半導体デバイスの製造に利用される装置及び方法に関する。より詳細には、本開示の実施形態は、半導体デバイスを形成するための基板処理チャンバ、並びにその部品に関する。【選択図】図1

Description

本開示の実施形態は、概して、半導体デバイスの製造に利用される装置及び方法に関する。より詳細には、本開示の実施形態は、半導体デバイスを形成するための基板処理チャンバ、並びにその部品に関する。
集積回路は、単一チップ上に数百万個ものトランジスタ、コンデンサ、及び抵抗を搭載することができる複雑なデバイスへと進化を遂げている。チップ設計の進化には、より高速な回路及びより高い回路密度が継続的に包含される。より高い回路密度を有するより高速な回路に対する要求は、このような集積回路の製造に用いられる材料についても同様の要求を課している。特に、集積回路部品の寸法がサブミクロンスケールにまで縮小されるにつれて、そのような部品から適切な電気的性能を得るために、低抵抗率の導電性材料、並びに低誘電率の絶縁材料を使用する傾向がある。
より高い集積回路密度に対する要求はまた、集積回路部品の製造に用いられるプロセスシーケンスに対しても要求を課している。例えば、従来のフォトリソグラフィ技術を使用するプロセスシーケンスでは、エネルギーに敏感なレジストの層が、基板上に配置された材料層のスタック上に形成される。このエネルギーに敏感なレジスト層は、パターンの画像へと露光されて、フォトレジストマスクを形成する。その後、マスクパターンは、エッチングプロセスを使用して、スタックの1つ以上の材料層に転写される。エッチングプロセスに用いられる化学エッチング液は、エネルギー感受性のレジストのマスクよりもスタックの材料層に対してより高いエッチング選択性を有するように選択される。すなわち、化学エッチング液は、エネルギー感受性のレジストよりもはるかに速い速度で、材料スタックの1つ以上の層をエッチングする。レジスト上のスタックの1つ以上の材料層に対するエッチング選択性は、パターン転写が完了する前にエネルギー感受性のレジストが消費されることを防止する。
パターンの寸法が縮小されると、それに応じて、パターンの解像度を制御するために、エネルギーに敏感なレジストの厚さが薄くなる。このような薄いレジスト層は、化学エッチング液による攻撃に起因して、パターン転写プロセス中に下地材料層をマスクするには不十分である可能性がある。ハードマスクと呼ばれる中間層(例えば、酸窒化ケイ素、炭化ケイ素(silicon carbine)、又は炭素膜)は、化学エッチング液に対する耐性が高いことから、パターン転写を促進するために、エネルギー感受性のレジスト層と下地材料層との間によく用いられる。高いエッチング選択性及び高い堆積速度の両方を有するハードマスク材料がしばしば利用される。限界寸法(CD)が減少するにつれて、現在のハードマスク材料は、下地材料(例えば、酸化物及び窒化物)と比較して所望のエッチング選択性を欠き、堆積が困難であることが多い。したがって、半導体デバイスを製造するための改良された方法及び装置が、当技術分野で必要とされている。
本開示の実施形態は、概して、半導体デバイスの製造に利用される装置及び方法に関する。より詳細には、本開示の実施形態は、半導体デバイスを形成するための基板処理チャンバ、並びにその部品に関する。
一実施形態では、リッドアセンブリと、スペーサによって該リッドアセンブリに結合されたチャンバ本体とを含む処理チャンバが開示される。スペーサ及びチャンバ本体は、共振キャビティを画成する。処理チャンバは、共振キャビティの外側のスペーサに結合された回転可能な磁気アセンブリ、並びに共振キャビティ内に配置され、移動可能な基板支持体も含み、この基板支持体は、該基板支持体に結合されたアクチュエータの動作に基づいて屈折する設備ケーブルに結合される。
別の実施形態では、シャワーヘッドを含むリッドアセンブリと、スペーサによってリッドアセンブリに結合されたチャンバ本体とを含む処理チャンバが開示される。スペーサ及びチャンバ本体は共振キャビティを画成する。処理チャンバは、共振キャビティの外側のスペーサに結合された磁気アセンブリ、並びに共振キャビティ内に配置された基板支持体も含み、該基板支持体は、設備ケーブルに結合され、複数の電極を含むパックを有する静電チャックを含む。
別の実施形態では、シャワーヘッドを含むリッドアセンブリと、スペーサによってリッドアセンブリに結合されたチャンバ本体とを含む処理チャンバが開示される。スペーサ及びチャンバ本体は共振キャビティを画成する。処理チャンバは、共振キャビティの外側のスペーサに結合された磁気アセンブリ、並びに共振キャビティ内に移動可能に配置された基板支持体も含み、該基板支持体は、屈曲部を含む単一片の導体を含む設備ケーブルに結合されており、該基板支持体は複数の電極を含むパックを有する静電チャックを含む。
本開示の上記の特徴を詳細に理解できるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、本開示は、他の同等に有効な実施形態も許容しうることから、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、その範囲を限定すると見なされるべきではないことに留意されたい。
一実施形態による、例示的な処理チャンバの概略的な側面断面図 一実施形態による、約90度回転した図1の処理チャンバの概略的な側面図 一実施形態による、約90度回転した図1の処理チャンバの概略的な側面図 一実施形態による、処理チャンバの概略的な断面図 一実施形態による、処理チャンバの概略的な断面図 一実施形態による、基板支持体の概略的な断面図 一実施形態による、図4Aに示された基板支持体の一部の拡大断面図 一実施形態による、基板支持体の支持構造及びカソードアセンブリの一部のさまざまな図 一実施形態による、設備ケーブルのさまざまな断面図 一実施形態による、リッドアセンブリの概略的な断面図
理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。一実施形態の要素及び特徴は、さらなる記載がなくとも、他の実施形態に有益に組み込むことができることが想定されている。
本開示の実施形態は、電子デバイスの製造における基板処理に利用される基板処理チャンバに関する。基板処理には、堆積プロセス、エッチングプロセス、並びに基板上に電子デバイスを製造するために用いられる他の低圧プロセス、プラズマプロセス、熱プロセスが含まれる。本開示の例示的な態様から利益を得るように適合させることができる処理チャンバ及び/又はシステムの例は、米国カリフォルニア州サンタクララ所在のApplied Materials Inc.から市販されるProducer(登録商標)APF(商標)PECVDシステムである。他の処理チャンバ及び/又は処理プラットフォーム(他の製造業者からのものを含む)もまた、本開示の態様から利益を得るように適合することができることが想定されている。
本明細書に開示される堆積チャンバの実施形態は、メモリデバイスの製造のため、特に、メモリデバイスの製造中に利用されるハードマスクの堆積のために利用することができる。現在のメモリデバイスは、電圧を印加することなく非常に長期間保存されたデータを保持することができ、このようなメモリデバイスの読み取り速度は比較的高い。保存されたデータを消去すること、及びメモリデバイスにデータを再書き込みすることは比較的容易である。したがって、メモリデバイスは、マイクロコンピュータ及び自動制御システムなどに幅広く使用されている。メモリデバイスのビット密度を高め、ビットあたりのコストを削減するために、3D NAND(ANDではなく3次元)メモリデバイスが開発されている。DRAM(ダイナミックランダムアクセスメモリ)、EM(拡張メモリ)、及びReRAM(抵抗変化型メモリ)などの他のメモリデバイス、並びにそれらを形成するための高度なハードマスク材料もまた、半導体産業の進歩をさらに促進するために開発されている。
垂直ゲート3Dメモリセルは、メモリセル層の数が増加するにつれて、コストを削減するために、3D NAND技術で検討されている。酸化物/ケイ素及び酸化物/窒化物層のスタックは、材料集積化の利点の理由から有用であるが、メモリセル層の数が増加すると、層の厚さが制限要因となる。したがって、メモリセル層の厚さの低減に関心が集まっているが、酸化物の品質(すなわち破壊電圧)、ケイ素の抵抗、及び高アスペクト比エッチングの問題は層の厚さが低減されても持続する。
図1は、堆積プロセスの実施に適した例示的な処理チャンバ100の概略的な側面断面図である。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、処理チャンバ100は、例えばアモルファスカーボンのハードマスクフィルムなど、高度なパターニングフィルムを基板上に堆積するように構成することができる。
処理チャンバ100は、リッドアセンブリ105、チャンバ本体192上に配置されたスペーサ110、基板支持体115、及び可変圧力システム120を含む。リッドアセンブリ105は、リッドプレート125及び熱交換器130を含む。本明細書に記載される他の実施形態と組み合わせることができる、示される実施形態では、リッドアセンブリ105はシャワーヘッド135も含む。しかしながら、本明細書に記載される他の実施形態と組み合わせることができる他の実施形態では、リッドアセンブリ105は、凹状又はドーム型のガス導入プレートを含む(図7に示されている)。
リッドアセンブリ105は、第1の処理ガス源140に結合される。第1の処理ガス源140は、基板支持体115上に支持された基板145上に膜を形成するための前駆体ガスを含む。一例として、第1の処理ガス源140は、前駆体ガス、とりわけ、炭素含有ガス、水素含有ガス、ヘリウムなどを含む。特定の例では、炭素含有ガスはアセチレン(C)を含む。第1の処理ガス源140は、リッドアセンブリ105に配置されたプレナム190に前駆体ガスを提供する。リッドアセンブリは、第1の処理ガス源140からプレナム190内に前駆体ガスを導くための1つ以上のチャネルを含む。プレナムから、前駆体ガスは、シャワーヘッド135を通って処理容積160へと流れる。本明細書に記載される他の実施形態と組み合わせることができる幾つかの実施形態では、第2の処理ガス源142は、スペーサ110を貫通して配置された入り口144を介して処理容積160に流体的に結合される。一例として、第2の処理ガス源142は、前駆体ガス、とりわけ、炭素含有ガス、水素含有ガス、ヘリウムなど、例えばCを含む。本明細書に記載される他の実施形態と組み合わせることができる1つの幾つかの実施形態では、処理容積160への前駆体ガスの総流量は、約100sccmから約2slmである。第2の処理ガス源142を介した処理容積160内の前駆体ガスの流れは、前駆体ガスが処理容積160内に均一に分配されるように、シャワーヘッド135を通って流れる前駆体ガスの流れを調節する。一例では、複数の入り口144をスペーサ110の周りに放射状に分布することができる。このような例では、入り口144の各々へのガスの流れは、処理容積160内のガスの均一性をさらに促進するように別々に制御することができる。
リッドアセンブリ105は、任意選択的な遠隔プラズマ源150にも結合される。遠隔プラズマ源150は、リッドアセンブリ105と基板145との間のスペーサ110の内部に形成された処理容積160に洗浄ガスを提供するための洗浄ガス源155に結合される。一例では、洗浄ガスは、リッドアセンブリ105を通って軸方向に形成された中心導管191を通じて提供される。別の例では、洗浄ガスは、前駆体ガスを導く同じチャネルを通じて提供される。洗浄ガスの例には、酸素及び/又はオゾンなどの酸素含有ガス、並びにNFなどのフッ素含有ガス、若しくはそれらの組合せが含まれる。
遠隔プラズマ源150に加えて又はその代替として、リッドアセンブリ105はまた、第1の又は上方の高周波(RF)電源165にも結合される。第1のRF電源165は、洗浄ガスから生成されたプラズマなど、プラズマの維持又は生成を容易にする。一例では、遠隔プラズマ源150は省略され、洗浄ガスは、第1のRF電源165を介してインシトゥでプラズマへとイオン化される。基板支持体115は、第2の又は下方のRF電源170に結合される。第1のRF電源165は高周波RF電源(例えば、約13.56MHzから約120MHz)であってよく、第2のRF電源170は低周波RF電源(例えば、約2MHzから約13.56MHz)でありうる。他の周波数も想定されていることに留意されたい。幾つかの実装形態では、第2のRF電源170は、混合周波数RF電源であり、高周波電力及び低周波電力の両方を提供する。二重周波数RF電源の利用は、特に第2のRF電源170では、膜堆積を改善する。一例では、第2のRF電源170の利用は、二重周波数電力を提供する。約2MHzから約13.56MHzの第1の周波数は、堆積された膜への核種の注入を改善し、一方、約13.56MHzから約120MHzの第2の周波数は、膜のイオン化及び堆積速度を増加させる。
第1のRF電源165及び第2のRF電源170の一方又は両方が、処理容積160内でのプラズマの生成又は維持に利用される。例えば、第2のRF電源170は堆積プロセス中に利用することができ、第1のRF電源165は、洗浄プロセス中に(単独で又は遠隔プラズマ源150と組み合わせて)利用することができる。幾つかの堆積プロセスでは、第1のRF電源165は、第2のRF電源170と併せて用いられる。堆積又はエッチングプロセス中に、第1のRF電源165及び第2のRF電源170の一方又は両方は、前駆体ガスのイオン化を促進するために、処理容積160内に約100ワット(W)から約20,000Wの電力を提供する。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、第1のRF電源165及び第2のRF電源170の少なくとも一方がパルス化される。本明細書に記載される他の実施形態と組み合わせることができる別の実施形態では、前駆体ガスは、ヘリウム及びCを含む。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、Cは約10sccmから約1,000sccmの流量で提供され、Heは約50sccmから約10,000sccmの流量で提供される。
基板支持体115は、そのZ方向への移動をもたらすアクチュエータ175(すなわち、リフトアクチュエータ)に結合される。基板支持体115はまた、第2のRF電源170並びに他の電力及び流体接続との通信を維持しつつ、基板支持体115の垂直移動を可能にする、可撓性の設備ケーブル178に結合される。スペーサ110はチャンバ本体192上に配置される。スペーサ110の高さは、処理容積160内で基板支持体115の垂直方向の移動を可能にする。スペーサ110の高さは、約0.5インチから約20インチである。一例では、基板支持体115は、リッドアセンブリ105に対して(例えば、シャワーヘッド135の下面に対して)第1の距離180Aから第2の距離180Bまで移動可能である。一実施形態では、第2の距離180Bは、第1の距離180Aの約2/3である。例えば、第1の距離180Aと第2の距離との間の差は、約5インチから約6インチである。したがって、図1に示される位置から、基板支持体115は、シャワーヘッド135の下面に対して約5インチから約6インチ移動可能である。別の例では、基板支持体115は、第1の距離180A及び第2の距離180Bの一方に固定されている。従来のプラズマ化学気相堆積(PECVD)プロセスとは対照的に、スペーサ110は、基板支持体115とリッドアセンブリ105との間の距離(したがって、その間の容積)を大幅に増加させる。基板支持体115とリッドアセンブリ105との間の距離が増加すると、容積処理容積160内でのイオン化された核種の衝突が減少し、2.5ギガパスカル(GPa)未満など、中立応力が少ない膜の堆積をもたらす。より少ない中立応力で堆積された膜は、膜が形成される基板の平坦性の改善(例えば、より少ない反り)を促進する。基板の反りが低減されると、下流のパターニング動作の精度の向上をもたらす。
可変圧力システム120は、第1のポンプ182及び第2のポンプ184を含む。第1のポンプ182は、洗浄プロセス及び/又は基板移送プロセス中に利用することができる粗引きポンプである。粗引きポンプは、概して、より高い体積流量を移動させるため、及び/又は比較的高い(が、まだ大気圧未満である)圧力を動作させるために構成される。一例では、第1のポンプ182は、洗浄プロセスの間、処理チャンバ内の圧力を50mtorr未満に維持する。別の例では、第1のポンプ182は、処理チャンバ内の圧力を約0.5mTorrから約10Torrに維持する。洗浄動作中の粗引きポンプの利用は、(堆積動作と比較して)洗浄ガスの比較的高い圧力及び/又は体積流量を容易にする。洗浄動作中の比較的高い圧力及び/又は体積流量は、チャンバ表面の洗浄を改善する。
第2のポンプ184は、ターボポンプ及びクライオゼニックポンプのうちの1つでありうる。第2のポンプ184は堆積プロセス中に利用される。第2のポンプ184は、概して、比較的低い体積流量及び/又は圧力を動作させるように構成される。例えば、第2のポンプ184は、処理チャンバの処理領域160を約50mtorr未満の圧力に維持するように構成される。別の例では、第2のポンプ184は、処理チャンバ内の圧力を約0.5mtorrから約10Torrに維持する。堆積中に維持される処理領域160の減圧は、炭素ベースのハードマスクを堆積するときに、中立応力が低減した、及び/又はsp-sp変換が増加した膜の堆積を促進する。したがって、処理チャンバ100は、堆積を改善するための比較的低い圧力と、洗浄を改善するための比較的高い圧力の両方を利用するように構成される。
本明細書に記載される他の実施形態と組み合わせることができる幾つかの実施形態では、第1のポンプ182及び第2のポンプ184の両方が堆積プロセス中に利用されて、処理チャンバの処理領域160を約50mtorr未満の圧力に維持する。他の実施形態では、第1のポンプ182及び第2のポンプ184は、処理領域160を約0.5mTorrから約10Torrの圧力に維持する。バルブ186は、第1のポンプ182及び第2のポンプ184の一方又は両方へのコンダクタンス経路を制御するために利用される。バルブ186はまた、処理容積160からの対称的なポンピングを提供する。
処理チャンバ100は基板移送ポート185も含む。基板移送ポート185は、内部ドア186A及び外部ドア186Bによって選択的に密封される。ドア186A及び186Bの各々はアクチュエータ188(すなわち、ドアアクチュエータ)に結合される。ドア186A及び186Bは、処理容積160の減圧シールを促進する。ドア186A及び186Bはまた、処理容積160内に対称的なRF適用及び/又はプラズマ対称性を提供する。一例では、少なくともドア186Aは、ステンレス鋼、アルミニウム、又はそれらの合金など、RF電力のコンダクタンスを促進する材料で形成される。スペーサ110とチャンバ本体192とのインターフェースに配置されたOリングなどのシール116は、処理容積160をさらに密封することができる。処理チャンバ100に結合されたコントローラ194は、処理中に処理チャンバ100の態様を制御するように構成される。
図2A及び2Bは、約90度回転した図1の処理チャンバの概略的な側面図である。図2A及び2Bでは、スペーサ110の詳細が示されている。スペーサ110は、処理容積160を取り囲むライナ200を含む。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、スペーサ110及びライナ200は単一の部材からなる。ライナ200は、スペーサ110に結合(例えば、拡散結合)されうる。
スペーサ110はまた、該スペーサ110の本体に埋め込まれた、又はスペーサ110の本体と熱的に連結している複数のヒータ素子205も含む。ヒータ素子205は、スペーサ110の温度を摂氏約80度以上に維持するために利用される。ヒータ素子205の各々は、カートリッジヒータでありうる。
ライナ200は、上方又は第1の部分210及び下方又は第2の部分215を含む。ライナ200の厚さ、例えば、部分210の厚さ及び第2の部分215の厚さは、変えることができる。ライナ200のさまざまな厚さは、処理容積160の下部におけるフローコンダクタンスを制御する能力を提供する。処理容積160の下部におけるフローコンダクタンスの制御は、基板185を実質的に均一な分配前駆体ガスに曝露する能力、並びに処理容積160の洗浄ガスに均一に曝露する能力を提供し、基板のより均一な処理をもたらす。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、第1の部分210は、第2の部分215の厚さより厚い厚さを有する。第2の部分215の厚さが低減することの1つの利点は、基板支持体115とスペーサ110の内壁との間の空間が増加し、したがって減圧コンダクタンスが増加することである。第2の部分215の厚さが低減することの別の利点は、ライナ200の熱質量の変化である。したがって、第1の部分210に隣接するか又は第1の部分210内にあるライナ200の部分は、第2の部分215に隣接するか又は第2の部分215内にあるライナ200の部分の温度よりも高い温度で維持される。
ライナ200は、処理容積160に露出される内面202を含む。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、内面202は、アルミニウム、チタン、又はそれらの合金を含む1つ以上の材料を含みうる。ライナ200の内面202は、アルミニウム、チタン、又はそれらの合金のうちの1つ以上でコーティングすることができる。本明細書に記載される他の実施形態と組み合わせることができる別の実施形態では、内面202は、1つ以上のセラミック含有材料を含むことができる。一例では、ライナ200は、リッドアセンブリ105から基板支持体115を通過し、処理チャンバ100の底部に隣接して延びる。このような例では、ライナ200は、チャンバ100の底部には接触せず、代わりに、そこから離間されている。加えて、図2A及び2Bは、ライナ200が単一の部材であるものとして示しているが、複数のライナを利用して、処理チャンバの内面を裏打ちすることができることが想定されている。例えば、第1の(又は上方の)ライナを利用してスペーサ110を裏打ちすることができ、一方、第2の(又は下方の)ライナを使用してチャンバ本体(図1に示される)の内面を裏打ちすることができる。
本明細書に記載される実施形態では、処理容積160に露出される内面202の少なくとも1つによって制御されるライナ200の導電率、及びライナ200の厚さは、基板支持体115の静電チャック330(図3に示される)に提供される電流の流れ(例えば、直流(DC)、RF電流、及び他の適切な電流)を変化させる。ライナ200の導電率を増加させると、処理容積160内で生成されるプラズマシースのRFエネルギーが側壁から地面に伝播するための短く対称的な経路が提供される。RFエネルギーが側壁から地面に伝播するための経路は、電流の流れを改善し、効率の向上を通じて静電チャック330が必要とする電流の量を低減する。静電チャック330が必要とする電流の量を低減することにより、効率の増加を通じて、増加した電圧(例えば、Vdc)を静電チャック330に供給することを可能にする。電圧の増加は、基板105のイオン衝撃又はラジカル衝撃を増加させるためのプラズマシースのより大きいイオン化をもたらす。基板105のイオン衝撃又はラジカル衝撃の増加は、堆積又はエッチングされる膜の応力を低減する。
スペーサ110は磁気アセンブリ220も含む。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、図2Aに示されるように、磁気アセンブリ220は、スペーサ110を取り囲む複数の磁石225を含む。磁石225の各々は、アクチュエータ230(すなわち、磁気アクチュエータ)に結合される。アクチュエータ230は、処理チャンバ100の長手方向軸235を中心に、ライナ200の外側のスペーサ110の周りで磁石225を回転させる。アクチュエータ230はまた、磁石225を長手方向軸235に沿って垂直に(Z方向に)移動させるように適合される。磁石225の各々は、永久磁石、又は電磁石、若しくはそれらの組合せでありうる。磁石225の各々は、スペーサ110の側壁242に形成されたキャビティ240内に位置決めされる。一例では、磁石はヒータ素子205の下に位置決めされる。外側側壁242の材料及び厚さは、外側側壁242の透磁率を制御することによって、処理容積160への磁場の閉じ込めをもたらす。処理容積160への磁場の閉じ込めは、隣接する処理チャンバの近くの処理容積に対する磁場の影響を軽減し、したがって、プロセスの均一性を改善する。処理チャンバ100及び磁気アセンブリ220に結合されたコントローラ194は、処理中に処理チャンバ100及び磁気アセンブリ220の態様を制御するように構成される。
本明細書に記載される他の実施形態と組み合わせることができる別の実施形態では、図2Bに示されるように、磁気アセンブリ220は、スペーサ110の周りに1回以上巻かれて(又はその中に埋め込まれて)、スペーサ110に外接する単一の電磁石を形成することができる導電性ワイヤ204を含む。電源206は、導電性ワイヤ204に結合されて、処理容積160の周りの円形経路に電流を流す。導電性ワイヤ204は、スペーサ100及びライナ200のうちの一方に配置されうる。外側シールド208の材料及び厚さは、外側シールド208の透磁率を制御することによって、処理容積160への磁場の閉じ込めを提供する。処理容積160への磁場の閉じ込めは、隣接する処理チャンバの近くの処理容積に対する磁場の影響を軽減し、したがって、プロセスの均一性を改善する。
本明細書に記載される他の実施形態と組み合わせることができる幾つかの実施形態では、複数の磁石225の約半分は、N極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。複数の磁石225の他の部分は、S極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。一例では、複数の磁石225は、スペーサ110の周りに円形に配置されている(平面図で)。複数の磁石225は、第1の部分又は第1の半円と第2の部分又は第2の半円とに分離されている。第1の半円内の磁石225の各々は、N極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。第2の半円内の磁石225の各々は、S極が基板支持体115に面するように(長手方向軸235に実質的に平行に)配向される。このような例では、複数の磁石225は、堆積中に回転させることができ、あるいは複数の磁石は静止したままであってもよい。
本明細書に記載される他の実施形態と組み合わせることができる幾つかの実施形態では、導電性ワイヤ204は、該導電性ワイヤ204のコア材料内の空隙、コア材料の変化する断面積、及び導電性ワイヤ204の各ターン間の変化する距離のうちの少なくとも1つを含む。導電性ワイヤ204の第1の半分(例えば、約180度を包含する)のコア材料は、導電性ワイヤ204の第2の半分(例えば、約180度を包含する)より多くの空隙を有することができる。導電性ワイヤ204の第1の半分のコア材料は、導電性ワイヤ204の第2の半分の断面積より大きい断面積を有することができる。第1の半分の導電性ワイヤ204の各ターン間の距離は、第2の半分の導電性ワイヤ204の各ターン間の距離よりも短くなりうる。
本明細書に記載される他の実施形態と組み合わせることができる他の実施形態では、磁気アセンブリ220は、2つ以上の導電性ワイヤ204を含む。導電性ワイヤ204の各々は、スペーサ110の一部のそれぞれの部分に配置される。電気的に動作可能な電源206は、コントローラ194に接続可能である。コントローラ194は、電源206の各々を順次オン又はオフにすると同時に、電源206の各々をオン又はオフにして、導電性ワイヤ204の各々への電力の供給を制御するように動作可能である。電源206の各々を同時にオフにすることにより、電磁石によって生成される磁場の分路を可能にする。一例では、第1の導電性ワイヤは、半円状に1回以上巻かれ、処理容積160の第1の半分に対応するスペーサ110の第1の半分に配置されて、第1の電磁石を形成する。第2の導電性ワイヤは、半円状に1回以上巻かれ、処理容積160の第2の半分に対応するスペーサ110の第2の半分に配置されて、第2の電磁石を形成する。第1及び第2の電磁石は、反対の極性を有しうる。
別の例では、第1の導電性ワイヤは、90度以下の角度アークを有する半円状に1回以上巻かれ、処理容積160の第1象限に対応するスペーサ110の第1象限に配置されて、第1の電磁石を形成する。第2の導電性ワイヤは、90度以下の角度アークを有する半円状に1回以上巻かれ、処理容積160の第2象限に対応するスペーサ110の第2象限に配置されて、第2の電磁石を形成する。第3の導電性ワイヤは、90度以下の角度アークを有する半円状に1回以上巻かれ、処理容積160の第3象限に対応するスペーサ110の第3象限に配置されて、第3の電磁石を形成する。第4の導電性ワイヤは、90度以下の角度アークを有する半円状に1回以上巻かれ、処理容積160の第4象限に対応するスペーサ110の第4象限に配置されて、第4の電磁石を形成する。第1、第2、第3、及び第4の電磁石は、交互の極性を有しうる。
磁気アセンブリ220は、処理容積160内でのプラズマの閉じ込め及び/又は調整を支援する。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、磁気アセンブリ220はまた、堆積プロセス中に処理容積160内に共振キャビティ245を形成する。共振キャビティ245は、プラズマシースを基板に向かって垂直下向き(Z方向)に延ばす磁束を提供する(図2A及び2Bには示されていない)。磁石225の1つ及び導電性ワイヤ204のコア材料の強度は、処理容積160内のプラズマを圧縮し、プラズマのシースを半径方向外向きにスペーサ110まで延ばす。前述のように、プラズマのシースをスペーサ110(例えば、スペーサ110のライナ200)まで延ばすことにより、RFエネルギーが側壁から地面に伝播するための短く対称的な経路を提供する。
磁気アセンブリ220は、X/Y面並びにZ方向にB場を提供する。磁気アセンブリ220はまた、処理容積160及び/又は共振キャビティ245内のプラズマの調整を可能にする。プラズマ中の渦電流を監視して、磁石225の位置及び磁石225の回転速度の一方又は両方を調整するための測定基準を提供することができる。加えて又は代替的に、基板上に以前に堆積された膜の計測を実行することができ、その結果を利用して、磁石225の位置及び/又は回転速度を変化させることができる。したがって、共振キャビティ245及び磁気アセンブリ220は、基板上に、より良好な膜均一性を提供する。磁石225を含む実施形態では、磁石225の反対の極性は、磁石225を介して生成されたB場を歪曲する。電磁石を含む幾つかの実施形態では、空隙、断面積、及び導電性ワイヤ204の各ターン間の距離のうちの少なくとも1つを調整することにより、導電性ワイヤ204を通る流れ電流によって生成されたB場を歪曲する。反対の又は交互の極性を有する2つ以上の電磁石を含む幾つかの実施形態では、導電性ワイヤ204の各々に電力を順次供給することにより、導電性ワイヤ204を通る流れ電流によって生成されるB場が歪曲する。B場の歪曲はプラズマシースのピークをシフトさせる。磁石の回転及び処理容積160の周りの円形経路における導電性ワイヤ204を通る流れ電流は、歪曲したプラズマシースのイオン及びラジカルへの基板150のより均一な曝露を促進する。本明細書に記載される他の実施形態と組み合わせることができる一実施形態では、金属バンド(図示せず)がライナ200内に配置される。金属バンドはB場をさらにシフトさせうる。
スペーサ110は、従来のチャンバよりもはるかに大きい処理容積160及び/又は共振キャビティ245の容積をもたらす高さ250を含む。本明細書で前述した幾つかの実施形態では、高さ250は、約0.5インチから約20インチ、例えば、約0.5インチから約3インチ、例えば約15インチから約20インチである。高さ250によってもたらされる共振キャビティ245の高さは、多くの利点を提供する。1つの利点は、その中で処理されている基板に応力によって誘発される反りを低減する、膜応力の低減を含む。
図3A及び3Bは、処理チャンバ100の概略的な断面図である。基板支持体115を含むカソードアセンブリ300が図3A及び3Bに示されている。カソードアセンブリ300は、Z方向に垂直にカソードアセンブリ300を移動させるアクチュエータ305(図1に示されるアクチュエータ175と同様)に結合される。
図3Aは、リッドアセンブリ105に対して最も低い位置(例えば、第1の距離180A)にあるカソードアセンブリ300を示しており、図3Bは、リッドアセンブリ105に対して最も高い位置(例えば、第2の距離180B)にあるカソードアセンブリ300を示している。堆積プロセスのためにカソードアセンブリ300の位置を調整する能力は、最適な膜品質の実現を可能にする。
カソードアセンブリ300は設備インターフェース310も含む。設備インターフェース310は、RF電力並びに他の電気的及び流体的接続のための接続を提供する。設備インターフェース310は、設備ケーブル178を介して基板支持体115に結合される。他の接続は、電源315、クーラント源320、及びガス供給325を含む。
電源315は、基板支持体115の一部である静電チャック330に電力供給するために利用される。電源315はDC電源でありうる。デチャックは、静電チャック330を流出させるコントローラ(図示せず)によって促進される。加えて、設備ケーブル178は、任意選択的にマッチング・ネットワークを介して電源170に結合されて、処理チャンバ100内の動作を促進する。一例では、設備ケーブル178は、PECVDプロセス中のRF電力の転送を促進する。スペーサ110によって生成される比較的大きい容積、及びPECVDプロセス中に処理チャンバ100が動作する圧力に起因して、プラズマを確立し、維持するために、より高い電力レベル(従来のPECVDプロセスと比較して)が用いられる。例えば、設備ケーブルは、PECVDプロセス中に、110アンペアで約4kWから約9kWの電力を伝送することができる。アンペア数は、調整された構成で磁石225を利用する場合に、膜品質を低下させることなく、約78アンペアから約80アンペアの範囲に低減することができると考えられる。
クーラント源320は、基板支持体115を冷却するクーラントを含む。例えば、クーラント源320からのクーラントは、基板支持体115へと流されて、静電チャック330(及び/又はその上に位置決めされた基板)の温度を摂氏約25度以下に維持する。静電チャック330(及び/又はその上に位置決めされた基板)は、摂氏約-40度以下の極低温に維持されてもよい。極低温は、イオンを、基板145の上方に面した表面及び/又は基板145上に配置された材料に衝突することができるようにし、自発的な堆積又はエッチングを減少させ、堆積又はエッチングされた膜の均一性及び特性の改善をもたらす。クーラントは、極低温を維持するための流体、例えばパーフルオロポリエーテルフッ素化流体を含む。
ガス供給325は、凝縮を防止するために、静電チャック330の下の空間に流体を提供する。流体は、クリーンな乾燥した空気、窒素(N)、ヘリウム(He)、又は他の適切なガスでありうる。静電チャックの下の空間に供給される流体は、その下面を含めた静電上への凝縮を低減する。
基板支持体115の冷却、加熱されたスペーサ110(図2A及び2Bに示されるヒータ素子205を使用する)、及び本明細書に記載される共振キャビティ245の組合せの1つ又は幾つかは、多くの利点を提供する。基板の温度が低いと、堆積速度が増加し、基板の反りが減少する。スペーサ110及び/又はライナ200の温度を摂氏約100度未満に維持することは、より効率的な洗浄を促進し、及び/又はライナ20への粒子の付着を最小限に抑える。ライナ200の冷却を促進するために、1つ以上の冷却チャネルをスペーサ110に形成することができる。
図3A及び3Bには支持構造335も示されている。1つのみが示されているが、支持構造335は、その中に形成された3つの減圧チャネル340を有する。減圧チャネル340は可変圧力システム120に結合される。減圧チャネル340は、処理容積160からの対称的なポンピングを促進する。
カソードアセンブリ300は、ベースリング340及び任意選択的な取り付けプレート345に結合される。ファスナ355は、ベースリング340から延在して、その上に基板支持体115を固定する。
図4Aは、基板支持体115の一実施形態の概略的な断面図である。図4Bは、図4Aに示される基板支持体115の一部の拡大断面図である。
上述したように、基板支持体115は静電チャック330を含む。静電チャック330はパック400を含む。パック400は、その中に埋め込まれた1つ以上の電極405を含む(第1の電極405A及び第2の電極405Bが図4Bに示されている)。第1の電極405Aはチャッキング電極として利用され、第2の電極405BはRFバイアス電極として利用される。基板支持体115は、第2の電極405Bに約300kHzから約60MHzの周波数でRF電力を提供することによってバイアスすることができる。第2の電極405Bに提供される周波数は、パルス化されてもよい。パック400は、セラミック材料、例えば窒化アルミニウム(AlN)などの誘電体材料から形成される。
パックは、誘電体プレート410及びベースプレート415によって支持される。誘電体プレート410は、石英などの電気絶縁性材料、又はREXOLITE(登録商標)という商品名で販売されている高性能プラスチックなどの熱可塑性材料から形成することができる。ベースプレート415は、アルミニウムなどの金属材料から作ることができる。動作中、ベースプレート415は、地面に結合されるか、又はパック400がRFホットである間、電気的に浮遊している。少なくともパック400及び誘電体プレート410は、絶縁体リング420に取り囲まれている。絶縁体リング420は、石英、ケイ素、又はセラミック材料などの誘電体材料から作ることができる。ベースプレート415及び絶縁体リング420の一部は、アルミニウムでできた接地リング425に取り囲まれている。絶縁体リング420は、動作中、パック400とベースプレート415との間のアーク放電を防止するか、又は最小限に抑える。設備ケーブル178の端部は、パック400、誘電体プレート410、及びベースプレート415に形成された開口部に示されている。パック400の電極、並びにガス供給325(図3A及び3Bに示されている)から基板支持体115への流体のための電力は、設備ケーブル178によって提供される。
エッジリング422は、絶縁体リング420の内周に隣接して配置される。エッジリング422は、とりわけ、石英、ケイ素、架橋ポリスチレン、及びジビニルベンゼン(例えば、REXOLITE(登録商標))、PEEK、Al、AINなどの誘電体材料を含みうる。このような誘電体材料を含むエッジリング422を利用することは、プラズマ電力を変更する必要なしに、プラズマ結合を変調し、基板支持体における電圧(Vdc)などのプラズマ特性を変調するのに役立ち、したがって、基板上に堆積されるハードマスク膜の特性を改善する。エッジリング422の材料によってウエハ又は基板へのRF結合を変調することにより、膜の弾性率を膜の応力から分離することができる。
パック440、誘電体プレート410、及びベースプレート415の各々は、設備ケーブル178を収容するために、その中に又はそれを通して形成されたそれぞれ軸方向に位置合わせされた開口部を含む。パック440は、設備ケーブル178と係合するように形作られた開口部495を含む。例えば、開口部495は、設備ケーブル178を受け入れるための雌型レセプタクルとして構成することができる。誘電体プレート410は、開口部495と軸方向に位置合わせされた開口部496を含む。開口部496は、開口部495の直径にほぼ等しい直径を有する上部496a、上部の直径より大きい直径の直径を有する中間部496b、及び中間部496bの直径よりも大きい直径を有する下部496cを含む。ベースプレート415は、第1の直径を有する上部497a、及び第1の直径より小さい第2の直径を有する下部497bを有する開口部497を含む。開口部496、496、及び497の複数の直径は、その中の設備ケーブル178の固定を容易にする。
パック400は、その中に形成された複数の流体チャネル430を含む。流体チャネル430の各々は、入り口チャネル432と流体連結している。入り口チャネル432は、入り口導管434に流体的に結合される。入り口導管434はクーラント源320に結合される。流体チャネル430及び入り口チャネル432の各々は、キャッププレート436によって密封される。キャッププレート436は、パック400と同じ材料、又はアルミニウムから作られてよく、流体チャネル430及び入り口導管434を密封するために、パック400に溶接又は他の方法で結合することができる。図示されていないが、入り口導管434と同様の出口導管が、冷却流体がその中で再循環することができるように、基板支持体115に提供される。
入り口導管434の一部は、管状部材438によって形成される。管状部材438は、セラミック材料などの誘電体材料から形成される。シール440は、キャッププレート436及びベースプレート415に隣接する管状部材438の端部に設けられる。管状部材438は、そこを通って流れる冷却流体によって生じうるアーク放電を防止する。管状部材438はまた、誘電体プレート410の亀裂を防止するために、誘電体プレート410を、その中に流れる比較的冷たい冷却流体から熱的に絶縁することができる。
基板支持体115は、複数のリフトピン442も含む(図4Aには1つのみ示されている)。リフトピン442の各々は、誘電体ブッシング444内に移動可能に配置される。リフトピン442の各々は、AlN、サファイア、石英などのセラミック材料から形成することができる。誘電体ブッシング444は、パック400、誘電体プレート410、及びベースプレート415の各々に、又はそれらを通して提供される。誘電体ブッシング444は、ポリテトラフルオロエチレン(PTFE)材料などのポリマー材料でできている。誘電体ブッシング444は、リフトピン442が案内される、その長さ方向に沿った開口部446を含む。開口部446は、誘電体ブッシング444にコンダクタンス経路が形成されるように、リフトピン442の寸法(直径)よりもわずかに大きいサイズである。例えば、開口部446は、減圧コンダクタンスが処理容積160と、誘電体ブッシング444を通して可変圧力システム120内との間に提供されるように、可変圧力システム120に結合される。開口部446によって提供されるコンダクタンス経路は、リフトピンのアーク放電を防止する。誘電体ブッシング444は、変化する直径のセクションである複数の段状部448を含む。段状部448は、電気が移動しうる経路の長さを増加させることによって、並びに経路に沿って曲がり角を導入することによって、パック400とベースプレート415との間のアーク放電を低減する。
基板支持体115はまた、複数のファスナデバイス450も含む(1つのみが示されている)。ファスナデバイス450は、パック400を誘電体プレート410に取り付けるために利用される。各ファスナデバイス450は、ファスナ452、金属ワッシャ454、及びファスナキャップ456を含む(ワッシャ454及びファスナキャップ456は図4Bに示されている)。ファスナ452が締められると、ワッシャ454は、誘電体プレート410に形成された開口460の表面458に対して付勢される。ワッシャ454及びファスナ452は、ステンレス鋼などの金属材料から作られる。ワッシャ454は、丸みを帯びた上部隅部462を含む。丸みを帯びた上部隅部462は、ファスナ452が締められたときに誘電体プレート410の材料の亀裂を防止する。
ファスナキャップ456は、誘電体プレート410の開口部460の残りを満たすために利用される。ファスナキャップ456は、ファスナ452のヘッドを受け入れるようなサイズのポケット464を含む。ファスナキャップ456は、例えばポリエーテルエーテルケトン(PEEK)などの誘電体材料から形成される。ファスナキャップ456の外面は、段状部466を含む。段状部466は、電気が移動しうる経路の長さを増加させることによって、パック400とベースプレート415との間のアーク放電を低減する。
基板支持体115は、それらの層間に複数の間隙も含む。第1の間隙470は、パック400と誘電体プレート410との間に提供される。第2の間隙472は、誘電体プレート410とベースプレート415との間に提供される。第1の間隙470及び第2の間隙472は、図3A及び3Bに示され、説明されるガス供給325と流体連結している。ガス供給325からの流体は、隣接する層間の凝縮を防止するために、第1の間隙470及び第2の間隙472に流される。第1の間隙470及び第2の間隙472内の流体は、エッジリング420によって基板支持体115の端部で密封される。エッジリング420は、第1の間隙470及び第2の間隙472からの流体の制御された漏れを提供するようなサイズにすることができる。
図5A~5Dは、支持構造335及びカソードアセンブリ300の一部のさまざまな図である。図5Aは、支持構造335の上のベースリング340を示す斜視図である。図5Bは、ベースリング340及び支持構造335とインターフェースする、リフトピンスパイダ500を示している。図5Cは、リフトピンスパイダ500がその中にアセンブリされている、カソードアセンブリ300の拡大部分断面図である。図5Dは、リフトピンスパイダ500がその中にアセンブリされている、カソードアセンブリ300の別の拡大部分断面図である。
図5A及び図5Bに示されるように、リフトピンスパイダ500は、それに結合されたピングリップ装置510を有するリング本体505(図5Bに示される)を含む。各ピングリップ装置510は、リフトピン442(図5Bに示される)を支持する。リフトピンスパイダ500は、リフトシャフト520を収容するベローズ515も含む(両方とも図5B~5Dに示される)。リング本体505は、円形又はほぼ円形の外側エッジ560、及び内側エッジ561を含む。内側エッジ561は円形であり、1つ以上のローブを含む(2つの副ローブ562と、該副ローブ562よりサイズが大きい1つの主ローブ563とが示されている)。ローブ562、563は、互いにほぼ等しい角度距離(例えば、約120度)で離間されており、それに取り付けられたリフトピンを含む。加えて、リフトシャフト520は、それぞれのリフトピン442の反対側において、主ローブ563に結合されている。このような例では、リフトシャフト520及びそれぞれのリフトピンは軸方向に位置合わせされているが、他の構成も想定されている。
リング本体505は、減圧チャネル525(図5A、5C及び5Dに示されている)に適合し、かつ垂直に(Z方向に)移動するように適合されている。リング本体505の垂直方向の移動は、アクチュエータ530(図5Cに示されている)によって提供され、ピングリップ装置510に結合されたリフトピン442を昇降させる。減圧チャネル525は、1つ以上の減圧導管535(図5A及び5Dに示されている)を介して可変圧力システム120と流体連結している。このように、コンダクタンス経路は、誘電体ブッシング444の開口部446を介してリフトピン442の周りに形成される。
図5Cを参照すると、リフトピン442は、ベースリング340に形成された開口部540を通って、ピングリップ装置510から誘電体ブッシング444まで延びる。
各ピングリップ装置510は、グリッパ546、グリッパステム548及びグリッパ筐体550を含む。グリッパ筐体550は、該グリッパ筐体550をスタンドオフ554に結合する第1のファスナ552Aに結合される。第2のファスナ552Bがスタンドオフ554をリング本体505に結合する。ファスナプレート556が第2のファスナ552Bをリング本体505に固定する。ファスナプレート556及び第2のファスナ552Bは、リング本体505の下面に形成された凹部558内に位置決めされている。
グリッパ筐体550、第1のファスナ552A、第2のファスナ552B、ファスナプレート556、及びベローズ515は、ステンレス鋼などの金属材料から製造される。グリッパ546、グリッパステム548、スタンドオフ554、及びリング本体505は、ポリマー又はセラミック材料などの誘電体材料から製造することができる。特定の例では、グリッパ546及びグリッパステム548は、ポリイミドプラスチック材料などのプラスチック材料から作ることができる。スタンドオフ554は、PEEK材料から作ることができる。リング本体505は、酸化アルミニウム(Al)から作ることができる。リング本体505及びピングリップ装置510の中又は上にある金属材料は、カソードアセンブリ300の動作中に接地されるか、又は電気的に浮遊している。
図6A~6Cは、設備ケーブル178のさまざまな断面図である。設備ケーブル178は、第1の端部600及び第2の端部605を含む。第1の端部600は基板支持体115とインターフェースし、第2の端部605は設備インターフェース310とインターフェースする(図3A及び3Bに示されている)。
設備ケーブル178は、単一片の導体(すなわち、単一のユニット又はモノリス)である。この実施形態による設備ケーブル178は、従来のケーブルに対する改良である。例えば、設備ケーブル178は、従来の導体が2つの導体のL字型又は90度の接続を有するであろう曲げ部610(例えば、湾曲した又は弧状のセクション)を含む。曲げ部610は、従来の90度の接続でのアーク放電を排除し、導体に沿った電流の流れを改善する。単一片の設計はまた、従来の導体と比較して、より小さい外径を有しつつ、より堅牢な絶縁を提供する。幾つかの例では、設備ケーブル178は、直線状の設備ケーブル178を形成し、その後曲げるのとは対照的に、設備ケーブル178の複合層間での接触を最大化する曲げ形状で製造される。設備ケーブル178を予め曲げた形状に製造することにより、直線的に形成されたケーブルを湾曲させるときに曲げ部の周りに生じうる材料のしわを回避する。このようなしわは、不注意に抵抗を増加させるか、又はアーク放電を誘発する可能性がある。上記のように、設備ケーブル178は、アクチュエータ175(図1に示される)の作動に基づいて、屈曲し、これが基板支持体115の垂直方向の移動を提供する。
図6Bは、設備ケーブル178の第1の端部600の断面図である。第1の端部600は、誘電体シース625から延びるコネクタ620を含む。図6Bは、設備ケーブル178の第2の端部605の断面図である。第2の端部605もまた、誘電体シース625から延びるコネクタ630を含む。
コネクタ620及びコネクタ630は、誘電体シース625内の中央に位置する第1の導体635を含む。誘電体シース625は、基板支持体115との結合を可能にするために、設備ケーブル178の端部620の終端までは延在しない。コネクタ620及びコネクタ630はまた、第1の導体635を実質的に取り囲む第2の導体640も含む。
コネクタ620を参照すると、第1の導体635は、導電性筐体650によって第1の導体635に結合されるソケット645に接続されている。ソケット645及び導電性筐体650は、ねじ接続部655を含む。コネクタ630を参照すると、第1の導体635は、オス型インサート660に結合される。
第1の導体635及び第2の導体640は、誘電体材料665及び空間又は間隙670の一方又は両方によって電気的に分離されている。誘電体材料665は、PEEK、PTFE、又は他のポリマー及び/又は電気絶縁性材料などのポリマー材料でありうる。第1の導体635、第2の導体640の両方、ソケット645、導電性筐体650、及びオス型インサート660は、銅などの導電性金属でできている。曲げ部610では、第2の導体640の薄化及び誘電体材料665の崩壊(すなわち、設備ケーブル178の歪み)は、第2の導体640からの誘電体材料665の分離を引き起こす可能性がある。この分離は、曲げ部610でのアーク放電を生じさせる可能性がある。曲げ部610における予め曲げられた設備ケーブル178の前処理、例えば応力緩和及び/又はアニーリングは、第2の導体640の潜在的な薄化及び誘電体材料665の崩壊に起因する設備ケーブル178の起こりうる歪みを排除する。
本明細書に記載される設備ケーブル178の構造は、従来の導体の長さよりも長い長さ680を含む第2の導体640の露出したインターフェース表面675を提供する。加えて、本明細書に記載される設備ケーブル178の構造は、オス型インサート660のためのショルダ領域又はストップ685を提供する。
図7は、リッドアセンブリ105の別の実施形態の概略的な断面図である。この実施形態によるリッドアセンブリ105は、熱交換器130の一部に形成されたドーム構造700を含む。ドーム構造700は、フランジ705を通るプラズマの流れを促進するためにそれを通して軸方向に形成された開口部706を有するフランジ705によって遠隔プラズマ源150に結合される。ドーム構造700はガス分配アセンブリ710にも結合される。
ガス分配アセンブリ710は、第1の処理ガス源140及びパージガス源715を含む。第1の処理ガス源140及びパージガス源715は両方とも、バルブ(図示せず)によってガス分配アセンブリ710に結合される。ガス分配アセンブリ710はバッフルプレート720も含む。バッフルプレート720は、遠隔プラズマ源150から励起された洗浄ガスを拡散するために利用される。バッフルプレート720は、1つ以上のブラケット708によってガス分配アセンブリ710の内面707に結合される。ブラケット708は、スポークアンドハブ構成において等角距離で間隔を開けられている(120度で間隔を開けて配置された3つのブラケットなど)。バッフルプレート720は、中心開口部725も含む。中心開口部725は、それぞれの供給源から処理ガス及びパージガスの一方又は両方を供給するために利用されうる。
熱交換器130は、入り口730及び出口735を含む。熱交換用流体は、入り口730から、ドーム構造700に形成されたチャネル740を通って流れ、出口735から出る。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく、本開示の他の実施形態及びさらなる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 処理チャンバであって、
    リッドアセンブリ;
    スペーサによって前記リッドアセンブリに結合されたチャンバ本体であって、前記スペーサ及び前記チャンバ本体が共振キャビティを画成する、チャンバ本体;
    前記共振キャビティの外側の前記スペーサに結合された回転可能な磁気アセンブリ;及び
    前記共振キャビティ内に配置され、移動可能な基板支持体であって、該基板支持体に結合されたアクチュエータの動作に基づいて屈折する設備ケーブルに結合される、基板支持体
    を含む、処理チャンバ。
  2. 前記リッドアセンブリが熱交換器を含む、請求項1に記載の処理チャンバ。
  3. 前記スペーサが複数のヒータ素子も含む、請求項1に記載の処理チャンバ。
  4. 前記スペーサが、前記共振キャビティを取り囲むライナを含む、請求項1に記載の処理チャンバ。
  5. 前記ライナが第1の部分及び第2の部分を含み、前記第2の部分が、前記第1の部分の厚さよりも薄い厚さを含む、請求項4に記載の処理チャンバ。
  6. 前記回転可能な磁気アセンブリが、磁気アクチュエータに結合された複数の磁石を含む、請求項1に記載の処理チャンバ。
  7. 前記チャンバ本体に結合された可変圧力システムをさらに含む、請求項1に記載の処理チャンバ。
  8. 前記可変圧力システムが、第1のポンプ及び第2のポンプを含む、請求項7に記載の処理チャンバ。
  9. 前記設備ケーブルが単一片の導体を含む、請求項1に記載の処理チャンバ。
  10. 処理チャンバであって、
    シャワーヘッドを含むリッドアセンブリ;
    スペーサによって前記リッドアセンブリに結合されたチャンバ本体であって、前記スペーサ及び前記チャンバ本体が共振キャビティを画成する、チャンバ本体;
    前記共振キャビティの外側の前記スペーサに結合された磁気アセンブリ;及び
    前記共振キャビティ内に配置された基板支持体であって、設備ケーブルに結合され、かつ、複数の電極を含むパックを有する静電チャックを含む、基板支持体
    を含む、処理チャンバ。
  11. 前記設備ケーブルが単一片の導体を含む、請求項10に記載の処理チャンバ。
  12. 前記スペーサが複数のヒータ素子も含む、請求項10に記載の処理チャンバ。
  13. 前記スペーサが、前記共振キャビティを取り囲むライナを含む、請求項10に記載の処理チャンバ。
  14. 前記ライナが第1の部分及び第2の部分を含み、前記第2の部分が、前記第1の部分の厚さよりも薄い厚さを含む、請求項13に記載の処理チャンバ。
  15. 処理チャンバであって、
    シャワーヘッドを含むリッドアセンブリ;
    スペーサによって前記リッドアセンブリに結合されたチャンバ本体であって、前記スペーサ及び前記チャンバ本体が共振キャビティを画成する、チャンバ本体;
    前記共振キャビティの外側の前記スペーサに結合された磁気アセンブリであって、前記共振キャビティの高さに対して垂直方向に磁石の各々を動かすように構成された磁気アクチュエータに結合された複数の磁石を含む、磁気アセンブリ;及び
    前記共振キャビティ内に配置された基板支持体であって、設備ケーブルに結合され、かつ、複数の電極を含むパックを有する静電チャックを含む、基板支持体
    を含む、処理チャンバ。
JP2021569407A 2019-05-24 2020-05-18 基板処理チャンバ Pending JP2022534885A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962852723P 2019-05-24 2019-05-24
US62/852,723 2019-05-24
US201962888666P 2019-08-19 2019-08-19
US62/888,666 2019-08-19
PCT/US2020/033377 WO2020242799A1 (en) 2019-05-24 2020-05-18 Substrate processing chamber

Publications (2)

Publication Number Publication Date
JP2022534885A true JP2022534885A (ja) 2022-08-04
JPWO2020242799A5 JPWO2020242799A5 (ja) 2023-05-25

Family

ID=73457722

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021569407A Pending JP2022534885A (ja) 2019-05-24 2020-05-18 基板処理チャンバ

Country Status (7)

Country Link
US (1) US11560626B2 (ja)
JP (1) JP2022534885A (ja)
KR (1) KR20220000408A (ja)
CN (1) CN114072898A (ja)
SG (1) SG11202112769WA (ja)
TW (1) TW202106125A (ja)
WO (1) WO2020242799A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11959174B2 (en) 2020-02-28 2024-04-16 Applied Materials, Inc. Shunt door for magnets in plasma process chamber
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
KR102589182B1 (ko) * 2021-08-31 2023-10-16 피에스케이 주식회사 기판 처리 장치 및 유전체 판 정렬 방법
CN115261820B (zh) * 2022-09-20 2023-01-20 拓荆科技(上海)有限公司 一种反应腔结构及其半导体设备

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69815163T2 (de) 1997-01-24 2004-05-06 Applied Materials, Inc., Santa Clara Verfahren und Vorrichtung zur Abscheidung von Titanschichten
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
JP4812991B2 (ja) * 2001-09-20 2011-11-09 東京エレクトロン株式会社 プラズマ処理装置
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
FR2884079B1 (fr) 2005-03-31 2007-09-07 Valeo Equip Electr Moteur Commande d'un transistor mos
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20080062609A1 (en) * 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20140335700A1 (en) 2013-05-10 2014-11-13 Infineon Technologies Ag Carbon Layers for High Temperature Processes
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US20180277340A1 (en) 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US11043372B2 (en) 2017-06-08 2021-06-22 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask

Also Published As

Publication number Publication date
WO2020242799A1 (en) 2020-12-03
CN114072898A (zh) 2022-02-18
KR20220000408A (ko) 2022-01-03
US11560626B2 (en) 2023-01-24
SG11202112769WA (en) 2021-12-30
US20200370177A1 (en) 2020-11-26
TW202106125A (zh) 2021-02-01

Similar Documents

Publication Publication Date Title
US11560626B2 (en) Substrate processing chamber
CN112136202B (zh) 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备
US8962454B2 (en) Method of depositing dielectric films using microwave plasma
US11721545B2 (en) Method of using dual frequency RF power in a process chamber
WO2019138654A1 (ja) プラズマ処理装置及びプラズマ処理方法
EP2863416B1 (en) Method for etching copper layer
US11368003B2 (en) Seamless electrical conduit
US11270905B2 (en) Modulating film properties by optimizing plasma coupling materials
JP2023118883A (ja) プラズマ処理装置
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
US7456111B2 (en) Plasma etching method and plasma etching apparatus
US20230377855A1 (en) Lower deposition chamber ccp electrode cleaning solution
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
JP7123287B1 (ja) エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム
JP2023547089A (ja) ハードマスクを形成する方法
TW202225451A (zh) 以介電陳化膜陳化靜電夾盤的系統與方法
JP2022074000A5 (ja)
CN115440562A (zh) 喷淋头、电极组件、气体供给组件、基片处理装置和系统

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230517

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230517

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240423