TW202106125A - 基板處理腔室 - Google Patents

基板處理腔室 Download PDF

Info

Publication number
TW202106125A
TW202106125A TW109117013A TW109117013A TW202106125A TW 202106125 A TW202106125 A TW 202106125A TW 109117013 A TW109117013 A TW 109117013A TW 109117013 A TW109117013 A TW 109117013A TW 202106125 A TW202106125 A TW 202106125A
Authority
TW
Taiwan
Prior art keywords
processing chamber
spacer
coupled
substrate support
cover assembly
Prior art date
Application number
TW109117013A
Other languages
English (en)
Inventor
提蒙西喬瑟夫 富蘭克林
巴契亞當 費雪
愛德華 海伍德
亞伯希吉特B 馬禮克
帕拉米特 曼納
卡拉頓 王
史帝芬C 加納
艾斯華倫納德 凡卡塔蘇巴拉馬尼恩
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202106125A publication Critical patent/TW202106125A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭示內容的實施例大體係關於在半導體元件的製造中使用的設備和方法。更特定而言,本揭示內容的實施例係關於用於形成半導體元件的基板處理腔室及其部件。

Description

基板處理腔室
本揭示內容的實施例大體上係關於在半導體元件的製造中使用的設備和方法。更特定而言,本揭示內容的實施例係關於用於形成半導體元件的基板處理腔室及其部件。
積體電路已經發展成為複雜的元件,可以在單個晶片上包含數百萬個電晶體、電容器和電阻器。晶片設計的發展不斷涉及更快的電路系統和更大的電路密度。對具有更大電路密度的更快電路的需求,對用於製造這種積體電路的材料提出了相應的需求。特定而言,隨著積體電路部件的尺寸減小到次微米級,存在使用低電阻率導電材料以及低介電常數絕緣材料以從此類部件獲得合適的電效能的趨勢。
對於更大的積體電路密度的需求,也對在積體電路部件的製造中使用的處理序列提出了要求。例如,在使用習知光刻技術的處理序列中,在設置在基板上的材料層的堆疊上方形成能量敏感抗蝕劑(energy sensitive resist)層。將能量敏感抗蝕劑層暴露於圖案的圖像,以形成光阻劑遮罩。此後,使用蝕刻處理將遮罩圖案轉移到堆疊的一個或多個材料層。選擇用於蝕刻處理的化學蝕刻劑,以使對堆疊的材料層的蝕刻選擇性大於對能量敏感抗蝕劑的遮罩的蝕刻選擇性。換言之,化學蝕刻劑以比能量敏感抗蝕劑快得多的速率蝕刻材料堆疊的一層或多層。對抗蝕劑上的堆疊的一個或多個材料層的蝕刻選擇性,防止能量敏感抗蝕劑在完成圖案轉移之前被消耗。
隨著圖案尺寸的減小,能量敏感抗蝕劑的厚度相應地減小,以便控制圖案解析度。由於化學蝕刻劑的侵蝕,這種薄的抗蝕劑層可能不足以在圖案轉移過程中掩蓋下面的材料層。通常在能量敏感抗蝕劑層和下面的材料層之間使用稱為硬遮罩的中間層(例如,氮氧化矽、碳化矽或碳膜),因為對化學蝕刻劑的抵抗力更大,因此有助於圖案轉移。通常使用具有高蝕刻選擇性和高沉積速率的硬遮罩材料。隨著臨界尺寸(CD)的減小,當前的硬遮罩材料相對於下面的材料(例如,氧化物和氮化物)缺乏所需的蝕刻選擇性,並且通常難以沉積。因此,在本領域中需要用於製造半導體元件的改進的方法和設備。
本揭示內容的實施例大體係關於在半導體元件的製造中使用的設備和方法。更特定而言,本揭示內容的實施例係關於用於形成半導體元件的基板處理腔室及其部件。
在一個實施例中,揭示了一種處理腔室,處理腔室包括蓋組件、腔室主體,腔室主體藉由間隔墊耦合至蓋組件。間隔墊和腔室主體限定共振腔。處理腔室還包含可旋轉的磁體組件,可旋轉的磁體組件耦合到共振腔外部的間隔墊,以及基板支座,基板支座設置在共振腔內並可在共振腔內移動,其中基板支座耦合至設施電纜,設施電纜基於耦接至基板支座的致動器的操作而彎曲。
在另一個實施例中,揭示了一種處理腔室,處理腔室包括蓋組件、腔室主體,蓋組件包含噴淋頭,腔室主體藉由間隔墊耦合至蓋組件。間隔墊和腔室主體限定共振腔。處理腔室還包含磁體組件,磁體組件耦合到共振腔外部的間隔墊,以及基板支座,基板支座設置在共振腔內,其中基板支座耦合至設施電纜並包含靜電吸盤,靜電吸盤具有圓盤,圓盤包含複數個電極。
在另一個實施例中,揭示了一種處理腔室,處理腔室包括蓋組件、腔室主體,蓋組件包含噴淋頭,腔室主體藉由間隔墊耦合至蓋組件。間隔墊和腔室主體限定共振腔。處理腔室還包含磁體組件,磁體組件耦合到共振腔外部的間隔墊,以及基板支座,基板支座可移動地設置在共振腔內,其中基板支座耦合至設施電纜,設施電纜包含單片導體,單片導體包含彎曲部,且其中基板支座包含靜電吸盤,靜電吸盤具有圓盤,圓盤包含複數個電極。
本揭示內容的實施例係關於一種在電子元件的製造中的基板處理中使用的基板處理腔室。基板處理包括用於在基板上製造電子元件的沉積處理、蝕刻處理以及其他低壓處理、電漿處理、熱處理處理。可以適於從本揭示內容的示例性態樣中受益的處理腔室和/或系統的示例,是可從位於美國加州聖克拉拉的應用材料公司(Applied Materials, Inc.)商購獲得的Producer® APFTM PECVD系統。可以想到,其他處理腔室和/或處理平台,包括來自其他製造商的處理腔室和/或處理平台,可以適於從本揭示內容的態樣中受益。
本文揭示的沉積室的實施例可用於製造記憶體元件,特別是用於在製造記憶體元件期間使用的硬遮罩的沉積。當前的記憶體元件能夠在不施加電壓的情況下在很長的時間內保持所存儲的資料,並且這種記憶體元件的讀取速率相對較高。抹除所存儲的資料並將資料重寫到記憶體元件中是相對容易的。因此,記憶體元件已被廣泛用於微電腦和自動控制系統等等中。為了增加記憶體元件的位元密度並降低每位元的成本,已經開發了3D NAND(三維反及)記憶體元件。還開發了其他記憶體元件,例如DRAM(動態隨機存取記憶體)、EM(擴展記憶體)和ReRAM(電阻隨機存取記憶體)以及用於其形成的高級硬遮罩材料,以進一步促進半導體產業技術的進步。
正在探索用於3D NAND技術的垂直閘3D記憶體單元,以在記憶體單元層數增加時降低成本。由於材料整合的優勢,氧化物/矽和氧化物/氮化物疊層是有用的,但是隨著記憶體單元層數量增加,層的厚度成為限制因素。因此,儘管有興趣減小記憶體單元層的厚度,但是隨著層厚度減小,氧化物品質(即擊穿電壓)、矽電阻率和高深寬比蝕刻的問題仍然存在。
第1圖是適於進行沉積處理的說明性處理腔室100的示意性側視截面圖。在可與本文所述的其他實施例結合的一個實施例中,處理腔室100可配置成將先進的圖案化膜沉積在基板上,例如硬遮罩膜,例如非晶碳硬遮罩膜。
處理腔室100包括蓋組件105、設置在腔室主體192上的間隔墊110、基板支座115和可變壓力系統120。蓋組件105包括蓋板125和熱交換器130。在所示的實施例中(並可以與本文所述的其他實施例結合),蓋組件105還包括噴淋頭135。然而,在其他實施例中(並可與本文描述的其他實施例結合),蓋組件105包括凹形或圓頂形的氣體引入板(如第7圖所示)。
蓋組件105耦接至第一處理氣體源140。第一處理氣體源140包含用於在支撐在基板支座115上的基板145上形成膜的前驅物氣體。作為示例,第一處理氣體源140包括諸如含碳氣體、含氫氣體、氦等等的前驅物氣體。在特定示例中,含碳氣體包括乙炔(C2 H2 )。第一處理氣體源140將前驅物氣體提供給設置在蓋組件105中的氣室190。蓋組件包括一個或多個通道,用於將前驅物氣體從第一處理氣體源140導入氣室190。前驅物氣體從氣室流經噴淋頭135進入處理空間160。在可以與本文描述的其他實施例結合的一些實施例中,第二處理氣體源142經由穿過間隔墊110設置的入口144流體耦接至處理空間160。作為示例,第二處理氣體源142包括前驅物氣體,例如含碳氣體、含氫氣體、氦氣等等,諸如C2 H2 。在一個可以與本文所述的其他實施例結合的一些實施例中,進入處理空間160的前驅物氣體的總流速為約100sccm至約2slm。經由第二處理氣體源142在處理空間160中的前驅物氣體的流動,調節流經噴淋頭135的前驅物氣體的流動,使得前驅物氣體均勻地分佈在處理空間160中。在一實例中,複數個入口144可圍繞間隔墊110徑向分佈。在這樣的示例中,可以分別控制流到每個入口144的氣流,以進一步提高處理空間160內的氣體均勻性。
蓋組件105還耦合至可選的遠端電漿源150。遠端電漿源150耦合到清潔氣體源155,以向形成在蓋組件105和基板145之間的間隔墊110內部的處理空間160提供清潔氣體。在一示例中,透過中央導管191提供清潔氣體,中央導管191軸向穿過蓋組件105而形成。在另一個示例中,透過引導前驅物氣體的相同通道提供清潔氣體。示例性清潔氣體包括諸如氧氣和/或臭氧的含氧氣體,以及諸如NF3 的含氟氣體,或其組合。
除了遠端電漿源150之外或作為遠端電漿源150的替代,蓋組件105還耦合至第一或上射頻(RF)電源165。第一RF電源165有助於維持或產生電漿,例如從清潔氣體產生的電漿。在一個示例中,省略了遠端電漿源150,並且清潔氣體經由第一RF電源165原位游離為電漿。基板支座115耦合到第二或下部RF電源170。第一RF電源165可以是高頻RF電源(例如,大約13.56MHz至大約120MHz),第二RF電源170可以是低頻RF電源(例如,大約2MHz至大約13.56 MHz)。要注意的是,也可以考慮其他頻率。在一些實施方式中,第二RF功率源170是混合頻率RF功率源,提供高頻和低頻功率。特別是對於第二RF電源170,雙頻RF電源的使用改善了膜沉積。在一示例中,利用第二RF功率源170提供雙頻功率。約2MHz至約13.56MHz的第一頻率改善了物質向沉積膜的植入,而約13.56MHz至約120MHz的第二頻率提高了膜的游離和沉積速率。
第一RF功率源165和第二RF功率源170中的一個或兩個被用於在處理空間160中產生或維持電漿。例如,可以在沉積過程中利用第二RF電源170,而在清潔過程中可以利用第一RF電源165(單獨或與遠端電漿源150結合使用)。在一些沉積過程中,第一RF電源165與第二RF電源170結合使用。在沉積或蝕刻處理期間,第一RF功率源165和第二RF功率源170中的一個或兩個在處理空間160中提供約100瓦(W)至約20,000W的功率,以促進前驅物氣體的游離。在可以與本文描述的其他實施例組合的一個實施例中,第一RF功率源165和第二RF功率源170中的至少一個被脈衝化。在可以與本文所述的其他實施例結合的另一個實施例中,前驅物氣體包括氦氣和C2 H2 。在可與本文所述的其他實施例結合的一個實施例中,以約10sccm至約1,000sccm的流速提供C2 H2 ,並且以約50sccm至約10,000sccm的流速提供He。
基板支座115耦合至致動器175(即升降致動器),致動器175提供其在Z方向上的運動。基板支座115還耦合至撓性的設施電纜178,這允許基板支座115的垂直運動,同時保持與第二RF功率源170以及其他功率和流體連接的連通。間隔墊110設置在腔室主體192上。間隔墊110的高度允許基板支座115在處理空間160內垂直移動。間隔墊110的高度為約0.5英寸至約20英寸。在一個示例中,基板支座115可相對於蓋組件105(例如相對於噴淋頭135的下表面)從第一距離180A移動到第二距離180B。在一個實施例中,第二距離180B約為第一距離180A的2/3。例如,第一距離180A和第二距離之間的差為大約5英寸至大約6英寸。因此,從第1圖所示的位置開始,基板支座115相對於噴淋頭135的下表面可移動約5英寸至約6英寸。在另一示例中,基板支座115被固定在第一距離180A和第二距離180B之一。與習知的電漿增強化學氣相沉積(PECVD)處理相比,間隔墊110大大增加了基板支撐115和蓋組件105之間的距離(並因此增加了它們之間的體積)。基板支座115與蓋組件105之間的增加的距離,減少了處理空間體積160中的游離物質的碰撞,從而導致膜沉積具有較小的中性應力,例如小於2.5吉帕斯卡(GPa)。以較小的中性應力沉積的膜,有改進在其上形成膜的基板的平面度(例如,較少的翹曲)。減少基板翹曲,可提高下游圖案化操作的精度。
可變壓力系統120包括第一泵182和第二泵184。第一泵182是可以在清潔處理和/或基板傳送處理中使用的粗抽泵。粗抽泵大體構造成用於移動較高的體積流量和/或操作相對較高的壓力(儘管仍低於大氣壓)。在一個示例中,在清潔處理中,第一泵182將處理腔室內的壓力維持在小於50毫托。在另一示例中,第一泵182在處理腔室內維持約0.5毫托至約10托的壓力。與清潔操作相比,在清潔操作期間利用粗抽泵有助於相對較高的壓力和/或清潔氣體的體積流量(相較於沉積操作)。在清潔操作期間相對較高的壓力和/或體積流量,改善了腔室表面的清潔。
第二泵184可以是渦輪泵和低溫泵中的一個。在沉積處理中利用第二泵184。第二泵184大體用於操作相對較低的體積流量和/或壓力。例如,第二泵184用於將處理腔室的處理區域160維持在小於約50毫托的壓力下。在另一個示例中,第二泵184在處理腔室內維持約0.5毫托至約10托的壓力。當沉積碳基硬遮罩時,在沉積期間保持的處理區域160的減小的壓力,有助於沉積具有減小的中性應力和/或增加的sp2 -sp3 轉化率的膜。因此,處理腔室100經配置以既利用相對較低的壓力來改善沉積,又利用相對較高的壓力來改善清潔。
在可以與本文描述的其他實施例結合的一些實施例中,在沉積處理中利用第一泵182和第二泵184兩者,以將處理腔室的處理區域160維持在小於約50毫托的壓力下。在其他實施例中,第一泵182和第二泵184將處理區域160維持在約0.5毫托至約10托的壓力下。閥186用於控制通向第一泵182和第二泵184中的一個或兩個的傳導路徑。閥186還提供從處理空間160的對稱泵送。
處理腔室100還包括基板傳送口185。基板傳送口185由內門186A和外門186B選擇性地密封。門186A和186B中的每一個都耦合至致動器188(即,門致動器)。門186A和186B有助於真空密封處理空間160。門186A和186B還在處理空間160內提供對稱的RF施加和/或電漿對稱。在一個示例中,至少門186A由有助於傳導RF功率的材料形成,例如不銹鋼、鋁或其合金。設置在間隔墊110和腔室主體192的界面處的密封件116(例如O形環)可以進一步密封處理空間160。耦合至處理腔室100的控制器194用於在處理期間控制處理腔室100的態樣。
第2A圖和第2B圖是第1圖的處理腔室100旋轉大約90度的示意性側視圖。在第2A圖和第2B圖中,示出了間隔墊110的細節。間隔墊110包括圍繞處理空間160的襯墊200。在可與本文描述的其他實施例結合的一個實施例中,間隔墊110和襯墊200由單個構件組成。襯墊200可以結合(例如擴散結合)到間隔墊110。
間隔墊110還包括嵌入在間隔墊110的主體中或與之熱連通的複數個加熱器元件205。加熱器元件205用於將間隔墊110的溫度保持在大約攝氏80度或更高。每個加熱器元件205可以是盒式加熱器。
襯墊200包括上部或第一部分210和下部或第二部分215。襯墊200的厚度,例如,部分210的厚度和第二部分215的厚度,可以改變。襯墊200的變化的厚度提供了控制處理空間160的下部中的流導的能力。控制處理空間160的下部中的流導,提供了將基板185暴露於實質均勻分佈的前驅物氣體的能力,以及使處理空間160均勻暴露於清潔氣體的能力,從而導致基板的處理更加均勻。在可以與本文描述的其他實施例組合的一個實施例中,第一部分210的厚度大於第二部分215的厚度。第二部分215的厚度減小的一個好處,是增加了基板支座115和間隔墊110的內壁之間的空間,從而增加了真空傳導率(vacuum conductance)。第二部分215的厚度減小的另一個益處是襯墊200的熱質量的改變。因此,與第一部分210相鄰或在其內的襯墊200的部分的溫度,被維持為高於與第二部分215相鄰或在其內的襯墊200的部分的溫度。
襯墊200包括要暴露於處理空間160的內表面202。在可以與本文描述的其他實施例結合的一個實施例中,內表面202可以包括一種或多種材料,包括鋁、鈦或其合金。襯墊200的內表面202可以塗覆有鋁、鈦或其合金中的一種或多種。在可以與本文描述的其他實施例結合的另一實施例中,內表面202可以包括一種或多種含陶瓷的材料。在一示例中,襯墊200從蓋組件105延伸,經過基板支座115,並鄰近處理腔室100的底部。在這樣的示例中,襯墊200可以不接觸腔室100的底部,而是與其間隔開。另外,儘管第2A圖和第2B圖將襯墊200示出為單個構件,但是可以預期的是,可以利用多個襯墊來襯托處理腔室的內表面。例如,第一(或上)襯墊可用於襯托間隔墊110,而第二(或下)襯墊可用於襯托腔室主體的內表面(如第1圖所示)。
在本文所述的實施例中,由要暴露於處理空間160的內表面202以及襯墊200的厚度中的至少一個控制的襯墊200的電導率,改變了提供給基板支座115的靜電吸盤330(如圖3所示)的電流(例如直流電(DC)、RF電流和其他合適的電流)。襯墊200的電導率的增加,為處理空間160中產生的電漿鞘的RF能量從側壁傳播到地面提供了短而對稱的路徑。RF能量從側壁傳播到地面的路徑,改善了電流並透過提高效率而減少了靜電吸盤330所需的電流量。靜電吸盤330所需的電流量的減少,允許透過提高效率而將提高的電壓(例如Vdc )輸送到靜電吸盤330。增加的電壓導致電漿鞘的更大游離,從而增加了基板105的離子或自由基轟擊。基板105的增加的離子或自由基轟擊,減小了要沉積或蝕刻的膜的應力。
間隔墊110還包括磁體組件220。在可以與本文描述的其他實施例結合的一個實施例中,如第2A圖所示,磁體組件220包括圍繞間隔墊110的複數個磁體225。每個磁體225耦合至致動器230(即,磁體致動器)。致動器230使磁體225圍繞襯墊200外部的間隔墊110圍繞處理腔室100的縱軸235旋轉。致動器230還適於使磁體225沿縱軸235垂直地(沿Z方向)移動。每個磁體225可以是永久磁體或電磁體,或它們的組合。每個磁體225位於在間隔墊110的側壁242中形成的腔240內。在一示例中,磁鐵位於加熱器元件205的下方。提供外側壁242的材料和厚度,以藉由控制外側壁242的磁導率而將磁場限制在處理空間160中。將磁場限制在處理空間160中,可以減輕磁場對相鄰處理腔室附近處理空間的影響,從而提高處理均勻性。耦合至處理腔室100和磁體組件220的控制器194,用於在處理期間控制處理腔室100和磁體組件220的各個態樣。
在可與本文描述的其他實施例結合的另一實施例中,如第2B圖所示,磁體組件220包括可繞間隔墊110纏繞(或嵌入)在隔離件110中一次或多次的導電線204,以形成圍繞隔離物110的單個電磁體。電源206耦合到導線204,以使電流在圍繞處理空間160的圓形路徑中流動。導電線204可以設置在間隔墊100和襯墊200之一中。提供外屏蔽層208的材料和厚度,以藉由控制外屏蔽層208的磁導率而將磁場限制在處理空間160內。將磁場限制在處理空間160中,可以減輕磁場對相鄰處理腔室附近處理空間的影響,從而提高處理均勻性。
在可以與本文描述的其他實施例結合的一些實施例中,複數個磁體225中的大約一半定向成使得北極(North pole)面對基板支座115(實質上平行於縱軸235)。複數個磁體225的另一部分定向成使得以南極面向基板支座115(實質上平行於縱軸235)。在一示例中,複數個磁體225圍繞間隔墊110佈置成圓形(在平面圖中)。複數個磁體225被分成第一部分或第一半圓和第二部分或第二半圓。第一半圓中的每個磁體225被定向成使得北極面向基板支座115(實質上平行於縱軸235)。第二半圓中的每個磁體225被定向成使得南極面向基板支座115(實質上平行於縱軸235)。在這樣的示例中,複數個磁體225可以在沉積期間旋轉,或者複數個磁體可以保持靜止。
在可以與本文描述的其他實施例結合的一些實施例中,導線204包括導線204的芯材料中的氣隙、芯材料的變化的橫截面積、以及導線204的每一匝之間的變化的距離中的至少一個。導線204的第一半部(例如涵蓋約180度)的芯材料,可比導線204的第二半部(例如涵蓋約180度)的芯材料具有更多的氣隙。導線204的第一半部的芯材料的截面積,可以比導線204的第二半部的截面積大。第一半部的導線204的每一匝之間的距離,可以小於第二半部的導線204的每一匝之間的距離。
在可以與本文描述的其他實施例結合的其他實施例中,磁體組件220包括兩條或更多條導電線204。每條導電線204設置在間隔墊110的一部分的相應部分中。可電性操作的電源206可連接到控制器194。控制器194可操作以循序打開或關閉每個電源206,以及同時打開或關閉每個電源206,以控制向每個導線204的電力供應。同時關閉每個電源206可以使電磁體產生的磁場分流。在一個示例中,第一導線在半圓中被纏繞一次或多次,並且被佈置在間隔墊110的對應於處理空間160的第一半部的第一半部中,以形成第一電磁體。第二導線在半圓中被纏繞一次或多次,並且被佈置在間隔墊110的對應於處理空間160的第二半部的第二半部中,以形成第二電磁體。第一和第二電磁體可以具有相反的極性。
在另一示例中,第一導線在具有90度或更小的角弧的半圓中被纏繞一次或多次,並且被佈置在間隔墊110的第一象限中,此第一象限對應於處理空間160的第一象限,以形成第一電磁體。第二導線在具有90度或更小的角弧的半圓中被纏繞一次或多次,並且被佈置在間隔墊110的第二象限中,此第二象限對應於處理空間160的第二象限,以形成第二電磁體。第三導線在具有90度或更小的角弧的半圓中被纏繞一次或多次,並且被佈置在間隔墊110的第三象限中,此第三象限對應於處理空間160的第三象限,以形成第三電磁體。第四導線在具有90度或更小的角弧的半圓中被纏繞一次或多次,並且被佈置在間隔墊110的第四象限中,此第四象限對應於處理空間160的第四象限,以形成第四電磁體。第一、第二、第三和第四電磁體可以具有交替的極性。
磁體組件220有助於處理空間160中的電漿約束和/或調諧。在可與本文所述的其他實施例結合的一個實施例中,磁體組件220還在沉積處理期間在處理空間160內形成共振腔245。共振腔245提供磁通量,磁通量將電漿鞘垂直向下(Z方向)朝著基板(在第2A和2B圖中未示出)延伸。磁體225和導線204的芯材料之一的強度,提供了對處理空間160中的電漿的壓縮,並且將電漿的鞘徑向向外延伸至間隔墊110。如前所述,將電漿的鞘延伸到間隔墊110(例如延伸到間隔墊110的襯墊200)為RF能量從側壁傳播到地面提供了短而對稱的路徑。
磁體組件220在X/Y平面以及Z方向上提供B場。磁體組件220還允許調諧處理空間160和/或共振腔245內的電漿。可以監視電漿中的渦流,以提供用於調整磁體225的位置和磁體225的旋轉速度中的一個或兩個的度量。附加地或替代地,可以執行先前沉積在基板上的膜的計量,並且將結果用於改變磁體225的位置和/或旋轉速度。因此,共振腔245和磁體組件220在基板上提供了更好的膜均勻性。在包括磁體225的實施例中,磁體225的相反極性使經由磁體225產生的B場偏斜。在包括電磁體的一些實施例中,對空氣間隙、截面積和導線204的每一匝之間的距離中的至少一個的調節,使經由流過導線204的流動電流產生的B場偏斜。在包括具有相反或交替的極性的兩個或更多個電磁體的一些實施例中,順序地向每根導線204提供電力會使經由流過導線204的流動電流產生的B場偏斜。B場的偏斜使電漿鞘的峰移動。磁體的旋轉和在圍繞處理空間160的圓形路徑中流過導線204的電流使基板150更均勻地暴露於傾斜的電漿鞘的離子和自由基。在可與本文描述的其他實施例結合的一個實施例中,金屬帶(未示出)設置在襯墊200內。金屬帶可以進一步移動B場。
間隔墊110包括高度250,高度250提供比習知腔室大得多的處理空間160和/或共振腔245的空間。在一些實施例中,本文先前描述的高度250為大約0.5英寸至大約20英寸,例如大約0.5英寸至大約3英寸,例如大約15英寸至大約20英寸。由高度250提供的共振腔245的高度提供了許多益處。一個好處包括減小膜應力,膜應力減小了在其中處理的基板中應力引起的翹曲。
第3A和3B圖是處理腔室100的示意性截面圖。在第3A和3B圖中示出了包括基板支座115的陰極組件300。陰極組件300耦合到致動器305(類似於第1圖所示的致動器175),致動器305使陰極組件300在Z方向上垂直移動。
第3A圖示出了相對於蓋組件105處於最低位置(例如第一距離180A)的陰極組件300,第3B圖示出了相對於蓋組件105處於最高位置(例如第二距離180B)的陰極組件300。調整用於沉積處理的陰極組件300的位置的能力允許獲得最佳的膜品質。
陰極組件300還包括設施介面310。設施介面310提供用於RF功率的連結以及其他電和流體連結。設施介面310經由設施電纜178耦合到基板支座115。其他連結包括電源315、冷卻劑源320和氣體供應源325。
電源315用於為作為基板支座115一部分的靜電吸盤330供電。電源315可以是DC電源。藉由為靜電吸盤330斷電(drain)的控制器(未示出)來協助解除吸附。另外,設施電纜178可選地透過匹配網路耦合至電源170,以促進處理腔室100內的操作。在一示例中,設施電纜178在PECVD處理期間協助傳輸RF功率。由於間隔墊110產生相對較大的空間,以及在PECVD處理期間處理腔室100工作的壓力,因此使用較高的功率位準(與習知PECVD處理相比)來建立和維持電漿。例如,在PECVD處理中,設施電纜在110安培下可以承載約4 kW的功率至約9 kW的功率。可以思及的是,當以調諧配置使用磁體225時,可將安培數減小到約78安培至約80安培的範圍,而不會降低膜品質。
冷卻劑源320包含冷卻基板支座115的冷卻劑。例如,從冷卻劑源320流到基板支座115的冷卻劑將靜電吸盤330(和/或位於其上的基板)的溫度保持在約攝氏25度或更低。可以將靜電吸盤330(和/或位於其上的基板)保持在不大於約攝氏-40度的低溫下。低溫使離子能夠以較少的自發沉積或蝕刻來轟擊基板145和/或設置在基板145上的材料的朝上的表面,從而改善了沉積或蝕刻膜的均勻性和效能。冷卻劑包括流體,例如全氟聚醚氟化流體,以維持低溫。
氣體供應裝置325向靜電吸盤330下方的空間提供流體,以防止冷凝。流體可以是乾淨的乾燥空氣、氮氣(N2 )、氦氣(He)或其他合適的氣體。供給到靜電吸盤下方的空間的流體,減少了凝結到靜電上的靜電,包括其下表面。
如本文所述,冷卻的基板支座115、加熱的間隔墊110(使用第2A和2B圖所示的加熱器元件205)和共振腔245中的一種或幾種的組合,提供了許多益處。基板的低溫增加了沉積速率並減少了基板翹曲。將間隔墊110和/或襯墊200的溫度保持在約攝氏100度以下,有利於更有效的清潔和/或最小化顆粒在襯墊200上的粘附。為了協助冷卻襯墊200,可在間隔墊110中形成一個或多個冷卻通道。
在第3A和3B圖中還示出了支撐結構335。雖然僅示出了一個,但是支撐結構335具有在其中形成的三個真空通道340。真空通道340耦接至可變壓力系統120。真空通道340有助於從處理空間160對稱地泵送。
陰極組件300耦合到基環340和可選的安裝板345。緊固件355從基環340延伸以將基板支座115固定在其上。
第4A圖是基板支座115的一個實施例的示意性截面圖。第4B圖是第4A圖所示的基板支座115的一部分的放大截面圖。
如上所述,基板支座115包括靜電吸盤330。靜電吸盤330包括圓盤400。圓盤400包括嵌入其中的一個或多個電極405(在第4B圖中示出了第一電極405A和第二電極405B)。第一電極405A用作吸附電極,第二電極405B用作RF偏壓電極。可以藉由以大約300kHz至大約60MHz的頻率向第二電極405B提供RF功率,來偏壓基板支座115。提供給第二電極405B的頻率可以是脈衝化的。圓盤400由諸如陶瓷材料的介電材料形成,例如氮化鋁(AlN)。
圓盤由介電板410和基底板415支撐。介電板410可以由電絕緣材料(諸如石英)或熱塑性材料(諸如以商標名REXOLITE®出售的高效能塑料)形成。基底板415可以由諸如鋁的金屬材料製成。在操作期間,當圓盤400處於RF啟動時,基底板415接地或電浮接。至少圓盤400和介電板410被絕緣體環420圍繞。絕緣體環420可以由諸如石英、矽或陶瓷材料的介電材料製成。基底板415和絕緣體環420的一部分被鋁製的接地環425包圍。絕緣環420在操作期間防止或最小化圓盤400和基底板415之間的電弧。設施電纜178的一端顯示在圓盤400、介電板410和基底板415中形成的開口中。設施電纜178為圓盤400的電極供電,並從氣體供應源325(第3A和3B圖所示)提供到基板支座115的流體。
邊緣環422鄰近絕緣體環420的內週設置。邊緣環422可包括介電材料,例如石英、矽、交聯的聚苯乙烯和二乙烯基苯(例如REXOLITE®)、PEEK、Al2 O3 、AlN等。利用包括這種介電材料的邊緣環422有助於調節電漿耦合、調節電漿特性,例如基板支座上的電壓(Vdc ),而不必改變電漿功率,從而改善在基板上沉積的硬遮罩膜的特性。藉由調節透過邊緣環422的材料與晶圓或基板的RF耦合,可以使膜的模量與膜的應力解耦。
圓盤440、介電板410和基底板415中的每一個,均包括形成在其中或穿過其中的分別軸向對準的開口,以容納設施電纜178。圓盤440包括形成為與設施電纜178接合的開口495。例如,開口495可以用作為用於容納設施電纜178的母插座。介電板410包括與開口495軸向對準的開口496。開口496包括上部496a、中間部分496b與下部496c,上部496a的直徑約等於開口495的直徑,中間部分496b的直徑大於上部的直徑,下部496c的直徑大於中間部分496b的直徑。基底板415包括開口497,開口497具有上部497a與下部497b,上部497a具有第一直徑,下部497b具有小於第一直徑的第二直徑。開口496、496和497的多個直徑有助於將設施電纜178固定在其中。
圓盤400包括在其中形成的複數個流體通道430。每個流體通道430與入口通道432流體連通。入口通道432流體地耦合到入口導管434。入口導管434耦合到冷卻劑源320。流體通道430和入口通道432中的每一個均由蓋板436密封。蓋板436可以由與圓盤400相同的材料或鋁製成,並且可以焊接或以其他方式接合到圓盤400以密封流體通道430和入口導管434。儘管未示出,但是類似於入口導管434的出口導管設置在基板支座115中,使得冷卻流體可以在其中再循環。
入口導管434的一部分由管狀構件438形成。管狀構件438由諸如陶瓷材料的介電材料形成。密封件440設置在管狀構件438的與蓋板436和基底板415相鄰的端部處。管狀構件438防止可能由流過其中的冷卻流體引起的電弧放電。管狀構件438還可以使介電質板410與在其中流動的相對較冷的冷卻流體熱絕緣,以防止介電質板410破裂。
基板支座115還包括複數個升舉銷442(在第4A圖中僅示出了一個)。每個升舉銷442可移動地設置在介電軸襯444中。每個升舉銷442可以由諸如AlN、藍寶石、石英等的陶瓷材料形成。介電軸襯444設置在圓盤400、介電質板410和基底板415中的每一個中或穿過它們。介電軸襯444由諸如聚四氟乙烯(PTFE)材料的聚合物材料製成。介電軸襯444包括沿其長度方向的開口446,升舉銷442在開口446中被引導。開口446的尺寸略大於升舉銷442的尺寸(直徑),從而在介電軸襯444中形成傳導路徑。例如,開口446耦合到可變壓力系統120,使得真空傳導被提供在處理空間160之間並且穿過介電軸襯444到達可變壓力系統120。由開口446提供的傳導路徑防止升舉銷產生電弧。介電軸襯444包括複數個台階448,這些台階是直徑變化的部分。台階448藉由增加電力可行進的路徑的長度,以及藉由沿此路徑引入角匝,來減少圓盤400和基底板415之間的電弧。
基板支座115還包括複數個緊固件裝置450(僅示出一個)。利用緊固件裝置450將圓盤400附接到介電板410。每個緊固件裝置450包括緊固件452、金屬墊圈454和緊固件蓋456(在第4B圖中示出了墊圈454和緊固件蓋456)。當緊固件452被擰緊時,將墊圈454推靠在形成在介電板410中的開口460的表面458上。墊圈454和緊固件452由諸如不銹鋼的金屬材料製成。墊圈454包括圓形的上拐角462。當緊固件452被擰緊時,圓形的上拐角462防止介電質板410的材料破裂。
緊固件蓋456用於填充介電板410中的開口460的其餘部分。緊固件蓋456包括口袋464、口袋464的尺寸設置成容納緊固件452的頭部。緊固件蓋456由諸如聚合物的介電材料形成,例如聚醚醚酮(PEEK)。緊固件蓋456的外表面包括台階466。台階466藉由增加電力可行進的路徑的長度,來減少圓盤400與基底板415之間的電弧。
基板支座115還在其各層之間包括複數個間隙。在圓盤400和介電板410之間提供第一間隙470。在介電質板410和基底板415之間設置第二間隙472。第一間隙470和第二間隙472與在第3A和3B圖中示出和描述的氣體供應裝置325流體連通。來自氣體供應裝置325的流體在第一間隙470和第二間隙472中流動以防止相鄰層之間的冷凝。第一間隙470和第二間隙472中的流體被邊緣環420密封在基板支座115的端部上。邊緣環420的尺寸可設置成提供從第一間隙470和第二間隙472的流體的受控洩漏。
第5A-5D圖是支撐結構335和陰極組件300的一部分的各種視圖。第5A圖是示出支撐結構335上方的基環340的透視圖。第5B圖示出了與基環340和支撐結構335對接的升舉銷星形輪500。第5C圖是陰極組件300的放大的局部截面圖,其中組裝有升舉銷星形輪500。第5C圖是陰極組件300的放大的另一局部截面圖,其中組裝有升舉銷星形輪500。
如第5A圖和第5B圖所示,升降銷星形輪500包括環體505(在第5B圖中示出),環體505具有耦合到其上的銷把持裝置510。每個銷把持裝置510支撐升舉銷442(在第5B圖中示出)。升舉銷星形輪500還包括容納升舉軸520的波紋管515(均在第5B圖-5D中示出)。環體505包括圓形或大致圓形的外邊緣560和內邊緣561。內邊緣561是圓形的,並且包括一個或多個凸瓣(示出了兩個較小的凸瓣562和一個較大的凸瓣563,其尺寸大於較小的凸瓣562)。凸瓣562、563彼此間隔大約相等的角距離(例如大約120度),並且包括附接到其上的升舉銷。此外。升舉軸520在與相應的升舉銷442相對的一側上耦合到主凸瓣563。在這樣的示例中,升舉軸520和相應的升舉銷在軸向上對準,但是也可以考慮其他構造。
環體505適於在真空通道525(在第5A、5C和5D圖中示出)中垂直地(在Z方向上)裝配和移動。環體505的垂直運動由致動器530(第5C圖所示)提供,以使耦合至銷把持裝置510的升舉銷442升高和降低。真空通道525經由一個或多個真空導管535(在第5A和5D圖中示出)與可變壓力系統120流體連通。以此方式,經由介電軸襯444中的開口446在升舉銷442周圍形成傳導路徑。
參照第5C圖,升舉銷442透過形成在基環340中的開口540,從銷把持裝置510延伸到介電軸襯444。
每個銷把持裝置510包括夾持器546、夾持器桿548和夾持器殼體550。夾持殼體550耦合到第一緊固件552A,第一緊固件552A將夾持殼體550耦合到支座554。第二緊固件552B將支座554耦合至環體505。緊固件板556將第二緊固件552B固定到環體505。緊固件板556和第二緊固件552B位於形成在環體505的下表面中的凹部558中。
夾持器殼體550、第一緊固件552A、第二緊固件552B、緊固件板556和波紋管515由諸如不銹鋼的金屬材料製成。夾持器546、夾持器桿548、支座554和環體505可以由介電材料製成,例如聚合物或陶瓷材料。在特定示例中,夾持器546和夾持器桿548可以由塑料材料製成,例如聚酰亞胺塑料材料。支座554可以由PEEK材料製成。環體505可以由氧化鋁(Al2 O3 )製成。在陰極組件300的操作期間,環體505和銷把持裝置510中或之上的任何金屬材料都為接地或電浮動。
第6A-6C圖是設施電纜178的各種截面圖。設施電纜178包括第一端600和第二端605。第一端600與基板支座115對接,第二端605與設施介面310對接(在第3A和3B圖中示出)。
設施電纜178是單片導體(即單個單元或整體式)。根據此實施例的設施電纜178是對習知電纜的改進。例如,設施電纜178包括彎曲部610(例如彎曲或弓形的部分),其中習知導體將具有兩個導體的L形或90度連結。彎曲部610消除了習知的90度連結處的電弧,並改善了沿導體的電流。與習知導體相比,單件設計還提供了更堅固的絕緣,同時還具有更小的外徑。在一些示例中,設施電纜178以彎曲的形狀製造,與形成線性設施電纜178然後彎曲相比,彎曲形狀使設施電纜178的複合層之間的接觸最大化。以預彎曲的形狀製造設施電纜178可以避免在彎曲直線成型電纜時可能在折彎處發生的材料起皺。這種起皺可能會無意間增加電阻或引起電弧。如上所述,設施電纜178基於致動器175的致動(如第1圖所示)彎曲,這提供了基板支座115的垂直運動。
第6B圖是設施電纜178的第一端600的截面圖。第一端600包括從介電護套625延伸的連接器620。第6B圖是設施電纜178的第二端605的截面圖。第二端605還包括從介電護套625延伸的連接器630。
連接器620和連接器630包括位於介電護套625內中心處的第一導體635。介電護套625不延伸至設施電纜178的端部620的末端,以允許與基板支座115耦合。連接器620和連接器630還包括實質上圍繞第一導體635的第二導體640。
參考連接器620,第一導體635連接到插座645,插座645藉由導電殼體650耦合到第一導體635。插座645和導電殼體650包括螺紋連結655。參照連接器630,第一導體635耦合到凸形插入件660。
第一導體635和第二導體640被介電材料665和空間或間隙670之一或兩者電隔離。介電材料665可以是聚合材料,例如PEEK、PTFE或其他聚合和/或電絕緣材料。第一導體635、第二導體640、插座645、導電殼體650和凸形插入件660均由諸如銅的導電金屬製成。在彎曲部610處,第二導體640的變薄和介電材料665的塌陷(即設施電纜178的變形)可導致介電材料665與第二導體640分離。分離可導致在彎曲部610處產生電弧。彎曲處610處的預彎曲的設施電纜178的諸如應力消除和/或退火的預處理,消除了由於第二導體640的潛在變薄和電介質材料665的塌陷而導致的設施電纜178的可能的變形。
如本文中所描述的設施電纜178的構造,提供了第二導體640的暴露的接觸表面675,其包括比傳統導體的長度大的長度680。另外,本文所述的設施電纜178的構造為凸形插入件660提供了肩部區域或擋塊685。
第7圖是蓋組件105的另一實施例的示意性剖視圖。根據此實施例的蓋組件105包括形成在熱交換器130的一部分中的圓頂結構700。圓頂結構700藉由凸緣705耦合到遠端電漿源150,凸緣705具有軸向穿過其形成的開口706,以促進電漿流過凸緣705。圓頂結構700也耦合到氣體分配組件710。
氣體分配組件710包括第一處理氣體源140和吹掃氣體源715。第一處理氣體源140和吹掃氣體源715都藉由閥(未示出)耦合到氣體分配組件710。氣體分配組件710還包括擋板720。擋板720用於散佈來自遠端電漿源150的激發的清潔氣體。擋板720藉由一個或多個托架708耦合到氣體分配組件710的內表面707。在輪輻和輪轂構造中,托架708以相等的角距離間隔開(例如,三個托架以120度間隔開)。擋板720還包括中央開口725。中央開口725可以用於從相應的源輸送處理氣體和清潔氣體中的一種或兩種。
熱交換器130包括入口730和出口735。熱交換流體從入口730流過形成在圓頂結構700中的通道740,並流出出口735。
雖然前述內容係關於本揭示內容的具體實施例,但可想到其他與進一步的具體實施例而不脫離前述內容的基板範圍,且前述內容的範圍係由下列申請專利範圍判定。
100:處理腔室 105:蓋組件 110:間隔墊 115:基板支座 116:密封件 120:可變壓力系統 125:蓋板 130:熱交換器 135:噴淋頭 140:第一處理氣體源 142:第二處理氣體源 144:入口 145:基板 150:遠端電漿源 155:清潔氣體源 160:處理空間 165:第一RF電源 170:第二RF電源 175:致動器 178:設施電纜 180A:第一距離 180B:第二距離 182:第一泵 184:第二泵 185:基板傳送口 186:門 186A:內門 186B:外門 188:致動器 190:氣室 191:中央導管 192:腔室主體 194:控制器 200:襯墊 202:內表面 204:導電線 205:加熱器元件 206:電源 208:外屏蔽層 210:第一部分 215:第二部分 220:磁體組件 225:磁體 230:致動器 235:縱軸 240:腔 242:側壁 245:共振腔 250:高度 300:陰極組件 305:致動器 310:設施介面 315:電源 320:冷卻劑源 325:氣體供應源 330:靜電吸盤 335:支撐結構 340:真空通道 345:安裝板 355:緊固件 400:圓盤 405:電極 405A:第一電極 405B:第二電極 410:介電板 415:基底板 420:絕緣體環 422:邊緣環 425:接地環 430:流體通道 432:入口通道 434:入口導管 436:蓋板 438:管狀構件 440:密封件 442:升舉銷 444:介電軸襯 446:開口 448:台階 450:緊固件裝置 452:緊固件 454:金屬墊圈 456:緊固件蓋 458:表面 460:開口 462:上拐角 464:口袋 466:台階 470:第一間隙 472:第二間隙 495:開口 496:開口 496a:上部 496b:中間部分 496c:下部 497:開口 497a:上部 497b:下部 500:升舉銷星形輪 505:環體 510:銷把持裝置 515:波紋管 520:升舉軸 525:真空通道 530:致動器 535:真空導管 540:開口 546:夾持器 548:夾持器桿 550:夾持器殼體 552A:第一緊固件 552B:第二緊固件 554:支座 556:緊固件板 558:凹部 560:外邊緣 561:內邊緣 562:凸瓣 563:凸瓣 600:第一端 605:第二端 610:彎曲部 620:連接器 625:介電護套 630:連接器 635:第一導體 640:第二導體 645:插座 650:導電殼體 655:螺紋連結 660:凸形插入件 665:介電材料 670:空間或間隙 675:接觸表面 680:長度 685:肩部區域或擋塊 700:圓頂結構 705:凸緣 706:開口 707:內表面 708:托架 710:氣體分配組件 715:吹掃氣體源 720:擋板 725:中央開口 730:入口 735:出口 740:通道
可參考多個具體實施例以更特定地說明以上簡要總結的本揭示內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些具體實施例。然而應注意到,附加圖式僅圖示說明本揭示內容的典型具體實施例,且因此不應被視為限制本揭示內容的範圍,因為揭示內容可允許其他等效的具體實施例。
第1圖是根據一個實施例的說明性處理腔室的示意性側視截面圖。
第2A圖是根據一個實施例的旋轉約90度的第1圖的處理腔室的示意性側視圖。
第2B圖是根據一個實施例的旋轉約90度的第1圖的處理腔室的示意性側視圖。
第3A圖和第3B圖是根據一個實施例的處理腔室的示意性截面圖。
第4A圖是根據一個實施例的基板支座的示意性截面圖。
第4B圖是根據一個實施例的第4A圖所示的基板支座的一部分的放大截面圖。
第5A圖-第5D圖是根據一個實施例的一部分支撐結構和基板支座的陰極組件的各種視圖。
第6A圖-第6C圖是根據一個實施例的設施電纜的各種截面圖。
第7圖是根據一個實施例的蓋組件的示意性截面圖。
為了協助瞭解,已盡可能使用相同的元件符號標定圖式中共有的相同元件。已思及到,一個具體實施例的元件與特徵,可無需進一步的敘述即可被有益地併入其他具體實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:處理腔室
105:蓋組件
110:間隔墊
115:基板支座
116:密封件
120:可變壓力系統
125:蓋板
130:熱交換器
135:噴淋頭
140:第一處理氣體源
142:第二處理氣體源
144:入口
145:基板
150:遠端電漿源
155:清潔氣體源
160:處理空間
165:第一RF電源
170:第二RF電源
175:致動器
178:設施電纜
180A:第一距離
180B:第二距離
182:第一泵
184:第二泵
185:基板傳送口
186:門
186A:內門
186B:外門
188:致動器
190:氣室
191:中央導管
192:腔室主體
194:控制器

Claims (20)

  1. 一種處理腔室,包括: 一蓋組件; 一腔室主體,該腔室主體藉由一間隔墊耦合至該蓋組件,該間隔墊和該腔室主體限定一共振腔; 一可旋轉的磁體組件,該可旋轉的磁體組件耦合到該共振腔外部的該間隔墊;以及 一基板支座,該基板支座設置在該共振腔內並可在該共振腔內移動,其中該基板支座耦合至一設施電纜,該設施電纜基於耦接至該基板支座的一致動器的操作而彎曲。
  2. 如請求項1所述之處理腔室,其中該蓋組件包括一熱交換器。
  3. 如請求項1所述之處理腔室,其中該間隔墊還包括複數個加熱器元件。
  4. 如請求項1所述之處理腔室,其中該間隔墊包括圍繞該共振腔的一襯墊。
  5. 如請求項4所述之處理腔室,其中該襯墊包括一第一部分和一第二部分,並且該第二部分的一厚度小於該第一部分的一厚度。
  6. 如請求項1所述之處理腔室,其中該可旋轉磁體組件包括耦合至一磁體致動器的複數個磁體。
  7. 如請求項1所述之處理腔室,該處理腔室還包括耦合至該腔室主體的一可變壓力系統。
  8. 如請求項7所述之處理腔室,其中該可變壓力系統包括一第一泵和一第二泵。
  9. 如請求項1所述之處理腔室,其中該設施電纜包括一單片導體。
  10. 一種處理腔室,包括: 一蓋組件,該蓋組件包括一噴淋頭; 一腔室主體,該腔室主體藉由一間隔墊耦合至該蓋組件,該間隔墊和該腔室主體限定一共振腔; 一磁體組件,該磁體組件耦合到該共振腔外部的該間隔墊;以及 一基板支座,該基板支座設置在該共振腔內,其中該基板支座耦合至一設施電纜並包含一靜電吸盤,該靜電吸盤具有一圓盤,該圓盤包含複數個電極。
  11. 如請求項10所述之處理腔室,其中該設施電纜包括一單片導體。
  12. 如請求項10所述之處理腔室,其中該間隔墊還包括複數個加熱器元件。
  13. 如請求項10所述之處理腔室,其中該間隔墊包括圍繞該共振腔的一襯墊。
  14. 如請求項13所述之處理腔室,其中該襯墊包括一第一部分和一第二部分,並且該第二部分的一厚度小於該第一部分的一厚度。
  15. 如請求項10所述之處理腔室,其中該磁體組件包括複數個磁體,該複數個磁體耦合至一磁體致動器,該磁體致動器用於相對於該共振腔的一高度垂直地移動該等磁體中的每個磁體。
  16. 一種處理腔室,包括: 一蓋組件,該蓋組件包括一噴淋頭; 一腔室主體,該腔室主體藉由一間隔墊耦合至該蓋組件,該間隔墊和該腔室主體限定一共振腔; 一磁體組件,該磁體組件耦合到該共振腔外部的該間隔墊;以及 一基板支座,該基板支座可移動地設置在該共振腔內,其中該基板支座耦合至一設施電纜,該設施電纜包含一單片導體,該單片導體包含一彎曲部,且其中該基板支座包含一靜電吸盤,該靜電吸盤具有一圓盤,該圓盤包含複數個電極。
  17. 如請求項16所述之處理腔室,其中該間隔墊還包括複數個加熱器元件。
  18. 如請求項16所述之處理腔室,其中該間隔墊包括圍繞該共振腔的一襯墊。
  19. 如請求項18所述之處理腔室,其中該襯墊包括一第一部分和一第二部分,並且該第二部分的一厚度小於該第一部分的一厚度。
  20. 如請求項16所述之處理腔室,其中該磁體組件包括複數個磁體,該複數個磁體耦合至一磁體致動器,該磁體致動器用於相對於該共振腔的一高度垂直地移動該等磁體中的每個磁體。
TW109117013A 2019-05-24 2020-05-22 基板處理腔室 TW202106125A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962852723P 2019-05-24 2019-05-24
US62/852,723 2019-05-24
US201962888666P 2019-08-19 2019-08-19
US62/888,666 2019-08-19

Publications (1)

Publication Number Publication Date
TW202106125A true TW202106125A (zh) 2021-02-01

Family

ID=73457722

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109117013A TW202106125A (zh) 2019-05-24 2020-05-22 基板處理腔室

Country Status (7)

Country Link
US (1) US11560626B2 (zh)
JP (1) JP2022534885A (zh)
KR (1) KR20220000408A (zh)
CN (1) CN114072898A (zh)
SG (1) SG11202112769WA (zh)
TW (1) TW202106125A (zh)
WO (1) WO2020242799A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821764B (zh) * 2021-08-31 2023-11-11 南韓商Psk有限公司 用於處理基板之設備及使用其對準介電板之方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11959174B2 (en) 2020-02-28 2024-04-16 Applied Materials, Inc. Shunt door for magnets in plasma process chamber
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
CN115261820B (zh) * 2022-09-20 2023-01-20 拓荆科技(上海)有限公司 一种反应腔结构及其半导体设备

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0855452B1 (en) * 1997-01-24 2003-06-04 Applied Materials, Inc. Process and apparatus for depositing titanium layers
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
JP4812991B2 (ja) * 2001-09-20 2011-11-09 東京エレクトロン株式会社 プラズマ処理装置
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
KR100988085B1 (ko) * 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
FR2884079B1 (fr) 2005-03-31 2007-09-07 Valeo Equip Electr Moteur Commande d'un transistor mos
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20080062609A1 (en) * 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20140335700A1 (en) 2013-05-10 2014-11-13 Infineon Technologies Ag Carbon Layers for High Temperature Processes
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US20180277340A1 (en) 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
CN117524848A (zh) 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821764B (zh) * 2021-08-31 2023-11-11 南韓商Psk有限公司 用於處理基板之設備及使用其對準介電板之方法

Also Published As

Publication number Publication date
CN114072898A (zh) 2022-02-18
JP2022534885A (ja) 2022-08-04
WO2020242799A1 (en) 2020-12-03
KR20220000408A (ko) 2022-01-03
SG11202112769WA (en) 2021-12-30
US20200370177A1 (en) 2020-11-26
US11560626B2 (en) 2023-01-24

Similar Documents

Publication Publication Date Title
TW202106125A (zh) 基板處理腔室
JP3599204B2 (ja) Cvd装置
JP5502756B2 (ja) べベル端部エッチングを行うプラズマエッチング処理チャンバ、及び、そのエッチング方法
US20060081337A1 (en) Capacitive coupling plasma processing apparatus
US20090239352A1 (en) Method for producing silicon oxide film, control program thereof, recording medium and plasma processing apparatus
US8962454B2 (en) Method of depositing dielectric films using microwave plasma
US11721545B2 (en) Method of using dual frequency RF power in a process chamber
WO2019138654A1 (ja) プラズマ処理装置及びプラズマ処理方法
EP2863416B1 (en) Method for etching copper layer
US8870164B2 (en) Substrate processing method and storage medium
JP5332362B2 (ja) プラズマ処理装置、プラズマ処理方法及び記憶媒体
US6811831B1 (en) Method for depositing silicon nitride
CN113994451A (zh) 无缝电导管
US11302521B2 (en) Processing system and processing method
TW202108803A (zh) 透過最佳化電漿耦合材料來調節膜特性
US20220246440A1 (en) Substrate processing method and substrate processing apparatus
US7456111B2 (en) Plasma etching method and plasma etching apparatus
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
JPH09245993A (ja) プラズマ処理装置及びアンテナの製造方法
JP7123287B1 (ja) エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム
CN115398602A (zh) 等离子处理装置以及等离子处理方法