TW202108803A - 透過最佳化電漿耦合材料來調節膜特性 - Google Patents
透過最佳化電漿耦合材料來調節膜特性 Download PDFInfo
- Publication number
- TW202108803A TW202108803A TW109122031A TW109122031A TW202108803A TW 202108803 A TW202108803 A TW 202108803A TW 109122031 A TW109122031 A TW 109122031A TW 109122031 A TW109122031 A TW 109122031A TW 202108803 A TW202108803 A TW 202108803A
- Authority
- TW
- Taiwan
- Prior art keywords
- hard mask
- mask film
- substrate
- edge ring
- film
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 52
- 230000008878 coupling Effects 0.000 title description 6
- 238000010168 coupling process Methods 0.000 title description 6
- 238000005859 coupling reaction Methods 0.000 title description 6
- 239000000758 substrate Substances 0.000 claims abstract description 154
- 238000012545 processing Methods 0.000 claims abstract description 86
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 claims abstract description 22
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 17
- 239000010453 quartz Substances 0.000 claims abstract description 16
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 15
- 239000010703 silicon Substances 0.000 claims abstract description 15
- 239000004696 Poly ether ether ketone Substances 0.000 claims abstract description 13
- 229920002530 polyetherether ketone Polymers 0.000 claims abstract description 13
- 239000004793 Polystyrene Substances 0.000 claims abstract description 11
- 229920002223 polystyrene Polymers 0.000 claims abstract description 11
- 238000000034 method Methods 0.000 claims description 51
- 230000008569 process Effects 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 19
- 230000008859 change Effects 0.000 claims description 18
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 13
- 239000003989 dielectric material Substances 0.000 claims description 9
- 238000005259 measurement Methods 0.000 claims description 2
- 239000010408 film Substances 0.000 claims 58
- 239000013039 cover film Substances 0.000 claims 1
- 239000004065 semiconductor Substances 0.000 abstract description 7
- 229910018404 Al2 O3 Inorganic materials 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 41
- 125000006850 spacer group Chemical group 0.000 description 22
- 238000004140 cleaning Methods 0.000 description 19
- 239000012530 fluid Substances 0.000 description 17
- 230000008021 deposition Effects 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 10
- 150000002500 ions Chemical class 0.000 description 10
- 238000012546 transfer Methods 0.000 description 9
- 239000002826 coolant Substances 0.000 description 8
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 239000000126 substance Substances 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- -1 polytetrafluoroethylene Polymers 0.000 description 5
- 238000004891 communication Methods 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 239000012528 membrane Substances 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000012809 cooling fluid Substances 0.000 description 3
- 230000004907 flux Effects 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010891 electric arc Methods 0.000 description 1
- 239000012777 electrically insulating material Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000012815 thermoplastic material Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 230000032258 transport Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68721—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68792—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
- H01J2237/3321—CVD [Chemical Vapor Deposition]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Automation & Control Theory (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本揭示案的實施例一般係關於用於形成半導體元件的基板處理腔室及其部件。處理腔室包括基板支撐件,且邊緣環繞基板支撐件設置。邊緣環包括從由以下各者組成的群組中所選擇的材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2
O3
和AlN; 選擇邊緣環的材料以調節沉積在處理腔室中的基板上的硬遮罩膜的特性。如此一來,具有所需膜特性的硬遮罩膜可以沉積在處理腔室中,而無須為腔室按比例增加RF功率。
Description
本揭示案的實施例一般係關於在半導體元件的製造中使用的設備和方法。更具體言之,本揭示案的實施例係關於用於形成半導體元件的基板處理腔室及其部件。
積體電路已經發展成可以在單一晶片上包括數百萬個電晶體、電容器和電阻器的複雜裝置。晶片設計的發展不斷涉及更快的電路和更大的電路密度。對具有更大電路密度的更快電路的需求對用於製造這種積體電路的材料有相應的要求。具體言之,隨著積體電路元件的尺寸減小到亞微米級,存在使用低電阻率導電材料及低介電常數絕緣材料以從此等元件獲得合適的電特性的趨勢。
對於更大的積體電路密度的需求也對在積體電路元件的製造中使用的處理程序有所要求。例如,在使用傳統的光微影技術的處理程序中,在設置在基板上的材料層的堆疊上方形成能量敏感性阻劑(resist)層。將能量敏感性阻劑層暴露於圖案的圖像以形成光阻劑(photoresist)遮罩。之後,使用蝕刻製程將遮罩圖案轉移到堆疊的一個或多個材料層。選擇在蝕刻製程中使用的化學蝕刻劑,以使堆疊的材料層具有比能量敏感性阻劑的遮罩更大的蝕刻選擇性。即,化學蝕刻劑以比能量敏感性阻劑遠快得多的速率來蝕刻材料堆疊的一層或多層。對阻劑上的堆疊的一個或多個材料層的蝕刻選擇性防止在完成圖案轉移之前消耗能量敏感性阻劑。
隨著圖案尺寸的減小,能量敏感性阻劑的厚度相應地減小,以控制圖案解析度。由於化學蝕刻劑的侵蝕(attack),這種薄的阻劑層可能不足以在圖案轉移製程期間對下面的材料層作遮罩。在能量敏感性阻劑層和下面的材料層之間經常使用稱為硬遮罩的中間層(如氮氧化矽、卡賓矽(silicon carbine)或碳膜),因為對化學蝕刻劑的抵抗力更大,因此有助於圖案轉移。通常使用兼具高蝕刻選擇性和高沉積速率的硬遮罩材料。隨著臨界尺寸(CD)減小,當前的硬遮罩材料相對於下面的材料(如氧化物和氮化物)缺乏所需的蝕刻選擇性,且通常難以沉積。此外,為了調節硬遮罩的特性,必須按比例放大(scale up)施加到腔室的RF功率,通常改善一個膜特性(如膜模量),而同時降低另一特性(如膜應力)。
因此,在本領域中需要用於製造半導體元件的改良的方法和設備。
本揭示案的實施例一般係關於用於形成半導體元件的基板處理腔室及其部件。處理腔室包括基板支撐件,且邊緣環繞基板支撐件設置。邊緣環包括從由以下各者組成的群組中所選擇的材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2
O3
和AlN; 選擇邊緣環的材料以調節沉積在處理腔室中的基板上的硬遮罩膜的特性。如此一來,具有所需膜特性的硬遮罩膜可以沉積在處理腔室中,而無須為腔室按比例增加RF功率。
在一個實施例中,一種用於調節一個或多個硬遮罩膜的特性的方法,包括以下步驟:在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板,測量該第一硬遮罩膜的一個或多個膜特性,從一基板支撐件移除該第一基板,將基板支撐件中的第一邊緣環交換為第二邊緣環,邊緣環包括從由以下各者組成的群組中所選擇的材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2
O3
和AlN,將一第二基板放置在該基板支撐件上,在該第二基板上沉積一第二硬遮罩膜,並以該第一RF功率處理該第二基板,及測量該第二硬遮罩膜的該一個或多個膜特性。
在另一個實施例中,一種用於調節一個或多個硬遮罩膜的特性的方法,包括以下步驟:在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板,測量該第一硬遮罩膜的一個或多個膜特性,該一或多個膜特性包含模量(modulus)和應力,從一基板支撐件移除該第一基板,將基板支撐件中的第一邊緣環交換為第二邊緣環,該第一邊緣環包含第一材料,該第一材料不同於該第二邊緣環的第二材料,將一第二基板放置在該基板支撐件上,及在該第二基板上沉積一第二硬遮罩膜,並以該第一RF功率處理該第二基板,其中基於測得的該第一硬遮罩膜的一個或多個膜特性,選擇該第二邊緣環的該第二材料以調整該第二硬遮罩膜的至少一個膜特性。
在又一個實施例中,一種具有指令的非暫態電腦可讀取媒體,該等指令經配置使系統施行以下操作:在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板,測量該第一硬遮罩膜的一個或多個膜特性,從一基板支撐件移除該第一基板,將基板支撐件中的第一邊緣環交換為第二邊緣環,邊緣環包括從由以下各者組成的群組中所選擇的材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2
O3
和AlN,將一第二基板放置在該基板支撐件上,在該第二基板上沉積一第二硬遮罩膜,並以該第一RF功率處理該第二基板,及測量該第二硬遮罩膜的該一個或多個膜特性。
本揭示案的實施例一般係關於用於形成半導體元件的基板處理腔室及其部件。處理腔室包括基板支撐件,且邊緣環繞基板支撐件設置。邊緣環包括從由以下各者組成的群組中所選擇的材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2
O3
和AlN; 選擇邊緣環的材料以調節沉積在處理腔室中的基板上的硬遮罩膜的特性。如此一來,具有所需膜特性的硬遮罩膜可以沉積在處理腔室中,而無須為腔室按比例增加RF功率。
本揭示案的實施例係關於一種在電子元件的製造中的基板處理中使用的基板處理腔室。基板處理包括用於在基板上製造電子元件的沉積製程、蝕刻製程及其他低壓、製程、電漿製程、熱處理製程。可適於從本揭示案的示例性態樣中受益的處理腔室和/或系統的實例是可從位於美國加利福尼亞州聖塔克拉拉市的應用材料公司(Applied Materials,Inc.)商業獲得的Producer®
APFTM
PECVD系統。可以設想,其他處理系統及/或處理平台(包括來自其他製造商的處理系統及/或處理平台)可適於從本揭示案的態樣中受益。
本案揭露的沉積腔室的實施例可用於製造記憶體裝置,且具體地,用於在製造記憶體裝置期間使用的硬遮罩的沉積。當前的記憶體裝置能夠在不施加電壓的情況下在很長的時間內維持所存儲的資料,且這種記憶體裝置的讀取速率相對較高。清除儲存的資料並將資料重寫到記憶體裝置中相對容易。因此,記憶體裝置已被廣泛用於微型電腦和自動控制系統等中。為了增加位元密度並降低記憶體裝置的每位元成本,已經開發了3D NAND(三維非AND(反及))記憶體裝置。還開發了其他記憶體裝置(如DRAM(動態隨機存取記憶體)、EM(擴展記憶體)和ReRAM(電阻隨機存取記憶體)以及用於形成它們的高級(advanced)硬遮罩材料,以進一步促進半導體工業的進步。
正在探索用於3D NAND技術的垂直閘3D記憶體單元,以隨著記憶體單元層數的增加而降低成本。由於材料整合(integration)的優勢,氧化物/矽和氧化物/氮化物層堆疊是有用的,但是隨著記憶體單元層數量增加,層的厚度成為限制因素。因此,儘管有興趣減小記憶體單元層的厚度,但是隨著層厚度減小,氧化物品質(即崩潰電壓(breakdown voltage))、矽電阻率和高深寬比蝕刻的問題仍然存在。
圖1是適於進行沉積製程的說明性處理腔室100的示意性側視截面圖。在一個實施例中,處理腔室100可經配置以將高級圖案化膜沉積到基板上,如硬遮罩膜,例如非晶碳硬遮罩膜。
處理腔室100包括蓋組件105、設置在腔室主體192上的間隔件110、基板支撐件115、系統控制器101和可變壓力系統120。蓋組件105包括蓋板125和熱交換器130。在所示的實施例中,蓋組件105還包括噴頭135。然而,在其他實施例中,蓋組件105包括凹形或圓頂形的氣體引入板。
蓋組件105耦接至處理氣體源140。處理氣體源140包含用於在基板支撐件115上所支撐的基板145上形成膜的前驅物氣體。作為實例,處理氣體源140包括前驅物氣體,如含碳氣體、含氫氣體、氦。在特定實例中,含碳氣體包括乙炔(C2
H2
)。處理氣體源140將前驅物氣體提供給設置在蓋組件105中的氣室190。蓋組件105包括一個或多個通道,用於將前驅物氣體從處理氣體源140引導到氣室190中。前驅物氣體從氣室流過噴頭135進入處理空間160中。
蓋組件105還耦接至可選的遠端電漿源150。遠端電漿源150耦接至清洗氣體源155,清洗氣體源155用於向處理空間160提供清洗氣體,處理空間160形成在蓋組件105和基板145之間的間隔件110內部。在一實例中,透過軸向穿過蓋組件105形成的中央導管191提供清洗氣體。在另一個實例中,透過引導前驅物氣體的相同通道來提供清洗氣體。示例性清洗氣體包括含氧氣體(如氧氣和/或臭氧)及含氟氣體(如NF3
)或其組合。
除了遠端電漿源150之外或作為遠端電漿源150的替代,蓋組件105還耦接至第一或上射頻(RF)電源165。第一RF電源165有助於維持或產生電漿,如從清洗氣體產生的電漿。在一個實例中,省略了遠端電漿源150,且清洗氣體經由第一RF電源165原位離子化為電漿。基板支撐件115耦接至第二或下RF電源170。第一RF電源165可以是高頻RF電源(如約13.56MHz或約40MHz),第二RF電源170可以是低頻RF電源(如約2MHz或約13.56 MHz)。值得注意的是,還可以考慮其他頻率。在一些實施中,第二RF電源170是混合頻率RF電源,其提供高頻功率和低頻功率兩者。特別是對於第二RF電源170,雙頻RF電源的使用改善了膜沉積。當利用提供雙頻功率(如13.56MHz和40MHz)的第二RF電源170時,13.56MHz的頻率改善了物質向沉積膜的注入,40MHz的頻率增加了膜的離子化和沈積速率。
第一RF電源165和第二RF電源170中的一個或兩個用於在處理空間160中產生或維持電漿。例如,可在沉積製程期間利用第二RF電源170,而在清洗可製程期間可利用第一RF電源165(單獨利用或與遠端電漿源150結合利用)。在一些沉積製程中,第一RF電源165與第二RF電源170結合使用。在沉積製程期間,第一RF電源165和第二RF電源170中的一者或兩者在處理空間160中提供約4千瓦(kW)至約9kW的功率(如約4kW至約6kW),以促進前驅物氣體的離子化。
基板支撐件115耦接到致動器175,致動器175提供其在Z方向上的運動。基板支撐件115還耦接至設施纜線178,設施纜線178允許基板支撐件115的垂直運動,同時維持與第二RF電源170及其他電源和流體連接的連通。間隔件110設置在腔室主體192上。間隔件110的高度允許基板支撐件115在處理空間160內垂直運動。在一個實例中,基板支撐件115可相對於蓋組件105(如相對於噴頭135的下表面)從第一距離180A移動到第二距離180B。在一些實施例中,第一距離180A為約14英吋,而第二距離為約11.2英吋。與傳統的電漿增強化學氣相沉積(PECVD)製程相比,間隔件110大幅增加基板支撐115和蓋組件105之間的距離(並因此增加它們之間的體積)。基板支撐件115與蓋組件105之間增加的距離減少處理空間160中的離子化物質的碰撞,從而以較小的拉伸應力使膜沉積。以較小的拉伸應力沉積的膜有助於在其上形成膜的基板的改善的平坦性(如較少的彎曲)。減少的基板彎曲使下游圖案化操作的精度改善。
可變壓力系統120包括第一泵182和第二泵184。第一泵182是可以在清洗製程和/或基板移送製程期間使用的粗抽泵(roughing pump)。粗抽泵通常經配置用於移動較高的體積流量和/或操作相對較高的壓力(儘管仍為次大氣壓)。在一個實例中,在清洗製程期間,第一泵在處理腔室內維持約300 mtorr至約800 mtorr的壓力,如約400 torr至約6 mtorr。在清洗操作期間使用粗抽泵有助於使清洗氣體的壓力和/或清洗氣體的體積流量相對較高(與沉積操作相比)。在清洗操作期間相對較高的壓力和/或體積流量改善了腔室表面的清洗。
第二泵184可以是在沉積製程期間使用的渦輪泵。渦輪泵通常經配置操作相對較低的體積流量速率和/或壓力。例如,管狀分子泵經配置在沉積製程期間將處理腔室的處理區域160維持在小於約10 mtorr,如約5 mtorr或更小的壓力。當沉積碳基硬遮罩時,在沉積期間維持的處理區域160的減小的壓力促進具有減小的拉伸應力和/或增加的sp2
-sp3
轉換率的膜沉積。因此,處理腔室100經配置以既利用相對較低的壓力來改善沉積,又利用相對較高的壓力來改善清洗。
在一些實施例中,在沉積製程期間利用第一泵182和第二泵184兩者。閥186用於控制通向第一泵182和第二泵184中的一者或兩者的傳導路徑(conductance path)。閥186還提供來自處理空間160的對稱泵送。
處理腔室100還包括基板移送埠185。基板移送埠185由內門186A和外門186B選擇性地密封。門186A和186B中的各者耦接到致動器188。門186A和186B有助於真空密封處理空間160。門186A和186B還在處理空間160內提供對稱的RF施加和/或電漿對稱。在一個實例中,至少門186A由有助於RF功率傳導的材料形成,如不銹鋼、鋁或其合金。
系統控制器101控制在處理系統100中發現的自動化部件的活動和操作參數。系統控制器101可獨立地耦接至處理系統100中的一個或多個部件,如,致動器175、188、第一RF電源165,第二RF電源170和處理氣體源140。系統控制器101是用於控制在處理系統100中找到的一個或多個部件的通用電腦。系統控制器101通常被設計為利於控制及自動化本案所揭露的一個或多個處理程序,且通常包括中央處理單元(CPU)(未圖示)、記憶體(未圖示)和支援電路(或I/O)(未圖示)。軟體指令可以經編碼並儲存在用於對CPU下指令的記憶體(如非暫態電腦可讀取媒體)內。系統控制器內的處理單元可讀取的程式(或電腦指令)決定在處理系統中可施行哪些任務。例如,非暫態電腦可讀取媒體包括一程式,當該程式由處理單元執行時,該程式經配置施行本文所述之一種或多種方法。優選地,該程式包括施行與以下相關聯的任務之程式碼:監控、執行與控制基板的運動、支撐和/或定位以及正施行的各種處理配方任務和各種處理模組處理配方操作。
圖2是圖1的處理腔室100旋轉約90度的示意性側視圖。在圖2中,表示間隔件110的細節。間隔件110包括圍繞處理空間160的襯裡200。
間隔件110還包括嵌入在間隔件110的主體中或與之熱連通的複數個加熱器元件205。加熱器元件205用於將間隔件110的溫度維持在約攝氏80度或更高。每個加熱器元件205可以是盒式(cartridge)加熱器。
襯裡200包括上部分或第一部分210以及下部分或第二部分215。第一部分210的厚度大於第二部分215的厚度。變化的厚度提供許多好處。第二部分215的減小的厚度的一個好處是增加了基板支撐件115與間隔件110的內壁之間的空間,從而增加了真空傳導率。第二部分215的減小的厚度的另一個好處是襯裡200的熱質量的改變。因此,襯裡200的與第一部分210相鄰或在第一部分210內的部分維持在高於襯裡200的與第二部分215相鄰或在第二部分215內的部分之溫度。襯裡200由包括鋁、鈦或其合金中的一個或多個材料形成。在一個實例中,襯裡從蓋組件105延伸,經過基板支撐件115,並鄰近處理腔室100的底部。在這樣的實例中,襯裡200可不接觸腔室100的底部,而是與其間隔開。另外,儘管圖2將襯裡200繪示為單一構件,但是可以預期的是,可利用多個襯裡來襯墊(line)處理腔室的內表面。例如,第一襯裡(或上部襯裡)可用於襯墊間隔件110,而第二襯裡(或下部襯裡)可用於襯墊腔室主體的內表面(如圖1所示)。
間隔件110還包括磁體組件220。磁體組件220包括圍繞間隔件110的複數個磁體225。每個磁體225耦接至致動器230。致動器230使圍繞襯裡200外部的間隔件110之磁體225繞處理腔室100的縱軸235旋轉。致動器230還適於使磁體225沿縱軸235垂直(在Z方向上)移動。每個磁體225可以是永磁體或電磁體,或它們的組合。每個磁體225位於在間隔件110的側壁242中所形成的凹部240內。在一個實例中,磁體位於加熱器元件205的下方。
在一些實施例中,複數個磁體225中的約一半定向成以北極(North pole)朝向基板支撐件115(實質平行於縱軸235)。複數個磁體225的另一部分定向成以南極朝向基板支撐件115(實質平行於縱軸235)。在一個實例中,複數個磁體225繞間隔件110成圓形佈置(在平面圖中)。複數個磁體225被分成第一部分或第一半圓以及第二部分或第二半圓。第一半圓中的每個磁體225定向成以北極朝向基板支撐件115(實質平行於縱軸235)。第二半圓中的每個磁體225定向成以南極朝向基板支撐件115(實質平行於縱軸235)。在這樣的實例中,複數個磁體225可在沉積期間旋轉,或者複數個磁體可維持靜止。
磁體組件220有助於處理空間160中的電漿限制和/或調節。在一個實施例中,在沉積製程期間,磁體組件220還在處理空間160內形成諧振腔(resonance cavity)245。諧振腔245提供磁通量,該磁通量將電漿鞘垂直向下(Z方向)朝著基板(圖2中未圖示)延伸。磁體組件220在X/Y平面以及Z方向上提供B場。磁體組件220還允許調節處理空間160和/或諧振腔245內的電漿。可監控電漿中的渦流(eddy currents)以提供用於調整磁體225的位置和磁體225的旋轉速度中的一者或兩者的度量(metric)。或者或甚者,可施行先前沉積在基板上的膜的計量,並且將結果用於改變磁體225的位置和/或旋轉速度。因此,諧振腔245和磁體組件220在基板上提供了更好的膜均勻性。
圖3是包括陰極組件300的處理腔室100的示意性截面圖,該陰極組件300包含基板支撐件115。處理腔室100包括用於將基板(如晶圓)移入和移出處理腔室100的開口285。陰極組件300耦接至致動器305(類似於圖1所示的致動器175),該致動器305使陰極組件300在Z方向上垂直移動。如圖3所示,陰極組件300相對於蓋組件105處於最低位置(如第一距離180A)。調節用於沉積製程的陰極組件300的位置的能力允許獲得最佳的膜品質。
陰極組件300還包括設施介面310。設施介面310提供用於RF功率的連接以及其他電連接和流體連接之連接。設施介面310經由設施纜線178耦接至基板支撐件115。其他連接包括電源315、冷卻劑源320和氣體供應325。
電源315用於為作為基板支撐件115部分的靜電吸盤330供電。電源315可以是直流(DC)電源。藉由排出靜電吸盤330的控制器(未圖示)來促進解吸附(de-chucking)。另外,設施纜線178可選地透過匹配網路耦接至電源170,以利於處理腔室100內的操作。在一個實例中,設施纜線178在PECVD製程期間設施RF功率的輸送。由於間隔件110產生的相對較大的體積,以及在PECVD製程期間在處理腔室100操作的壓力,因此使用更高的功率位準(與傳統的PECVD製程相比)來建立和維持電漿。例如,在PECVD製程期間,設施纜線在110安培下可以承載約4 kW的功率至約9 kW的功率。可以預期的是,當以調節配置使用磁體225時,可將安培數減小到約78安培至約80安培的範圍,而不會降低膜品質。
冷卻劑源320包含冷卻基板支撐件115的冷卻劑。例如,從冷卻劑源320流到基板支撐件115的冷卻劑將靜電吸盤330(和/或位於其上的基板)的溫度維持在約攝氏0度到約攝氏-10度。冷卻劑包括傳熱流體,例如以商標名GALDEN®出售的傳熱流體。
氣體供應325向靜電吸盤330下方的空間提供流體以防止凝結。流體可以是乾淨的乾燥空氣、氮(N2
)、氦(He)或其他合適的氣體。供應到靜電吸盤下方的空間的流體減少靜電(包括其下表面)上的凝結。
如本文所述的基板支撐件115的冷卻、加熱的間隔件110(使用圖2所示的加熱器元件205)和諧振腔235中的一個或數個的組合提供了許多好處。基板的低溫增加了沉積速率並減少了基板彎曲。將間隔件110和/或襯裡200的溫度維持在低於約攝氏100度有利於更有效的清洗和/或最小化顆粒黏附在襯裡200上。為了促進襯裡200的冷卻,可在間隔件110中形成一個或多個冷卻通道。
在圖3中還表示支撐結構335。雖然僅示出了一個,但是支撐結構335具有在其中形成的三個真空通道340。真空通道340耦接至可變壓力系統120。真空通道340有助於從處理空間160對稱地泵送。
陰極組件300耦接至基環350和可選的安裝板345。緊固件355從基環350延伸以將基板支撐件115固定在其上。
圖4是基板支撐件115的一個實施例的示意性截面圖。如上所述,基板支撐件115包括靜電吸盤330。靜電吸盤330包括圓盤(puck)400。圓盤400包括嵌入其中的一個或多個電極405。一個或多個電極405中的第一電極用作吸盤電極,並且一個或多個電極405中的第二電極用作RF偏壓電極。圓盤400由諸如陶瓷材料的介電材料形成,例如氮化鋁(AlN)。
圓盤400由介電板410和基底板415支撐。介電板410可由電絕緣材料(如石英)或熱塑性材料(如以商標名REXOLITE®
出售的高效能塑膠)形成。基底板415可由金屬材料(如鋁)製成。在操作期間,當圓盤400為RF熱(RF hot)時,基底板415接地或電浮動(electrically floating)。設施纜線178的一端顯示在圓盤400、介電板410和基底板415中形成的開口中。設施纜線178為圓盤400的電極供電以及將流體從氣體供應325(圖3中所示)提供到基板支撐件115。
圓盤400、介電板410和基底板415中的各者均包括在其中形成或穿過其中形成的分別軸向對準的開口,以容納設施纜線178。圓盤400包括開口495,該開口495經成形與設施纜線178接合。例如,開口495可經配置為用於接收設施纜線178的母插座(female receptacle)。介電板410包括與開口495軸向對準的開口496。開口496包括上部分496a、中部分496b與下部分496c,上部分496a的直徑約等於開口495的直徑,中部分496b的直徑大於上部分的直徑,下部分496c的直徑大於中間部分496b的直徑。基底板415包括開口497,該開口497具有上部分497a與下部分497b,上部分497a具有第一直徑,下部分497b具有小於第一直徑的第二直徑。開口496、496和497的多個直徑有助於將設施纜線178固定在其中。
圓盤400包括在其中形成的複數個流體通道430。每個流體通道430與入口通道432流體連通。入口通道432流體地耦接到入口導管434。入口導管434耦接到冷卻劑源320。流體通道430和入口通道432中的各者均由帽板(cap plate)436密封。帽板436可由與圓盤400相同的材料或鋁製成,且可以焊接或以其他方式接合至圓盤400以密封流體通道430和入口導管434。儘管未示出,但是類似於入口導管434的出口導管設置在基板支撐件115中,使得冷卻流體可以在其中再循環。
入口導管434的一部分由管狀構件438形成。管狀構件438由介電材料(如陶瓷材料)形成。密封件440設置在管狀構件438的與帽板436和基底板415相鄰的端部處。管狀構件438防止可能由流過其中的冷卻流體引起的電弧放電。管狀構件438還可使介電板410與在其中流動的相對較冷的冷卻流體隔熱,以防止介電板410破裂。
基板支撐件115還包括複數個升舉銷442(僅示出一個)。每個升舉銷442可移動地設置在介電軸襯(bushing)444中。每個升舉銷442可由陶瓷材料(如AlN、藍寶石、石英或類似物)形成。介電軸襯444設置在圓盤400、介電板410和基底板415的各者中或穿過圓盤400、介電板410和基底板415的各者設置。介電軸襯444由聚合物材料(如聚四氟乙烯(PTFE)材料)製成。介電軸襯444包括沿其長度方向的開口446,升舉銷442在開口446中被引導。調整開口446的尺寸略大於升舉銷442的尺寸(直徑),使得在介電軸襯444中形成傳導路徑。例如,開口446耦接到可變壓力系統120,使得真空傳導被提供在處理空間160之間並且通過介電軸襯444到達可變壓力系統120。由開口446提供的傳導路徑防止升舉銷產生電弧。介電軸襯444包括複數個階部(step)448,複數個階部是直徑變化的部分。階部448藉由增加電可行進的路徑長度以及藉由沿該路徑引入角度旋轉(angular turn)來減少圓盤400和基底板415之間的電弧。
基板支撐件115還包括複數個緊固件裝置450(僅示出一個)。利用緊固件裝置450將圓盤400附接於介電板410。每個緊固件裝置450包括緊固件452、金屬墊圈(washer)454和緊固帽件456。當緊固件456收緊(tightened)時,將墊圈454推靠在介電板410中形成的開口460的表面458上。墊圈454和緊固件452由金屬材料(如不銹鋼)製成。墊圈454包括圓頭的(rounded )上部隅角。當緊固件452收緊時,圓頭的上部隅角防止介電板410的材料破裂。
緊固帽件456用於填充介電板410中的開口460的其餘部分。緊固帽件456包括袋部464,調整袋部464的尺寸以接收緊固件452的頭部。緊固帽件456由介電材料形成,如聚合物,例如,聚醚醚酮(PEEK)。緊固帽件456的外表面包括階部,該階部藉由增加電可行進的路徑長度來減少圓盤400與基底板415之間的電弧。
基板支撐件115還在其各層之間包括複數個間隙。在圓盤400和介電板410之間提供第一間隙470。在介電板410和基底板415之間提供第二間隙472。第一間隙470和第二間隙472與在圖3A和3B中所示及所述的氣體供應325流體連通。來自氣體供應325的流體在第一間隙470和第二間隙472中流動以防止相鄰層之間的凝結。第一間隙470和第二間隙472中的流體被絕緣環420密封在基板支撐件115的端部上。可調整絕緣環420的尺寸以提供來自第一間隙470和第二間隙472的流體的受控洩漏。絕緣環420可包括絕緣材料(如氧化矽)。
至少圓盤400和介電板410被絕緣環420圍繞。基底板415和絕緣環420的一部分被鋁或另一導電材料所製成的接地環425圍繞。絕緣環420可包括一個或多個延伸部(未圖示),延伸部藉由引起角度旋轉來減小RF電流的「視線(line of sight)」。如圖所示,包含橫截面形狀的角度旋轉減少RF電流的電弧。絕緣環420在操作期間防止或最小化圓盤400和基底板415之間的電弧。
邊緣環422鄰近絕緣環420的內周設置。邊緣環422可包括介電材料,如石英、矽、交聯的聚苯乙烯和二乙烯基苯(如Rexolite®
)、PEEK、Al2
O3
、AlN等。利用包括這種介電材料的邊緣環422有助於調節電漿耦合、調節電漿特性(如基板支撐件上的電壓(Vdc)),而不必改變電漿功率,從而改善沉積在基板上的硬遮罩膜的特性。藉由調節透過邊緣環422的材料與晶圓或基板的RF耦接,可以使膜的模量與膜的應力解耦(decouple)。如此一來,可在不影響模量的情況下,減少硬遮罩的應力,或者可在不影響應力的情況下,提高硬遮罩的模量,而不必藉由按比例增大RF功率來調節離子能量。
傳統上,藉由調整用於點燃和/或維持處理腔室內的電漿的RF功率來實現對膜的模量或應力的調節。藉由改變RF功率,可以實現膜模量和應力的最終變化。然而,RF功率的變化會同時影響膜模量和膜應力。因此,傳統上,膜模量的有意變化也可能導致膜應力的無意變化,反之亦然。
相反地,已經出乎意料地發現,邊緣環材料的變化可以在不影響膜應力的情況下來影響膜模量的變化,反之亦然。不希望受到理論的束縛,據信這是由於藉由不同材料形成的邊緣環的特性變化引起的與基板的RF耦合改變而實現的。此外,在不改變施加用於產生/維持電漿的RF功率的情況下,改變與基板的RF耦合來允許待調整的單一特性(如模量或應力中的一個),而不會顯著影響另一特性(如,模量或應力中的另一個)。
通常,在沉積期間主要僅使用一個RF源,且為了增加Vdc,增加電漿功率(或壓力),這樣由於更高的Vdc增加了離子密度(即,較高功率下的更大離子化)和離子能量。如此一來,應力和模量不能被獨立地調整或修改。然而,藉由改變邊緣環422的材料,在不影響電漿離子化的情況下,增加基板上的Vdc。因此,諸如應力和模量的特性能夠被獨立地調整或修改。例如,當將包含Al2
O3
的第一邊緣環與包含石英的第二邊緣環比較時,Al2
O3
邊緣環和石英邊緣環均使得膜具有相同的模量;然而,石英邊緣環使得膜的應力明顯小於Al2
O3
邊緣環。
藉由利用電漿(作為電漿電勢的導體)與地(ground)之間具有較低介電常數的邊緣環422,可以調節電漿與地之間的電容。如此一來,減少了電漿與地之間的電場,從而調節對地的離子通量。具有較低介電常數的邊緣環422和經調節為接地的離子通量增強或加強電場,這進而增強了基板上的Vdc和離子能量兩者。因此,在無需增加RF功率而可能使離子和中性密度的任何增加的情況下,即可提高基板上的離子能量。在沒有使RF功率增加的情況下,基板上增強的Vdc和離子能量能夠使基板的應力和模量獨立地調整。
圖5繪示根據一個實施例的利用邊緣環來調節硬遮罩膜的特性的方法500。方法500可與圖1至3的處理腔室100一起使用,處理腔室100包括系統控制器101和圖4的基板支撐件115。
在操作502中,在將第一基板放置在處理腔室中之後,在第一基板上沉積第一硬遮罩膜,並且以第一RF功率來處理第一基板。在操作504中,測量第一硬遮罩膜的一個或多個膜特性。一個或多個膜特性至少包括膜應力和膜模量。在操作506中,從基板支撐件和處理腔室移除第一基板。
在操作508中,將基板支撐件的第一邊緣環交換為第二邊緣環,第二邊緣環包括介電材料,如石英、矽、交聯的聚苯乙烯和二乙烯基苯(如Rexolite®
)、PEEK、Al2
O3
或AlN。第二邊緣環可以是圖4的邊緣環422。第二邊緣環可具有比第一邊緣環低的介電常數。或者,第二邊緣環可具有比第一邊緣環更高的介電常數。包括這種介電材料的第二邊緣環有助於調節電漿耦合、調節電漿特性,而不必改變電漿功率,從而改善沉積在基板上的硬遮罩膜的特性。在一個實例中,由於對第一硬遮罩膜的測量,而選擇第二邊緣環以調整諸如模量或應力的特性。例如,如果確定第一硬遮罩膜的模量不在預定範圍內(但是應力在預定範圍內),則選擇第二邊緣環,使得將隨後沉積的膜的模量調整在預定範圍內,而應力也維持在預定範圍內。
在操作510中,然後將第二基板放置在基板支撐件上。在操作512中,第二硬遮罩膜沉積在第二基板上,且以第一RF功率處理第二基板。因此,第一基板和第二基板均在相同的處理腔室內以相同的RF功率作處理。
在操作514中,測量第二硬遮罩膜的一個或多個膜特性。一個或多個膜特性至少包括膜應力和膜模量。然後將第二硬遮罩膜的膜特性與第一硬遮罩膜的膜特性比較,以確認已經實現了第一硬遮罩膜與第二硬遮罩膜之間的所需的調整量。第二硬遮罩膜可具有與第一硬遮罩膜不同的模量變化至少約20%。第二硬遮罩膜可具有與第一硬遮罩膜不同的應力變化小於約5%。或者,該第二硬遮罩膜可具有與該第一硬遮罩膜不同的應力變化至少約20%,且該第二硬遮罩膜可具有與該第一硬遮罩膜不同的模量變化小於約5%。因此,當第一和第二基板以相同的RF功率作處理時,由於改變邊緣環的材料,且在沒有對處理配方/參數作任何改變的情況下,設置在第二基板上的第二硬遮罩膜的膜品質比設置在第一基板上的第一硬遮罩的膜品質好(greater)。
藉由調節透過邊緣環的材料耦接至基板的RF,可以打破模量和應力之間的逆關係(inverse relationship)(即,將模量與應力解耦)。如此一來,可在不影響模量的情況下,減少硬遮罩的應力,或者可在不影響應力的情況下,提高硬遮罩的模量。此外,在不藉由按比例放大RF功率來調節離子能量的情況下,改善了硬遮罩的膜特性。
利用包括介電材料(如石英、矽、交聯的聚苯乙烯和二乙烯基苯(如Rexolite®
)、PEEK、Al2
O3
或AlN)的邊緣環,可使沉積的硬遮罩膜同時具有較高的模量和較低的應力。因為透過利用邊緣環來沉積硬遮罩膜使其具有較高的模量和較低的應力,由於RF電流和電壓的增加,而不再需要系統的其他部件來應付增加的工作量,從而藉由防止部件損壞來延長部件的使用壽命。
儘管前述內容針對本揭示案的實施例,但是在不脫離本揭示案的基本範圍的情況下,可以設計本揭示案的其他和進一步的實施例,並且本揭示案的範圍由所附申請專利範圍確定。
100:處理腔室
101:系統控制器
105:蓋組件
110:間隔件
115:基板支撐件
120:可變壓力系統
125:蓋板
130:熱交換器
135:噴頭
140:處理氣體源
145:基板
150:遠端電漿源
155:清洗氣體源
160:處理空間
165:第一RF電源
170:第二RF電源
175:致動器
178:設施纜線
182:第一泵
184:第二泵
185:基板移送埠
186:閥
188:致動器
190:氣室
191:中央導管
192:腔室主體
200:襯裡
205:加熱器元件
210:第一部分
215:第二部分
220:磁體組件
225:磁體
230:致動器
235:縱軸
240:凹部
242:側壁
245:諧振腔
285:開口
300:陰極組件
305:致動器
310:設施介面
315:電源
320:冷卻劑源
325:氣體供應
330:靜電吸盤
335:支撐結構
340:真空通道
345:安裝板
350:基環
355:緊固件
400:圓盤
405:電極
410:介電板
415:基底板
420:絕緣環
425:接地還
430:流體通道
432:入口通道
434:入口導管
436:帽板
438:管狀構件
440:密封件
442:升舉銷
444:介電軸襯
446:開口
448:階部
450:緊固件裝置
452:緊固件
454:軸襯
456:緊固帽件
458:表面
460:開口
464:袋部
470:第一間隙
472:第二間隙
495:開口
496:開口
497:開口
500:方法
502:操作
504:操作
506:操作
508:操作
510:操作
512:操作
514:操作
180A:第一距離
180B:第二距離
186A:內門
186B:外門
496a:上部分
496b:中部分
496c:下部分
497a:上部分
497b:下部分
本揭示案之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了示範實施例且不會視為其範圍之限制,本揭示案可允許其他等效之實施例。
圖1是說明性處理腔室的示意性側視截面圖。
圖2是旋轉約90度的圖1的處理腔室的示意性側視圖。
圖3是處理腔室的示意性截面圖。
圖4是基板支撐件的一個實施例的示意性截面圖。
圖5繪示根據一個實施例的利用邊緣環來調節碳硬遮罩膜的特性的方法。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是,一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
500:方法
502:操作
504:操作
506:操作
508:操作
510:操作
512:操作
514:操作
Claims (20)
- 一種用於調節一個或多個硬遮罩膜的特性的方法,包括以下步驟: 在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板; 測量該第一硬遮罩膜的一個或多個膜特性; 從一基板支撐件移除該第一基板; 將該基板支撐件中的一第一邊緣環交換(swap)為一第二邊緣環,該第二邊緣環包含從由以下各者組成的群組中所選擇的一材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2 O3 和AlN; 將一第二基板放置在該基板支撐件上; 在該第二基板上沉積一第二硬遮罩膜,並以該第一RF功率處理該第二基板;及 測量該第二硬遮罩膜的該一個或多個膜特性。
- 如請求項1所述之方法,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量(modulus)變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化小於約5%。
- 如請求項1所述之方法,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量變化小於約5%。
- 如請求項1所述之方法,其中該第一邊緣環包括與該第二邊緣環不同的一材料,且該第一硬遮罩材料與該第二硬遮罩材料相同。
- 如請求項1所述之方法,其中該第二邊緣環具有比該第一邊緣環低的一介電常數。
- 如請求項1所述之方法,其中當施加該第一RF功率時,該第二邊緣環的該材料增加該第二基板上的一電壓和一離子能量。
- 如請求項1所述之方法,其中該一個或多個膜特性包括模量和應力,且其中選擇該第二邊緣環的該材料以獨立地調整該第二硬遮罩膜的該模量和該應力。
- 一種用於調節一個或多個硬遮罩膜的特性的方法,包括以下步驟: 在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板; 測量該第一硬遮罩膜的一個或多個膜特性,該一個或多個膜特性包含模量和應力; 從一基板支撐件移除該第一基板; 將該基板支撐件中的一第一邊緣環交換為一第二邊緣環,該第一邊緣環包含一第一材料,該第一材料與該第二邊緣環的一第二材料不同; 將一第二基板放置在該基板支撐件上;及 在該第二基板上沉積一第二硬遮罩膜並以該第一RF功率處理該第二基板,其中基於測得的該第一硬遮罩膜的一個或多個膜特性,選擇該第二邊緣環的該第二材料以調整該第二硬遮罩膜的至少一個膜特性。
- 如請求項8所述之方法,其中該第二邊緣環的該介電材料係從由以下各者組成的群組中所選擇:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2 O3 和AlN。
- 如請求項8所述之方法,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化小於約5%。
- 如請求項8所述之方法,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量變化小於約5%。
- 如請求項8所述之方法,其中該第二邊緣環具有比該第一邊緣環高的一介電常數。
- 如請求項8所述之方法,其中當施加該第一RF功率時,該第二邊緣環的該材料增加該第二基板上的一電壓和一離子能量。
- 如請求項8所述之方法,其中選擇該第二邊緣環的該第二材料以獨立地調整該第二硬遮罩膜的一模量和一應力。
- 一種具有指令的非暫態電腦可讀取媒體,該等指令經配置使一系統施行以下操作: 在一第一基板上沉積一第一硬遮罩膜,並以一第一RF功率處理該第一基板; 測量該第一硬遮罩膜的一個或多個膜特性; 從一基板支撐件移除該第一基板; 將該基板支撐件中的一第一邊緣環交換(swap)為一第二邊緣環,該第二邊緣環包含從由以下各者組成的群組中所選擇的一材料:石英、矽、交聯的聚苯乙烯和二乙烯苯、聚醚醚酮、Al2 O3 和AlN; 將一第二基板放置在該基板支撐件上; 在該第二基板上沉積一第二硬遮罩膜,並以該第一RF功率處理該第二基板;及 測量該第二硬遮罩膜的該一個或多個膜特性。
- 如請求項15所述之非暫態電腦可讀取媒體,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化小於約5%。
- 如請求項15所述之非暫態電腦可讀取媒體,其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一應力變化至少約20%,且其中該第二硬遮罩膜具有與該第一硬遮罩膜不同的一模量變化小於約5%。
- 如請求項15所述之非暫態電腦可讀取媒體,其中該一個或多個膜特性包括模量和應力,且其中選擇該第二邊緣環的該材料以獨立地調整該第二硬遮罩膜的該模量和該應力。
- 如請求項15所述之非暫態電腦可讀取媒體,其中該第二邊緣環具有比該第一邊緣環低的一介電常數。
- 如請求項15所述之非暫態電腦可讀取媒體,其中當施加該第一RF功率時,該第二邊緣環的該材料增加該第二基板上的一電壓和一離子能量。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962869470P | 2019-07-01 | 2019-07-01 | |
US62/869,470 | 2019-07-01 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202108803A true TW202108803A (zh) | 2021-03-01 |
Family
ID=74066461
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109122031A TW202108803A (zh) | 2019-07-01 | 2020-06-30 | 透過最佳化電漿耦合材料來調節膜特性 |
Country Status (6)
Country | Link |
---|---|
US (1) | US11270905B2 (zh) |
JP (1) | JP2022538455A (zh) |
KR (1) | KR20220037456A (zh) |
CN (1) | CN114008761A (zh) |
TW (1) | TW202108803A (zh) |
WO (1) | WO2021003070A1 (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220349050A1 (en) * | 2021-04-30 | 2022-11-03 | Applied Materials, Inc. | Method and apparatus with high conductance components for chamber cleaning |
Family Cites Families (61)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0221531A3 (en) | 1985-11-06 | 1992-02-19 | Kanegafuchi Kagaku Kogyo Kabushiki Kaisha | High heat conductive insulated substrate and method of manufacturing the same |
JPH05508266A (ja) | 1991-04-03 | 1993-11-18 | イーストマン・コダック・カンパニー | GaAsをドライエッチングするための高耐久性マスク |
US5352493A (en) | 1991-05-03 | 1994-10-04 | Veniamin Dorfman | Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films |
JPH07268622A (ja) | 1994-03-01 | 1995-10-17 | Applied Sci & Technol Inc | マイクロ波プラズマ付着源 |
TW422892B (en) | 1997-03-27 | 2001-02-21 | Applied Materials Inc | Technique for improving chucking reproducibility |
US6013980A (en) | 1997-05-09 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings |
JP2868120B2 (ja) | 1997-06-11 | 1999-03-10 | 川崎重工業株式会社 | 電子ビーム励起プラズマ発生装置 |
AU734809B2 (en) | 1997-06-16 | 2001-06-21 | Robert Bosch Gmbh | Method and device for vacuum-coating a substrate |
MY132894A (en) | 1997-08-25 | 2007-10-31 | Ibm | Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof |
US6320295B1 (en) | 1998-11-18 | 2001-11-20 | Mcgill Robert Andrew | Diamond or diamond like carbon coated chemical sensors and a method of making same |
US6592771B1 (en) | 1999-04-08 | 2003-07-15 | Sony Corporation | Vapor-phase processing method and apparatus therefor |
US7166336B1 (en) | 1999-05-19 | 2007-01-23 | Mitsubishi Shoji Plastics Corporation | DLC film, DLC-coated plastic container, and method and apparatus for manufacturing DLC-coated plastic container |
US6936551B2 (en) | 2002-05-08 | 2005-08-30 | Applied Materials Inc. | Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices |
US7247221B2 (en) | 2002-05-17 | 2007-07-24 | Applied Films Corporation | System and apparatus for control of sputter deposition process |
US6900002B1 (en) | 2002-11-19 | 2005-05-31 | Advanced Micro Devices, Inc. | Antireflective bi-layer hardmask including a densified amorphous carbon layer |
US7416786B2 (en) | 2003-02-26 | 2008-08-26 | Sumitomo Electric Industries, Ltd. | Amorphous carbon film, process for producing the same and amorphous carbon film-coated material |
JP2006049817A (ja) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | プラズマ処理方法およびプラズマエッチング方法 |
US8808856B2 (en) | 2005-01-05 | 2014-08-19 | Pureron Japan Co., Ltd. | Apparatus and method for producing carbon film using plasma CVD and carbon film |
JP2006190795A (ja) * | 2005-01-06 | 2006-07-20 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法および急速熱処理装置 |
US7247582B2 (en) | 2005-05-23 | 2007-07-24 | Applied Materials, Inc. | Deposition of tensile and compressive stressed materials |
US20070032081A1 (en) * | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
EP1954429B1 (en) | 2005-12-02 | 2015-05-27 | United Technologies Corporation | Metal-free diamond-like-carbon coatings |
KR100812504B1 (ko) | 2006-09-05 | 2008-03-11 | 성균관대학교산학협력단 | 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용 |
US8500963B2 (en) | 2006-10-26 | 2013-08-06 | Applied Materials, Inc. | Sputtering of thermally resistive materials including metal chalcogenides |
US7959735B2 (en) | 2007-02-08 | 2011-06-14 | Applied Materials, Inc. | Susceptor with insulative inserts |
US8105660B2 (en) | 2007-06-28 | 2012-01-31 | Andrew W Tudhope | Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component |
US8101444B2 (en) | 2007-08-17 | 2012-01-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing semiconductor device |
JP2009167512A (ja) | 2008-01-21 | 2009-07-30 | Kobe Steel Ltd | 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法 |
US8133819B2 (en) | 2008-02-21 | 2012-03-13 | Applied Materials, Inc. | Plasma etching carbonaceous layers with sulfur-based etchants |
JP4704453B2 (ja) | 2008-07-16 | 2011-06-15 | 株式会社プラズマイオンアシスト | ダイヤモンドライクカーボン製造装置、製造方法及び工業製品 |
JP4755262B2 (ja) | 2009-01-28 | 2011-08-24 | 株式会社神戸製鋼所 | ダイヤモンドライクカーボン膜の製造方法 |
US20110005682A1 (en) | 2009-07-08 | 2011-01-13 | Stephen Edward Savas | Apparatus for Plasma Processing |
KR20110115291A (ko) | 2010-04-15 | 2011-10-21 | 경북대학교 산학협력단 | Dlc 코팅장치 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
KR20120121340A (ko) | 2011-04-26 | 2012-11-05 | 삼성전자주식회사 | 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법 |
US20120276743A1 (en) | 2011-04-26 | 2012-11-01 | Jai-Hyung Won | Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same |
EP2587518B1 (en) | 2011-10-31 | 2018-12-19 | IHI Hauzer Techno Coating B.V. | Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece |
JP5935116B2 (ja) | 2011-12-16 | 2016-06-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN103594495A (zh) | 2012-08-16 | 2014-02-19 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
US9484303B2 (en) * | 2013-03-13 | 2016-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stress tuning for reducing wafer warpage |
US20150371851A1 (en) | 2013-03-15 | 2015-12-24 | Applied Materials, Inc. | Amorphous carbon deposition process using dual rf bias frequency applications |
US9269587B2 (en) | 2013-09-06 | 2016-02-23 | Applied Materials, Inc. | Methods for etching materials using synchronized RF pulses |
US9984915B2 (en) | 2014-05-30 | 2018-05-29 | Infineon Technologies Ag | Semiconductor wafer and method for processing a semiconductor wafer |
US20160042961A1 (en) | 2014-08-06 | 2016-02-11 | Applied Materials, Inc. | Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation |
US9695503B2 (en) | 2014-08-22 | 2017-07-04 | Applied Materials, Inc. | High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer |
US9390910B2 (en) * | 2014-10-03 | 2016-07-12 | Applied Materials, Inc. | Gas flow profile modulated control of overlay in plasma CVD films |
US9959610B2 (en) * | 2014-10-30 | 2018-05-01 | Applied Materials, Inc. | System and method to detect substrate and/or substrate support misalignment using imaging |
US20170263478A1 (en) * | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US10475626B2 (en) | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US10153139B2 (en) | 2015-06-17 | 2018-12-11 | Applied Materials, Inc. | Multiple electrode substrate support assembly and phase control system |
US10418243B2 (en) * | 2015-10-09 | 2019-09-17 | Applied Materials, Inc. | Ultra-high modulus and etch selectivity boron-carbon hardmask films |
US9695593B2 (en) | 2015-11-10 | 2017-07-04 | Detec Systems Llc | Leak detection in roof membranes |
US10020218B2 (en) * | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
KR20170127724A (ko) * | 2016-05-12 | 2017-11-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US9852889B1 (en) * | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US10249495B2 (en) | 2016-06-28 | 2019-04-02 | Applied Materials, Inc. | Diamond like carbon layer formed by an electron beam plasma process |
CN108220922B (zh) * | 2016-12-15 | 2020-12-29 | 东京毅力科创株式会社 | 成膜方法、硼膜以及成膜装置 |
US10847347B2 (en) * | 2018-08-23 | 2020-11-24 | Applied Materials, Inc. | Edge ring assembly for a substrate support in a plasma processing chamber |
US10903050B2 (en) * | 2018-12-10 | 2021-01-26 | Lam Research Corporation | Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity |
-
2020
- 2020-06-26 JP JP2021577978A patent/JP2022538455A/ja active Pending
- 2020-06-26 US US16/913,969 patent/US11270905B2/en active Active
- 2020-06-26 KR KR1020227003306A patent/KR20220037456A/ko not_active Application Discontinuation
- 2020-06-26 CN CN202080046187.2A patent/CN114008761A/zh active Pending
- 2020-06-26 WO PCT/US2020/039841 patent/WO2021003070A1/en active Application Filing
- 2020-06-30 TW TW109122031A patent/TW202108803A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
CN114008761A (zh) | 2022-02-01 |
WO2021003070A1 (en) | 2021-01-07 |
US20210005500A1 (en) | 2021-01-07 |
KR20220037456A (ko) | 2022-03-24 |
US11270905B2 (en) | 2022-03-08 |
JP2022538455A (ja) | 2022-09-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7565947B2 (ja) | 基板処理チャンバ | |
TWI458014B (zh) | 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程 | |
US20060081337A1 (en) | Capacitive coupling plasma processing apparatus | |
US20080035605A1 (en) | Exhaust Assembly for Plasma Processing System and Method | |
US20080135518A1 (en) | Method and system for uniformity control in ballistic electron beam enhanced plasma processing system | |
US20090203218A1 (en) | Plasma etching method and computer-readable storage medium | |
US11721545B2 (en) | Method of using dual frequency RF power in a process chamber | |
WO2019138654A1 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
US10950458B2 (en) | Etching method | |
US10260150B2 (en) | Method and system for sculpting spacer sidewall mask | |
JP2023118883A (ja) | プラズマ処理装置 | |
TW202108803A (zh) | 透過最佳化電漿耦合材料來調節膜特性 | |
US20100022091A1 (en) | Method for plasma etching porous low-k dielectric layers | |
KR20220027091A (ko) | 심리스 전기 도관 | |
JP2004031888A (ja) | フルオロカーボンフィルムの堆積方法 | |
US20240363315A1 (en) | Adjustable de-chucking voltage | |
US20210142990A1 (en) | Plasma processing apparatus | |
US10784088B2 (en) | Plasma processing method | |
TW202129760A (zh) | 蝕刻方法、基板處理裝置、及基板處理系統 | |
TW202213585A (zh) | 具有側向氣體噴射的基板處理腔室 | |
JP2022074000A5 (zh) | ||
TW202129753A (zh) | 基板處理方法、半導體元件之製造方法及電漿處理裝置 | |
JP2020126899A (ja) | 基板処理方法及び基板処理装置 |