CN105392913B - 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积 - Google Patents

用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积 Download PDF

Info

Publication number
CN105392913B
CN105392913B CN201480041007.6A CN201480041007A CN105392913B CN 105392913 B CN105392913 B CN 105392913B CN 201480041007 A CN201480041007 A CN 201480041007A CN 105392913 B CN105392913 B CN 105392913B
Authority
CN
China
Prior art keywords
moles
protective layer
iad
deposition
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480041007.6A
Other languages
English (en)
Other versions
CN105392913A (zh
Inventor
J·Y·孙
B·P·卡农戈
V·菲鲁兹多尔
Y·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201910304795.8A priority Critical patent/CN109972091A/zh
Priority to CN201711374831.5A priority patent/CN107964650A/zh
Priority to CN201910305677.9A priority patent/CN110016645B/zh
Publication of CN105392913A publication Critical patent/CN105392913A/zh
Application granted granted Critical
Publication of CN105392913B publication Critical patent/CN105392913B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D43/00Lids or covers for rigid or semi-rigid containers
    • B65D43/02Removable lids or covers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0015Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterized by the colour of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0026Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0031Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0052Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0084Producing gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0094Reactive sputtering in transition mode
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/088Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/01Selective coating, e.g. pattern coating, without pre-treatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/14Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/14Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying for coating elongate material
    • C23C4/16Wires; Tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/67086Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/131Glass, ceramic, or sintered, fused, fired, or calcined metal oxide or metal carbide containing [e.g., porcelain, brick, cement, etc.]
    • Y10T428/1317Multilayer [continuous layer]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/139Open-ended, self-supporting conduit, cylinder, or tube-type article
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • Y10T428/1352Polymer or resin containing [i.e., natural or synthetic]
    • Y10T428/139Open-ended, self-supporting conduit, cylinder, or tube-type article
    • Y10T428/1393Multilayer [continuous layer]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

制造制品的方法包含提供用于蚀刻反应器的盖或喷嘴。随后执行离子辅助沉积(IAD)以在盖或喷嘴的至少一个表面上沉积保护层,其中,保护层是具有小于300μm的厚度以及10微英寸或更小的平均表面粗糙度的抗等离子体的稀土氧化物膜。

Description

用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
技术领域
本发明的实施例大体涉及具有抗等离子体的薄膜保护层的腔室盖和腔室喷嘴。
背景技术
在半导体产业中,器件由生产尺寸持续减小的结构的制造工艺来制造。诸如等离子体蚀刻和等离子体清洗工艺之类的一些制造工艺使基板暴露于高速等离子体流以蚀刻或清洗基板。等离子体可能是高度侵蚀性的,并可能侵蚀处理腔室以及暴露于等离子体的其他表面。
盖和喷嘴是导体与电介质蚀刻中的两个重要的蚀刻腔室部件。通常,盖和喷嘴由块状(bulk)陶瓷制成。然而,随着器件节点的持续减小,提出了严格的缺陷要求。这些新应用中的一些使用高操作温度(例如,约300℃或更高)。当用于此类高温应用时,许多块状陶瓷可能因热冲击而破裂。此外,抗等离子体的块状陶瓷通常非常昂贵。
由于Al2O3的高热导率和弯曲强度,Al2O3可用于盖和喷嘴。然而,在氟化学品作用下,被暴露的Al2O3在经处理的晶片上形成AlF颗粒和Al金属污染物。近来尽力以厚保护涂层来涂覆盖和喷嘴的面向等离子体的侧。已探查到厚膜涂层(诸如,等离子体喷涂涂层)可减少晶片上金属污染。然而,等离子体喷涂涂层的真空密封已成为担忧的问题,因为在一些示例中,等离子体喷涂涂层因固有的孔隙与裂痕而无法维持真空。此外,等离子体喷涂涂层有长的前置时间,并且通常由特殊的表面准备引导,导致增加了成本。另外,由于表面准备和成本,重新磨光涂层可能是挑战。
已考虑将被称为物理气相沉积(PVD)的薄膜涂覆技术用于涂覆盖和喷嘴。然而,PVD涂覆工艺非常慢(影响最终的涂覆成本),并因此无法生成足够厚以符合部件(特别是对于非消耗性零件,像盖和喷嘴)的寿命要求的涂层。此外,PVD涂层通常具有高残余应力,这在一些示例中将由于破裂和剥离而缩短部件寿命。
附图说明
在所附附图的图中,以示例方式而非限制方式来说明本发明,在所附附图中,相同的元件符号指示类似的元件。应当注意,本公开中对“一”或“一个”实施例的引用不一定是指同一个实施例,并且此类引用意味着至少一个。
图1描绘了处理腔室的一个实施例的截面图。
图2A描绘沉积机制,此沉积机制适用于利用高能粒子的沉积技术(诸如,离子辅助沉积(IAD))。
图2B描绘了IAD沉积设备的示意图。
图3-4示出由一个或更多个薄膜保护层覆盖的制品(例如,盖和/或喷嘴)的横截面图。
图5A示出根据一个实施例的、具有稀土氧化物抗等离子体层的腔室盖的透视视图。
图5B示出根据一个实施例的、具有稀土氧化物抗等离子体层的腔室盖的剖面侧视图。
图5C示出根据一个实施例的、具有稀土氧化物抗等离子体层的腔室喷嘴的透视视图。
图6示出用于在盖或喷嘴上形成一个或多个保护层的工艺的一个实施例。
图7示出暴露于电介质蚀刻CF4化学品的各种材料的腐蚀速率,包括根据本文中所述的实施例而形成的多个不同的IAD涂层的腐蚀速率。
图8和图9分别示出根据本发明的实施例而形成的薄膜保护层在CH4-Cl2与CHF3-NF3-Cl2化学品作用下的腐蚀速率。
图10-11分别示出根据本发明的实施例而形成的薄膜保护层在CH4-Cl2与CHF3-NF3-Cl2化学品作用下的粗糙度轮廓。
图12示出在低偏置下暴露于CF4-CHF3沟槽用学品的各种材料的腐蚀速率。
具体实施方式
本发明的实施例提供制品(诸如,用于蚀刻反应器的盖和/或喷嘴),所述制品在所述制品的一个或更多个面向等离子体的表面上具有薄膜保护层。保护层可具有高达约300μm的厚度,并且提供抗等离子体腐蚀性以保护制品。可使用离子辅助沉积(IAD)(例如,使用电子束IAD(EB-IAD))将保护层形成在制品上。薄膜保护层可以是Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或另一稀土氧化物。由薄膜保护层提供的改善的抗腐蚀性可改善制品的使用寿命,同时减少维护和制造成本。此外,可足够厚地施加IAD涂层以为盖和/或喷嘴提供更长的寿命,并且IAD涂层可具有良好的气密性以维持真空。能以低成本施加IAD涂层并且在稍后重新磨光所述IAD涂层。
图1是半导体处理腔室100的截面图,所述处理腔室100具有一个或更多腔室部件,以根据本发明的实施例的薄膜保护层涂覆所述一个或更多个腔室部件。处理腔室100可用于提供腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻反应器(也称为等离子体蚀刻器)、等离子体清洁器等的腔室。可包括薄膜保护层的腔室部件的示例包括基板支撑组件148、静电夹盘(ESC)150、环(例如,工艺套件环或单环)、腔室壁、基底、气体分配板、喷淋头、衬层、衬层套组、屏蔽件、等离子体屏、流量均衡器、冷却基底、腔室观察孔、腔室盖104、喷嘴等。在一个特定实施例中,保护层施加在腔室盖104和/或腔室喷嘴132上。
薄膜保护层(在下文中将更详细地描述)是由离子辅助沉积(IAD)所沉积的稀土氧化物层。薄膜保护层可包括:Y2O3与Y2O3基陶瓷、,Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er2O3与Er2O3基陶瓷、Gd2O3与Gd2O3基陶瓷、Er3Al5O12(EAG)、Gd3Al5O12(GAG)、Nd2O3与Nd2O3基陶瓷、YAlO3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Gd4Al2O9(GdAM)、GdAlO3(GdAP)、Nd3Al5O12(NdAG)、Nd4Al2O9(NdAM)、NdAlO3(NdAP)和/或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。薄膜保护层也可包括YF3、Er-Y组分(例如,Er 80重量%与Y 20重量%)、Er-Al-Y组分(例如,Er 70重量%、Al 10重量%与Y 20重量%)、Er-Y-Zr组分(例如,Er 70重量%、Y 20重量%与Zr10重量%)或Er-Al组分(例如,Er 80重量%与Al 20重量%)。
薄膜保护层也可以基于由上述陶瓷中的任何一种形成的固溶体。对于包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物,在一个实施例中,陶瓷化合物包括62.93摩尔比率(摩尔%)的Y2O3、23.23摩尔%的ZrO2以及13.94摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括50-75摩尔%范围内的Y2O3、10-30摩尔%范围内的ZrO2以及10-30摩尔%范围内的Al2O3。在又一实施例中,陶瓷化合物可包括40-100摩尔%范围内的Y2O3、0-60摩尔%范围内的ZrO2以及0-10摩尔%范围内的Al2O3。在另一实施例中,陶瓷化合物可包括40-60摩尔%范围内的Y2O3、30-50摩尔%范围内的ZrO2以及10-20摩尔%范围内的Al2O3。在另一实施例中,陶瓷化合物可包括40-50摩尔%范围内的Y2O3、20-40摩尔%范围内的ZrO2以及20-40摩尔%范围内的Al2O3。在又一实施例中,陶瓷化合物可包括70-90摩尔%范围内的Y2O3、0-20摩尔%范围内的ZrO2以及10-20摩尔%范围内的Al2O3。在另一实施例中,陶瓷化合物可包括60-80摩尔%范围内的Y2O3、0-10摩尔%范围内的ZrO2以及20-40摩尔%范围内的Al2O3。在另一实施例中,陶瓷化合物可包括40-60摩尔%范围内的Y2O3、0-20摩尔%范围内的ZrO2以及30-40摩尔%范围内的Al2O3。在其他实施例中,其他分布也可用于陶瓷化合物。
在一个实施例中,包括Y2O3、ZrO2、Er2O3、Gd2O3与SiO2的组合的替代陶瓷化合物被用于保护层。在一个实施例中,替代陶瓷化合物可包括40-45摩尔%范围内的Y2O3、0-10摩尔%范围内的ZrO2、35-40摩尔%范围内的Er2O3、5-10摩尔%范围内的Gd2O3以及5-15摩尔%范围内的SiO2。在第一示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、5摩尔%的Gd2O3以及15摩尔%的SiO2。在第二示例中,替代陶瓷化合物包括45摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、10摩尔%的Gd2O3以及5摩尔%的SiO2。在第三示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、40摩尔%的Er2O3、7摩尔%的Gd2O3以及8摩尔%的SiO2
上述薄膜保护层中的任一者可包括微量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
薄膜保护层可以是施加于不同的陶瓷上的IAD涂层,所述不同的陶瓷包括氧化物基(oxide based)陶瓷、氮化物基(nitride based)陶瓷和碳化物基(carbide based)陶瓷。氧化物基陶瓷的示例包括SiO2(石英)、Al2O3、Y2O3等。碳化物基陶瓷的示例包括SiC、Si-SiC等。氮化物基陶瓷的示例包括AlN、SiN等。IAD涂层靶材可以是煅烧粉末、预制块(例如,由生坯冲压、热压等形成的预制块)、烧结体(例如,具有50%-100%的密度)、机械加工体(例如,陶瓷、金属或金属合金)。
如图所述,根据一个实施例,盖130和喷嘴132各自具有薄膜保护层133、134。然而,应当理解,其他腔室部件(诸如,上文中列举的那些部件)中的任何一个也可包括薄膜保护层。
在一个实施例中,处理腔室100包括腔室体102和盖130,所述腔室体102和盖130封围内部容积106。盖130在中心处可具有孔,喷嘴132可插入孔内。腔室体102可由铝、不锈钢或其他适合的材料制成。腔室体102通常包括侧壁108和底部110。盖130、喷嘴132、侧壁108和/或底部110中的任一个可包括薄膜保护层。
外部衬层116可邻接侧壁108而设置以保护腔室体102。能以薄膜保护层制造和/或涂覆外部衬层116。在一个实施例中,外部衬层116由氧化铝制成。
排气口126可限定在腔室体102中,并且可将内部容积106耦接至泵系统128。泵系统128可包括一个或更多个泵和节流阀以排空并调节处理腔室100的内部容积106内的压力。
可在腔室体102的侧壁108上支撑盖130。盖130可打开以允许进出处理腔室100的内部容积106,并且在被关闭时可提供对处理腔室100的密封。气体面板158可耦接至处理腔室100以通过喷嘴132将工艺气体和/或清洁气体提供至内部容积106。盖130可以是陶瓷,诸如,Al2O3、Y2O3、YAG、SiO2、AlN、SiN、SiC、Si-SiC、或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。喷嘴132也可以是陶瓷,诸如,针对盖所述的那些陶瓷的任何一种。盖130和/或喷嘴132可分别涂覆有薄膜保护层133、134。
可用于在处理腔室100中处理基板的处理气体的示例包括含卤素气体(例如,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3与SiF4等)以及其他气体(诸如,O2或N2O)。载气的示例包括N2、He、Ar和对于工艺气体而言是惰性的其他气体(例如,非反应气体)。基板支撑组件148设置在处理腔室100的内部容积106中的盖130下方。在处理期间,基板支撑组件148支持基板144。环146(例如,单环)可覆盖静电夹盘150的部分,并且可保护被覆盖的部分在处理期间免于暴露于等离子体。在一个实施例中,环146可以是硅或石英。
内衬层118可涂覆在基板支撑组件148的外围上。内衬层118可以是抗含卤素气体的材料,诸如,参照外衬层116所讨论的那些材料。在一个实施例中,内衬层118可由与外部层116的材料相同的材料制成。此外,内衬层118可涂覆有薄膜保护层。
在一个实施例中,基板支撑组件148包括支撑基座152的装配板162和静电夹盘150。静电夹盘150进一步包括导热基底164和静电定位盘166,所述静电定位盘166通过粘着剂138接合至导热基底,在一个实施例中,所述粘着剂138是硅酮粘着剂。装配板162耦接至腔室体102的底部110,并且包括通道以将设施(例如,流体、电力线、传感器引线等)引导至导热基底164和静电定位盘166。
导热基底164和/或静电定位盘166可包括一个或更多个任选的嵌入式加热元件176、嵌入式热隔离器174和/或导管168、170,以控制基板支撑组件148的横向温度轮廓。导管168、170可流体地耦接至流体源172,所述流体源172使温度调节流体通过导管168、170进行循环。在一个实施例中,嵌入式隔离器174可设置在导管168、170之间。加热器176由加热器电源178调节。导管168、170和加热器176可用于控制导热基底164的温度,进而加热和/或冷却静电定位盘166和正在处理的基板(例如,晶片)144。可利用多个温度传感器190、192来监测静电定位盘166和导热基底164的温度,可使用控制器195来监测所述温度传感器。
静电定位盘166可进一步包括可形成在定位盘166的上表面的多个气体通道,诸如,沟槽、台面和其他表面特征。气体通道可经由定位盘166中钻出的孔流体地耦接至热传递(或背侧)气体,诸如,He。在操作中,能以受控的压力将背侧气体提供到气体通道中以增强静电定位盘166与基板144之间的热传递。
静电定位盘166包括至少一个夹持电极180,所述夹持电极180由夹持电源182控制。电极180(或设置在定位盘166或基底164中的其他电极)可通过匹配电路188进一步耦接至一个或更多个RF(射频)电源184、186,以维持从处理腔室100内的工艺气体和/或其他气体中形成的等离子体。电源184、186通常能产生具有约50千赫至约3千兆赫的频率以及高达约10000瓦的功率的RF信号。
图2A描绘沉积机制,此沉积机制适用于利用高能粒子的沉积技术(例如,离子辅助沉积(IAD))。示例性IAD方法包括合并了离子轰击以及在离子轰击存在的情况下的溅射以形成本文中所述的抗等离子体的涂层的沉积工艺,所述离子轰击诸如,蒸镀(例如,活化反应蒸镀(ARE))。在实施例中执行的一种特定的IAD类型是电子束IAD(EB-IAD)。可在反应气体物质(诸如,O2、N2、卤素等)存在的情况下执行IAD方法中的任何一种。在沉积之前和/或期间,此类反应物质可燃耗表面有机污染物。此外,在实施例中,可通过O2离子的分压来控制陶瓷靶材沉积对(vs)金属靶材沉积的IAD沉积工艺。例如,可通过Y金属的蒸镀和使氧离子的渗出(bleeding)制成Y2O3涂层,从而在部件的表面上形成钇材料的氧化物。或者,在无氧或减少的氧的情况下可使用陶瓷靶材。
如图所示,在高能粒子203(诸如,离子)存在的情况下,通过沉积材料202的累积而在制品210或多个制品210A、210B上形成薄膜保护层215。沉积材料202可包括原子、离子、自由基等。在薄膜保护层215被形成时,高能粒子203可撞击并压实薄膜保护层215。
在一个实施例中,利用EB IAD来形成薄膜保护层215。图2B描绘了IAD沉积设备的示意图。如图所示,材料源250提供沉积材料202的通量(flux),而高能粒子源255提供高能粒子203的通量,遍及IAD工艺过程,沉积材料202的通量和高能粒子203的通量两者均撞击到制品210、210A、210B上。高能粒子源255可以是氧或其他离子源。高能粒子源255也可提供其他类型的高能粒子,诸如,惰性自由基、中子原子和纳米尺寸的粒子,所述纳米尺寸的粒子来自粒子生成源(例如,来自等离子体、反应气体,或来自提供沉积材料的材料源)。
用于提供沉积材料202的材料源(例如,靶材体)250可以是块状烧结陶瓷,所述块状烧结陶瓷对应于与将组成薄膜保护层215的陶瓷相同的陶瓷。例如,材料源可以是块状烧结陶瓷化合物体或块状烧结YAG、Er2O3、Gd2O3、Er3Al5O12或Gd3Al5O12,或其他提及的陶瓷。也可使用其他靶材材料,诸如,粉末、煅烧粉末、预制材料(例如,通过生坯冲压或热压形成的预制材料)或机械加工体(例如,经熔合的材料)。在沉积期间,所有的不同类型的材料源250都熔化为熔化的材料源。然而,不同类型的起始材料需要不同的时间来熔化。经熔合的材料和/或机械加工体会最快地熔化。预制材料熔化得比经熔合的材料慢,煅烧粉末熔化得比预制材料慢,标准粉末熔化得比煅烧粉末慢。
IAD可利用一个或更多个等离子体或射束(例如,电子束)来提供材料和高能离子源。在抗等离子体的涂层的沉积期间,也可提供反应物质。在一个实施例中,高能粒子203包括非反应物质(例如,Ar)或反应物质(例如,O)中的至少一者。在进一步的实施例中,在抗等离子体的涂层的形成期间也可引入诸如CO与卤素(Cl、F、Br等)的反应物质,从而进一步增加选择性地去除最微弱地接合至薄膜保护层215的所沉积的材料的趋势。
利用IAD工艺,可由高能离子(或其他粒子)源255独立于其他沉积参数来控制高能粒子203。根据高能粒子通量的能量(例如,速度)、密度和入射角,可操纵薄膜保护层的组分、结构、结晶取向和晶粒尺寸。
可调整的附加参数是沉积期间的制品温度和沉积的持续时间。在一个实施例中,在沉积之前,IAD沉积腔室(以及其中的腔室盖或喷嘴)加热至160℃或更高的起始温度。在一个实施例中,起始温度为160℃至500℃。在一个实施例中,起始温度为200℃至270℃。随后在沉积期间,腔室和盖或喷嘴的温度可维持在起始温度。在一个实施例中,IAD腔室包括执行加热的加热灯。在替代实施例中,不加热IAD腔室和盖或喷嘴。如果不加热腔室,则作为IAD工艺的结果,腔室将自然地使温度升高至约160℃。沉积期间较高的温度可增加保护层的密度,但也会增加保护层的机械应力。可将主动式冷却添加至腔室以在涂覆期间维持低温。在一个实施例中,低温可维持在160℃或低于160℃一直降到0℃的任何温度。
可调整的附加参数是工作距离270和入射角272。工作距离270是材料源250与制品210A、210B之间的距离。在一个实施例中,工作距离为0.2至2.0米,在一个特定的实施例中,工作距离为1.0米。减小工作距离增加了沉积速率,并且增加了离子能的有效性。然而,将工作距离减小低于特定点会降低保护层的均匀度。入射角是沉积材料202撞击制品210A、210B的角度。在一个实施例中,入射角为10-90度,在一个特定的实施例中,入射角为30度。
IAD涂层可施加于粗糙度从约0.5微英寸(μin)至约180μin的广泛范围的表面条件。然而,更平滑的表面有助于均匀的涂层覆盖。涂层厚度可高达约300微米(μm)。在制造时,可通过刻意在涂层叠层的底部处添加稀土氧化物基着色剂(诸如Nd2O3、Sm2O3、Er2O3等)来评估部件上的涂层厚度。也可使用椭圆测量法来准确地测量厚度。
取决于用于形成涂层的稀土氧化物组成物,IAD涂层可以是非晶的或结晶的。例如,EAG和YAG是非晶涂层,而Er2O3和包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物通常是结晶的。非晶涂层共形性(conformal)更佳,并且减少了晶格失配引起的外延裂痕,而结晶涂层更抗腐蚀。
涂层架构可以是双层或多层结构。在双层架构中,非晶层可沉积作为缓冲层以使外延裂痕最小化,然后在顶部形成可抗腐蚀的结晶层。在多层设计中,可使用诸层材料以产生从基板到顶层的平顺的热梯度。
使用多个电子束(e-射束)枪可实现多个靶材的共沉积,从而形成较厚的涂层与分层的架构。例如,可同时使用两个相同材料类型的靶材。每一个靶材可由不同的电子束抢来轰击。这可增加保护层的沉积速率和厚度。在另一示例中,两个靶材可以是不同的陶瓷材料。第一电子束枪可轰击第一靶材以沉积第一保护层,而第二电子束枪可随后轰击第二靶材以形成具有与第一保护层不同的材料组分的第二保护层。
涂覆后(post coating)热处理可用于实现改善的涂层性质。例如,这可用于将非晶涂层转化成具有更高的抗腐蚀性的结晶涂层。另一示例是通过反应区或过渡层的形成来改善涂层向基板的接合强度。
在一个实施例中,在IAD腔室中并行地处理多个盖和/或喷嘴。例如,在一个实施例中,可并行地处理多达五个盖和/或喷嘴。每一个盖或喷嘴可由不同的固定件支撑。或者,单个固定件可配置以支持多个盖和/或喷嘴。在沉积期间,固定件可移动被支撑盖和/或喷嘴。
在一个实施例中,用于支持盖和/或喷嘴的固定件可由金属部件(诸如,冷轧钢)或陶瓷(诸如,Al2O3、Y2O3等)设计而成。固定件可用于在材料源与电子束枪上方或下方支撑环。固定件可具有夹持能力以便为了更安全且更容易的操纵以及在涂覆期间夹持盖和/或喷嘴。此外,固定件可具有用于定向或对准盖和喷嘴的特征。在一个实施例中,可重定位固定件和/或围绕一个或更多个轴转动固定件以改变被支撑的盖或喷嘴相对于源材料的取向。在沉积之前和/或期间,也可重定位固定件以改变工作距离和/或入射角。固定件可具有冷却或加热通道以在涂覆期间控制盖和喷嘴温度。重定位和转动盖或喷嘴的能力可实现对3D表面(诸如,孔)的最大涂层覆盖,因为IAD是直视性工艺(line of sight process)。
图3-4示出由一个或更多个薄膜保护层覆盖的制品(例如,盖和/或喷嘴)的剖面侧视图。用于导体蚀刻工艺的等离子体蚀刻器用盖可以是烧结陶瓷(诸如,Al2O3),因为Al2O3具有高抗弯强度和高热导率。然而,暴露于氟化学品的Al2O3在晶片上形成AlF颗粒和铝金属污染物。其他材料也可用于盖和/或喷嘴。
参照图3,制品300的主体305包括薄膜叠层306,所述薄膜叠层306具有第一薄膜保护层308和第二薄膜保护层310。或者,制品300可在所述制品300的主体305上仅包括单个薄膜保护层308。在一个实施例中,薄膜保护层308、310具有高达约300μm的厚度。在进一步的实施例中,薄膜保护层具有小于约20微米的薄膜保护层,并且在一个特定的实施例中,具有约0.5微米至约7微米之间的厚度。在一个实施例中,薄膜保护层叠层的总厚度为300μm或更小。
薄膜保护层308、310是沉积陶瓷层,此沉积陶瓷层可使用电子束离子辅助沉积(EB-IAD)工艺来形成在制品300的主体305上。EB-IAD沉积的薄膜保护层308、310可具有相对低的膜应力(例如,相较于等离子体喷涂或溅射引起的膜应力)。相对低的膜应力可使主体305的下表面非常平坦,并且对于具有12英寸直径的主体,整个主体上的曲率小于约50微米。IAD沉积的薄膜保护层308、310可附加地具有小于1%的孔隙度,并且在一些实施例中,具有小于约0.1%的孔隙度。低孔隙度可使盖在处理时能够提供有效的真空密封。因此,IAD沉积的保护层是致密的结构,这对于在腔室盖或喷嘴上的应用可具有性能益处。此外,IAD沉积的保护层可具有低破裂密度以及对主体30的高附着性。此外,可在不首先粗糙化主体305的上表面或执行其他耗时的表面准备步骤的情况下来沉积IAD沉积的保护层308、310。
可用于形成薄膜保护层208的陶瓷的示例包括Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12或包含Y4Al2O9与Y2O3-ZrO2的固溶体(Y2O3-ZrO2固溶体)的陶瓷化合物、或前述其他陶瓷材料中的任何一种。其他Er基和/或Gd基抗等离子体的稀土氧化物也可用于形成薄膜保护层308、310。在一个实施例中,相同的陶瓷材料不用于两个相邻的薄膜保护层。然而,在另一实施例中,相邻的层可由相同的陶瓷组成。
具有IAD薄膜保护层的盖和喷嘴可用于采用广泛的温度范围的应用。例如,具有IAD薄膜保护层的盖和喷嘴可用于具有0℃至1000℃的温度的工艺。盖和喷嘴可在高温(例如,300℃或更高)下使用,并且没有由热冲击导致的破裂。
表1:IAD沉积的YAG、Er2O3、EAG和包含Y4Al2O9与Y2O3-ZrO2的固溶体的陶瓷化合物的材料性质
表1示出92%Al2O3(氧化铝)基板和涂覆92%Al2O3基板的各种IAD薄膜保护层的材料性质。在表中,“C”表示结晶结构,而“A”表示非晶结构。如表中所示,氧化铝基板具有363伏特/密耳(V/mil)的击穿电压。相比之下,包含Y4Al2O9与Y2O3-ZrO2的固溶体的IAD沉积的陶瓷化合物的5微米(μm)涂层具有427V(远大于氧化铝的363伏特/密耳的归一化值)的击穿电压。IAD沉积的5μm YAG涂层具有1223V的击穿电压。IAD沉积的5μm的Er2O3涂层具有527V的击穿电压。IAD沉积的5μm的EAG涂层具有900V的击穿电压。IAD沉积的5μm的Y2O3涂层具有1032V的击穿电压。IAD沉积的5μm的YZ20涂层具有423V的击穿电压。IAD沉积的5μm的YF3涂层具有522V的击穿电压。
在室温下氧化铝的体电阻率为约0.01×1016(0.01E16)欧姆·厘米(Ω·cm)。在室温下陶瓷化合物薄膜保护层的体电阻率为约4.1E16Ω·cm,而在室温下YAG薄膜保护层的体电阻率为约11.3E16Ω·cm。
氧化铝的介电常数为约9.2,陶瓷化合物薄膜的介电常数为约9.83,YAG薄膜的介电常数为约9.76,Er2O3薄膜的介电常数为约9.67,EAG薄膜的介电常数为约9.54。氧化铝的损失正切为约5E-4,陶瓷化合物薄膜的损失正切为约4E-4,YAG薄膜的损失正切为约4E-4,Er2O3薄膜的损失正切为约4E-4,EAG薄膜的损失正切为约4E-4。氧化铝的热导率为约18W/m-K,陶瓷化合物薄膜的热导率为约19.9W/m-K,YAG薄膜的热导率为约20.1W/m-K,Er2O3薄膜的热导率为约19.4W/m-K,EAG薄膜的热导率为约19.2W/m-K。
在一个实施例中,氧化铝基板可具有约8-16微英寸的起始粗糙度,并且在所有的薄膜保护层中,起始粗糙度可大致不变。在沉积之后,可抛光保护层以使表面粗糙度减小到8微英寸或更低。在一个实施例中,将保护层抛光至6-8微英寸的表面粗糙度。
对于陶瓷化合物薄膜,薄膜保护层对氧化铝基板的附着强度可高于28兆帕(MPa),而对于YAG薄膜,薄膜保护层对氧化铝基板的附着强度可高于32MPa。通过测量用于将薄膜保护层与基板分开的力量,可确定附着强度。厄米性测量可使用薄膜保护层实现的密封能力。如表中所示,使用氧化铝可实现约1E-6立方米/秒(cm3/s)的He漏率,使用陶瓷化合物可实现约1.2E-9的He漏率,使用YAG可实现约4.4E-10的He漏率,使用Er2O3可实现约5.5E-9的He漏率,使用YF3可实现约2.6E-9的He漏率,使用YZ20可实现约1.6E-7的He漏率,使用EAG可实现约9.5E-10的He漏率。较低的He泄漏率指示改善的密封。示例薄膜保护层中的每一种具有比典型的Al2O3低的He漏率。
Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物中的每一个都具有在等离子体处理期间可抵抗磨耗的高硬度。如表中所示,氧化铝具有约12.14千兆帕(GPa)的维氏硬度(5千克力),陶瓷化合物具有约7.825GPa的硬度,YAG具有约8.5GPa的硬度,Er2O3具有约5.009GPa的硬度,YZ20具有约5.98GPa的硬度,YF3具有约3.411GPa的硬度,EAG具有约9.057GPa的硬度。氧化铝的测量到的磨耗率为约0.2纳米/射频小时(nm/RFhr),陶瓷化合物的磨耗率为约0.14nm/RFhr,Er2O3的磨耗率为约0.113nm/RFhr,EAG的磨耗率为约0.176nm/RFhr。
注意,在一些实施例中,可改质Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物,使得上述材料的性质和特性可变化高达30%。因此,针对这些材料性质所描述的数值应理解为示例性可实现值。本文中所述的陶瓷薄膜保护层不应当解释为限于所提供的值。
图4示出制品400的另一实施例的剖面侧视图,所述制品400具有在制品400的主体405上沉积的薄膜保护层叠层406。制品400与制品400类似,区别仅在于,薄膜保护层叠层406具有四个薄膜保护层408、410、415、418。
薄膜保护层叠层(诸如,所示的那些叠层)可具有任何数量的薄膜保护层。叠层中的薄膜保护层可全都具有相同的厚度,或可具有不同的厚度。在一些实施例中,薄膜保护层中的每一个可具有小于约20微米的厚度。在一个示例中,第一层408可具有10微米的厚度,且第二层410可具有10微米的厚度。在另一示例中,第一层408可以是具有5微米的厚度的YAG层,第二层410可以是具有5微米的厚度的化合物陶瓷层,第三层415可以是具有5微米的厚度的YAG层,第四层418可以是具有5微米的厚度的化合物陶瓷层。
对将使用的陶瓷层的数量和陶瓷层的组分的选择可基于期望的应用和/或所涂覆的制品的类型。由IAD形成的EAG和YAG薄膜保护层通常具有非晶结构。相比之下,IAD沉积的化合物陶瓷和Er2O3层通常具有结晶或纳米结晶结构。结晶与纳米结晶陶瓷层通常比非晶陶瓷层更抗腐蚀。然而,在一些实例中,具有结晶结构或纳米结晶结构的薄膜陶瓷层会经受偶发的竖直裂痕(大致在朝膜厚方向且大致垂直于经涂覆的表面延伸的裂痕)。此类竖直裂痕可能由晶格失配导致,并且可能是等离子体化学品的攻击点。每当加热和冷却制品,薄膜保护层与所述薄膜保护层涂覆的基板之间的热膨胀系数的失配会在薄膜保护层上导致应力。此类应力会集中在竖直裂痕处。这可能导致薄膜保护层最终从所述薄膜保护层涂覆的基板上剥离。相比之下,如果没有竖直裂痕,则应力大致均匀地分布于薄膜各处。因此,在一个实施例中,薄膜保护层叠层406中的第一层408是非晶陶瓷(诸如,YAG或EAG),薄膜保护层叠层406中的第二层410是结晶或纳米结晶陶瓷(诸如,陶瓷化合物或Er2O3)。在此类实施例中,第二层410可提供比第一层408更大的抗等离子体性。通过将第二层410形成在第一层408上而非直接形成在主体405上,第一层408充当缓冲以使后续的层上的晶格失配最小化。由此,可增加第二层410的寿命。
在另一示例中,主体、Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物中的每一个具有不同的热膨胀系数。两个相邻的材料之间的热膨胀系数失配越大,那些材料中的一种最终将破裂、剥离或以其他方式失去所述材料与其他材料的接合的可能性越大。可采用使相邻的层之间(或层与主体305、405之间)的热膨胀系数的失配最小化的方式来形成保护层叠层306、406。例如,主体405可以是氧化铝,EAG可具有与氧化铝的热膨胀系数最接近的热膨胀系数,其次为YAG的热膨胀系数,然后为化合物陶瓷的热膨胀系数。因此,在一个实施例中,第一层408可以是EAG,第二层410可以是YAG,第三层415可以是化合物陶瓷。
在又一示例中,保护层叠层406中的层可以是两种不同的陶瓷的交替层。例如,第一层408和第三层415可以是YAG,第二层410和第四层418可以是化合物陶瓷。在用于交替层中的一种材料是非晶的且用于交替层中的另一种材料是结晶或纳米结晶的情况下,此类交替层可提供类似上述的优势。
在一些实施例中,薄膜保护层叠层306、406中的一个或更多个层是使用热处理而形成的过渡层。如果主体305、405是陶瓷主体,则可执行高温热处理以促进薄膜保护层与主体之间的相互扩散。此外,可执行热处理以促进相邻的薄膜保护层之间或厚保护层与薄膜保护层之间的相互扩散。值得注意的是,过渡层可以是非多孔层。过渡层可充当两种陶瓷之间的扩散接合,并且可在相邻的陶瓷之间提供改善的的附着性。这可有助于防止保护层在等离子体处理期间破裂、剥离或剥落。
热处理可以是在高达约1400℃-1600℃达多达约24小时(例如,在一实施例中,3-6小时)的热处理。这可在第一薄膜保护层与相邻的陶瓷主体或第二薄膜保护层中的一者或多者之间形成相互扩散层。如果陶瓷主体是Al2O3,并且保护层由化合物陶瓷Y4Al2O9(YAM)与固溶体Y2-xZrxO3(Y2O3-ZrO2固溶体)组成,则将形成Y3Al5O12(YAG)界面层。类似地,热处理将使EAG过渡层在Er2O3与Al2O3之间形成。热处理也将使YAG在Y2O3与Al2O3之间形成。热处理还会使GAG在Gd2O3与Al2O3之间形成。对Al2O3上的氧化钇稳定化的氧化锆(YSZ)的热处理可形成化合物陶瓷Y4Al2O9(YAM)与固溶体Y2-xZrxO3的过渡层。其他过渡层可形成在其他相邻的陶瓷之间。
在一个实施例中,在第一保护层308、408的沉积期间,可添加着色剂。因此,当第二保护层310、410磨损时,操作员可具有是时候重新磨光或更换盖或喷嘴的视觉队列(visualqueue)。
图5A示出根据一个实施例的、具有稀土氧化物抗等离子体层510的腔室盖505的透视视图。图5B示出根据一个实施例的、具有稀土氧化物抗等离子体层510的腔室盖505的剖面侧视图。腔室盖505包括孔520,所述孔502可位于盖的中心处或盖上的别处。盖505也可具有唇缘515,所述唇缘515在所述盖505被关闭时将与腔室的壁接触。在一个实施例中,保护层510不覆盖唇缘515。为了确保保护层不覆盖唇缘515,可在沉积期间使用覆盖唇缘515的硬掩模或软掩模。随后,可在沉积后去除掩模。或者,保护层510可涂覆盖的整个表面。因此,在处理期间,保护层510可安置在腔室的侧壁上。此外,在一些实施例中,盖505的外壁也能以抗等离子体的层555来涂覆。
如图5B中所示,保护层510可具有涂覆了孔520的内部的侧壁部530。保护层510的侧壁部530在盖505的表面附近较厚,并且进入孔520而逐渐变得更薄。在此类实施例中,侧壁部530可不涂覆孔520的全部侧壁。
图5C示出根据一个实施例的、具有稀土氧化物抗等离子体层555的腔室喷嘴550的透视视图。如图所示,稀土氧化物抗等离子体层555可涂覆腔室喷嘴550的末端和侧壁。腔室喷嘴550可插入到盖505中。在一个实施例中,喷嘴550包括安置在盖505上的唇缘565。唇缘565能不以抗等离子体的层555来涂覆。或者,唇缘565能以抗等离子体的层555来涂覆。
图6示出工艺600的一个实施例用于在腔室盖或腔室喷嘴的主体上形成薄膜保护层。在工艺600的框605处,提供腔室盖或喷嘴。盖或喷嘴可具有块状烧结陶瓷体。块状烧结陶瓷体可以是Al2O3、Y2O3、SiO2或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
在框620处,执行离子辅助沉积(IAD)工艺以将稀土氧化物保护层沉积到盖或喷嘴的至少一个表面上。在一个实施例中,执行电子束离子辅助沉积(EB-IAD)工艺。可通过熔化待沉积的材料并以离子轰击所述材料来执行IAD工艺。
薄膜保护层可以是Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12或Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物或本文中所述的其他稀土氧化物。在一个实施例中,薄膜保护层的沉积速率可以是约0.02-20埃/秒(A/s),并且可通过调整沉积参数来改变所述沉积速率。在一个实施例中,最初使用0.25-1A/s的沉积速率以在基板上形成共形且附着良好的涂层。随后可使用2-10A/s的沉积速率来沉积薄膜保护层的其余部分,以便在较短的时间内实现较厚的涂层。薄膜保护层可以是共形性非常好的,可以是厚度均匀的,并且可具有对被沉积的主体/基板的良好的附着性。
在一个实施例中,材料包括着色剂,所述着色剂将使沉积的保护层具有特定的颜色。可使用的着色剂的示例包括Nd2O3、Sm2O3和Er2O3。也可使用其他着色剂。
在框625处,作出是否沉积任何附加的薄膜保护层的确定。如果将沉积附加的薄膜保护层,则工艺继续进行到框630。在框630处,在第一薄膜保护层上形成另一薄膜保护层。所述另一薄膜保护层可由与第一薄膜保护层的陶瓷不同的陶瓷组成。或者,所述另一薄膜保护层可由用于形成第一保护层相同的一种或多种陶瓷组成。
在一个实施例中,所述另一薄膜保护层不包括任何着色剂。因此,即使后续的保护层由与底部保护层几乎相同的陶瓷材料组成,后续的保护层也可具有与底部保护层不同的颜色。这在保护层叠层向下腐蚀至底部保护层时使盖或喷嘴改变颜色。颜色的改变可示意操作员适时更换处理腔室的盖或喷嘴。
在沉积了后续的保护层之后,方法返回到框625。如果在框625中,没有附加的薄膜保护层将被施加,则工艺继续进行到框635。在框635中,抛光保护层的表面。可使用化学机械抛光(CMP)或其他抛光程序来抛光表面。在一个实施例中,抛光顶部保护层的表面,使表面粗糙度小于8微英寸。在另一实施例中,将顶部保护层的表面抛光到小于6微英寸的表面。
可在新的盖和喷嘴上执行工艺600,或可在使用过的盖和喷嘴上执行工艺600以重新磨光使用过的盖和喷嘴。在一个实施例中,在执行工艺600之前,抛光使用过的盖和喷嘴。例如,在执行工艺600之前,可通过抛光来去除先前的保护层。
利用IAD工艺,可由高能离子(或其他粒子)源独立于其他沉积参数来控制高能粒子。根据高能离子通量的能量(例如,速度)、密度和入射角,可操纵薄膜保护层的组分、结构、结晶取向和晶粒尺寸。可调整的附加参数是沉积期间的制品温度和沉积的持续时间。离子能量可粗略地分类成低能量离子辅助和高能量离子辅助。低能量离子辅助可包括约230伏特(V)的电压和约5安培(A)的电流。高能量离子辅助可包括约270V的电压和约7A的电流。离子辅助的低能量与高能量不限于本文中所述的值。高水平与低水平的指定可附加地取决于所使用的离子的类型和/或用于执行IAD工艺的腔室的几何形状。比起低能量离子辅助,高能量离子辅助可以较高速度来投射离子。沉积期间的基板(制品)温度可粗略地划分为低温(在作为典型的室温的一个实施例中,约120℃-150℃)和高温(在一实施例中,约270℃)。对于高温IAD沉积工艺,可在沉积之前以及在沉积期间加热盖或喷嘴。
表2A:使用IAD而形成的示例薄膜保护层
表2B:使用IAD而形成的示例薄膜保护层
表2A-2B示出利用具有各种沉积参数的IAD来形成的多个示例薄膜保护层。实验结果标识了基于多因子实验设计(DOE)的优化的涂覆工艺,所述涂覆工艺改变离子辅助能量、沉积速率和温度以获取共形的、致密微结构。涂层可在材料性质(微结构和/或晶相)和机械性质(硬度与附着性)以及裂痕密度与真空密封能力方面来表征。IAD涂覆工艺优化可产生具有高密度薄膜(厚度高达约300μm)与低残留应力的IAD涂层。经优化的参数可用于大多数稀土氧化物基涂层材料。
针对由Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物形成的薄膜保护层,示出六个不同的示例。第一示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用低能量离子辅助与烧结插塞靶材的IAD来形成:270℃的沉积温度以及2埃/秒(A/s)的沉积速率。X光衍射显示,第一示例化合物陶瓷薄膜保护层具有结晶结构。第一示例化合物陶瓷薄膜保护层还具有4.11GPa的硬度,并目视检查显示出与下方的基板的良好的共形性以及一些竖直裂痕和一些尖头。
第二示例化合物陶瓷薄膜保护层具有6微米的厚度,并且使用具有以下条件的、利用低能量离子辅助与烧结插塞靶材的IAD来形成:270℃的沉积温度、对于最初的2微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X光衍射显示,第二示例化合物陶瓷薄膜保护层具有纳米结晶结构(其中,部分是结晶的,部分是非晶的)。当用作密封件时,第二示例化合物陶瓷薄膜保护层能够维持低至5E-6立方厘米/秒(cm3/s)的真空度。对第二示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性以及比第一示例化合物陶瓷薄膜保护层少的竖直裂痕。
第三示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用低能量离子辅助与烧结插塞靶材的IAD来形成:270℃的沉积温度以及1A/s的沉积速率。X光衍射显示,第三示例化合物陶瓷薄膜保护层具有纳米结晶结构。当用作密封件时,第三示例化合物陶瓷薄膜保护层能够维持低至6.3E-6cm3/s的真空度。对第三示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性以及比第一示例化合物陶瓷薄膜保护层少的竖直裂痕。
第四示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与烧结插塞靶材的IAD来形成:270℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X光衍射显示,第三示例化合物陶瓷薄膜保护层具有大致的非晶结构。当用作密封件时,第三示例化合物陶瓷薄膜保护层能够维持低至1.2E-9cm3/s的真空度。对第四示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性、平滑的表面以及极少的竖直裂痕。此外,第四示例化合物陶瓷薄膜保护层具有7.825GPa的硬度。
第五示例化合物薄膜保护层使用与第四示例化合物薄膜保护层相同的参数来形成,但是沉积温度在室温(约120℃-150℃)下,并且利用煅烧粉末靶材。第五示例化合物薄膜保护层显示出与第四示例化合物薄膜保护层的性质类似的性质。
第六示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与煅烧粉末靶材的IAD来形成:270℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的4微米的4A/s的沉积速率。X光衍射显示,第三示例化合物陶瓷薄膜保护层具有大致的非晶结构。当用作密封件时,第三示例化合物陶瓷薄膜保护层能够维持低至1.2E-9cm3/s的真空度。第四示例化合物陶瓷薄膜保护层具有7.812GPa的硬度。
第一示例YAG薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用低能量离子辅助与经熔合的团块靶材的IAD来形成:270℃的沉积温度以及2.5A/s的沉积速率。X光衍射显示,第一YAG陶瓷薄膜保护层具有非晶结构。第一YAG薄膜保护层还具有5.7GPa的硬度,并且目视检查显示出良好的共形性、最少的破裂以及平滑的表面。
第二示例YAG薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与经熔合的团块靶材的IAD来形成:270℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的4微米的2A/s沉积速率。X光衍射显示,第二YAG薄膜保护层具有非晶结构。第二YAG薄膜保护层还具有8.5GPa的硬度,并且目视检查显示出良好的共形性、比第一YAG薄膜少的破裂以及平滑的表面。
具有交替的化合物陶瓷层与YAG层的示例薄膜保护层叠层具有5微米的厚度,并且使用利用低能量离子辅助的IAD来形成:270℃的沉积温度以及2A/s的沉积速率。X光衍射显示,交替的层是非晶的(对于YAG层)和结晶或纳米结晶的(对于化合物陶瓷层)。目视检查显示出化合物陶瓷层的减少的竖直裂痕。
第一示例Er2O3薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用低能量离子辅助与烧结团块靶材的IAD来形成:270℃的沉积温度以及2A/s的沉积速率。X光衍射显示,第一Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性以及竖直破裂。
第二示例Er2O3薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与烧结团块靶材的IAD来形成:270℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X光衍射显示,第二Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性以及比第一Er2O3陶瓷薄膜保护层少的竖直破裂。
第一示例EAG薄膜保护层具有7.5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与煅烧粉末靶材的IAD来形成:270℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,第一EAG陶瓷薄膜保护层具有非晶结构,并且层具有8.485GPa的硬度。目视检查显示出良好的共形性与最少的破裂。
第二示例EAG薄膜保护层具有7.5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与煅烧粉末靶材的IAD来形成:120℃-150℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,第二EAG陶瓷薄膜保护层具有非晶结构,并层具有9.057GPa的硬度。目视检查显示出良好的共形性以及比第一EAG陶瓷薄膜保护层少的破裂。
第三示例EAG薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与煅烧粉末靶材的IAD来形成:对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,第三EAG陶瓷薄膜保护层具有非晶结构。
示例Y2O3薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与经熔合的团块靶材的IAD来形成:270℃的沉积温度,对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,第三EAG陶瓷薄膜保护层具有结晶结构。
示例YZ20薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助与粉末靶材的IAD来形成:120℃-150℃的沉积温度、对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,YZ20陶瓷薄膜保护层具有结晶结构。当用作密封件时,YZ20陶瓷薄膜保护层能够维持低至1.6E-7cm3/s的真空度。YZ20陶瓷薄膜保护层具有5.98GPa的硬度。
示例YF3薄膜保护层具有5微米的厚度,并且使用具有以下条件的、利用高能量离子辅助的IAD来形成:120℃-150℃的温度、对于最初的1微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X光衍射显示,YF3陶瓷薄膜保护层具有非晶结构。当用作密封件时,YF3陶瓷薄膜保护层能够维持低至2.6E-9cm3/s的真空度。YF3陶瓷薄膜保护层具有3.411GPa的硬度。
表3:IAD盖与喷嘴最佳化的涂覆工艺参数
表3示出根据一个实施例的、用于涂覆腔室盖或喷嘴的最佳化的IAD处理参数。表3附加地示出可在一些实施例中用于沉积薄膜保护层的处理参数范围。在其他实施例中,可使用一些更宽范围的处理值。在一个实施例中,使用以下参数来执行IAD工艺:150-270伏特(V)的电压、5-7安培(A)的电流、100℃-270℃的温度、0.01-20埃/秒(A/s)的沉积速率、0-90度的入射角以及10-300英寸(in.)的工作距离。在另一实施例中,使用以下参数来执行IAD工艺:50-500V的电压、1-50A的电流、20℃-500℃的温度、0.01-20A/s的沉积速率、10-300英寸的工作距离以及10-90度的入射角。
通过调整由电子束施加的热量,可控制涂层沉积速率。离子辅助能量可用于使涂层致密并加速材料在盖或喷嘴的表面上的沉积。通过调整离子源的电压和/或电流,可修改离子辅助能量。可调整电流和电压以实现高涂层密度与低涂层密度,操纵涂层的应力,并且影响涂层的结晶度。离子辅助能量可从50V变化到500V,并从1A变化到50A。沉积速率可从0.01A/s变化到20A/s。
在一个实施例中,与包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物一起使用的高离子辅助能量形成非晶保护层,而与包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物一起使用低离子辅助能量形成结晶保护层。也可使用离子辅助能量来改变保护层的化学计量。例如,可使用金属靶材,并且在沉积期间,通过在盖或喷嘴的表面并入氧离子,金属材料转化为金属氧化物。此外,使用氧枪可改变并优化任何金属氧化物涂层的水平以实现期望的涂层性质。例如,在真空腔室内,大多数稀土氧化物失氧。通过在腔室内渗入更多氧,可补偿氧化物涂层材料的缺氧。
可通过使用加热器(例如,加热灯)以及通过控制沉积速率来控制涂层温度。较高的沉积速率通常将使盖或喷嘴的温度升高。可改变沉积温度以控制膜应力、结晶度等。温度可从20℃变化至500℃。
可调整工作距离以修改均匀度、密度和沉积速率。工作距离可从10英寸变化到300英寸。可通过电子束枪或电子束炉的位置、或通过改变盖或喷嘴相对电子束枪或电子束炉的位置来改变沉积角。通过优化沉积角,可实现三维几何形状中的均匀的涂层。沉积角可从0度变化到90度,并且在一个实施例中,可从10度到90度。
在一个实施例中,使用约188V的电压并结合具有相关联的处理参数范围内的任一处理参数范围的其他处理参数来执行IAD工艺。在一个实施例中,使用约7A的电流并结合使用具有相关联的处理参数范围内的任一处理参数范围的其他处理参数来执行IAD工艺。在一个实施例中,使用约150℃的温度并结合使用具有相关联的处理参数范围内的任一处理参数范围的其他处理参数来执行IAD工艺。在一个实施例中,使用用约1A/s的沉积速率并结合使用具有相关联的处理参数范围内的任一处理参数范围的其他处理参数来执行IAD工艺。在进一步的实施例中,使用2A/s的沉积速率,直到所沉积的薄膜达到1μm的厚度为止,然后使用1A/s的沉积速率。在另一实施例中,最初使用0.25-1A/s的沉积速率以在基板上形成共形且附着良好的涂层。随后,可使用2-10A/s的沉积速率来沉积薄膜保护层的其余部分,从而在较短的时间内实现较厚的涂层。
在一个实施例中,使用约30度的入射角并结合使用具有相关联的处理参数范围内的任一参数范围的其他处理参数来执行IAD工艺。在一个实施例中,使用约50英寸的工作距离并结合使用具有相关联的处理参数范围内的任一处理参数范围的其他处理参数来执行IAD工艺。
图7示出暴露于电介质蚀刻CF4化学品的各种材料的腐蚀速率,包括根据本文中所述的实施例而生成的多个不同的IAD涂层的腐蚀速率。如图所示,92%氧化铝的腐蚀速率为约1.38微米/射频小时(μm/Rfhr),99.8%氧化铝的腐蚀速率为约1.21μm/Rfhr,IAD沉积的YAG的腐蚀速率为约0.28μm/Rfhr,IAD沉积的EAG的腐蚀速率为约0.24μm/Rfhr,IAD沉积的Y2O3的腐蚀速率为约0.18μm/Rfhr,IAD沉积的Er2O3的腐蚀速率为约0.18μm/Rfhr,IAD沉积的化合物陶瓷的腐蚀速率为约0.18μm/Rfhr。一个射频小时是一个处理小时。
图8-9示出根据本发明的实施例而形成的薄膜保护层的腐蚀速率。图8示出薄膜保护层在暴露于CH4/Cl2等离子体化学品时的腐蚀速率。如图所示,IAD沉积的薄膜保护层显示出比Al2O3改善得多的抗腐蚀性。例如,具有92%纯度的氧化铝显示出约18纳米/射频小时(nm/RFHr)的腐蚀速率,而具有99.8%纯度的氧化铝显示出约56nm/RFHr的腐蚀速率。相比之下,IAD沉积的化合物陶瓷薄膜保护层显示出约3nm/RFHr的腐蚀速率,而IAD沉积的YAG薄膜保护层显示出约1nm/RFHr的腐蚀速率。
图9示出薄膜保护层在暴露于H2/NF3等离子体化学品时的腐蚀速率。如所示,IAD沉积的薄膜保护层显示出比Al2O3改善得多的抗腐蚀性。例如,具有92%纯度的氧化铝显示出约190nm/RFHr的腐蚀速率,具有99.8%纯度的氧化铝显示出约165nm/RFHr的腐蚀速率。相比之下,IAD沉积的YAG薄膜保护层显示出约52nm/RFHr的腐蚀速率。类似地,使用利用低能量离子的IAD而沉积的化合物陶瓷薄膜保护层显示出约45nm/RFHr的沉积速率,而使用利用高能量离子的IAD而沉积的化合物陶瓷薄膜保护层显示出约35nm/RFHr的腐蚀速率。使用利用高沉积温度(例如,约270℃)的IAD而沉积的EAG薄膜保护层显示出约95nm/RFHr的腐蚀速率,而使用利用低沉积温度(例如,约120℃-150℃)的IAD而沉积的EAG薄膜保护层显示出约70nm/RFHr的腐蚀速率。使用利用高能量离子的IAD而沉积的Er2O3薄膜保护层显示出约35nm/RFHr的腐蚀速率。
图10-11示出根据本发明的实施例而形成的薄膜保护层的粗糙度轮廓。图10示出图8薄膜保护层在暴露于CH4/Cl2等离子体化学品之前以及暴露于CH4/Cl2等离子体化学品达100RFHr之后的表面粗糙度概况。如图所示,IAD沉积的薄膜保护层显示在暴露于CH4/Cl2等离子体化学品达100RFHr之后显示出最小的表面粗糙度变化。
图11示出图9薄膜保护层在暴露于H2/NF3等离子体化学品之前以及暴露于H2/NF3等离子体化学品达35RFHr之后的表面粗糙度概况。如图所示,IAD沉积的薄膜保护层在暴露于H2/NF3等离子体化学品达35RFHr之后显示出最小的表面粗糙度变化。
图12示出在低偏置下暴露于CF4-CHF3沟槽用化学品的腐蚀速率,包括根据本文中所述的实施例而生成的多个不同的IAD涂层的腐蚀速率。如图所示,92%氧化铝的腐蚀速率为约0.26微米/射频小时(μm/Rfhr),IAD沉积的EAG的腐蚀速率为约0.18μm/Rfhr,IAD沉积的YAG的腐蚀速率为约0.15μm/Rfhr,等离子体喷涂沉积的化合物陶瓷的腐蚀速率为约0.09μm/Rfhr,IAD沉积的Y2O3的腐蚀速率为约0.08μm/Rfhr,IAD沉积的陶瓷化合物的腐蚀速率为约0.07μm/Rfhr,块状Y2O3的腐蚀速率为约0.07μm/Rfhr,块状陶瓷化合物的腐蚀速率为约0.065μm/Rfhr,IAD沉积的Er2O3的腐蚀速率为约0.05μm/Rfhr。当在高偏置下使用CF4-CHF3沟槽用化学品来蚀刻这些材料时,类似的蚀刻结果会发生。例如,在高偏置下,92%氧化铝的蚀刻速率为约1.38μm/Rfhr,IAD沉积的EAG的腐蚀速率为约0.27μm/Rfhr,IAD沉积的YAG的腐蚀速率为约0.27μm/Rfhr,等离子体喷涂沉积的化合物陶瓷的腐蚀速率为约0.35μm/Rfhr,IAD沉积Y2O3的腐蚀速率为约0.18μm/Rfhr,IAD沉积的陶瓷化合物的腐蚀速率为约0.19μm/Rfhr,块状Y2O3的腐蚀速率为约0.4μm/Rfhr,块状陶瓷化合物的腐蚀速率为约0.4μm/Rfhr,IAD沉积的Er2O3的腐蚀速率为约0.18μm/Rfhr。
表4:金属污染结果
以根据本发明的实施例而形成的IAD沉积的薄膜保护层来涂覆的盖和喷嘴遭受的金属污染结果具有符合表4中所示的规范。表中标识了金属污染元素、检测极限、规范限制、第一测试结果和第二测试结果。检测极限是污染的最小可检测水平。规范限制是在测试中经处理的基板(例如,晶片)上发现的每平方厘米的原子(原子/cm2)。如表中所示,在两个不同的金属污染测试中,铝和钇的污染水平小于100原子/cm2,而镍、铁、铬、铜、钛和钙的污染水平小于10原子/cm2。对于这些测试中的每一个测试,在测试过程期间使用包括包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物的薄膜保护层的盖和喷嘴。在每一个测试过程之后,测量金属污染的水平。使用根据所述本文中所述的实施例而形成的其他IAD沉积的薄膜保护层,类似的污染结果是可实现的。
在使用具有包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物的薄膜保护层的盖和喷嘴的100RFhr的处理之后,在经处理的300mm的晶片上的整体颗粒污染经测试结果为:约50个尺寸大于65nm的颗粒、约40个尺寸大于90nm的颗粒以及约20个尺寸大于165nm的颗粒。所测得的颗粒污染是300mm晶片上给定的尺寸上的颗粒总数。在50RFhr的处理之后,整体颗粒污染经测试结果为:约40个尺寸大于65nm的颗粒、约30个尺寸大于90nm的颗粒以及约18个尺寸大于165nm的颗粒。
以上说明陈述了众多特定细节(例如,特定系统、部件、方法等的示例),从而提供对本发明的若干实施例的良好理解。然而,对本领域技术人员将显而易见的是,可在不具有这些特定细节的情况下实施本发明的至少一些实施例。在其他实例中,不详细地描述或以简单的框图格式来呈现公知的部件或方法以避免不必要地使本发明含糊。因此,所陈述的特定细节仅是示例性的。特定的实施方案可与这些示例性细节有所不同,并且仍被视为落在本发明的范围内。
遍及本说明书提及“一个实施例”或“一实施例”意指结合所述实施例所描述的特定特征、结构或特性被包括在至少一个实施例中。因此,遍及本说明书的各处中出现短语“在一个实施例中”或“在一实施例中”不一定全都指同一个实施例。此外,术语“或”旨在表示包括性的“或”而非排他性的“或”。当在本文中使用术语“约”或“大致”时,旨在表示标称值在±30%以内是精确的。
虽然以特定的顺序示出和描述了本文中的方法的诸操作,但是可改变每一种方法的操作的顺序,使得可逆序地执行某些操作,或使得可至少部分地与其他操作同时地进行某些操作。在另一实施例中,不同的操作的指令或子操作可以是间歇的和/或交替的方式。
将会理解,以上描述旨在是说明性的而非限定性的。在本领域技术人员阅读并理解上述描述后,许多其他实施例对于他们将是显而易见的。因此,本发明的保护范围应当参照所附权利要求以及由此类权利要求赋予权利的等效方案的完整范围来确定。

Claims (14)

1.一种用于蚀刻反应器的腔室部件,包含:
盖或喷嘴,所述盖或喷嘴包含陶瓷主体;以及
保护层,所述保护层位于所述陶瓷主体的至少一个表面上,其中,所述保护层是抗等离子体的稀土氧化物膜,所述抗等离子体的稀土氧化物膜具有0.5μm到300μm的厚度且具有小于10微英寸的平均表面粗糙度并且所述保护层的孔隙度小于1%,其中所述保护层具有涂覆了所述盖所包括的孔的内部的一部分的侧壁部,并且所述保护层的所述侧壁部在所述盖的表面附近较厚并且进入所述孔而逐渐变得更薄,其中所述保护层是离子辅助沉积IAD涂层。
2.如权利要求1所述的腔室部件,其中,所述保护层包含以下各项中的至少一者:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
3.如权利要求1所述的腔室部件,其中,所述保护层具有10μm-30μm的厚度。
4.如权利要求1所述的腔室部件,其中,所述保护层包括陶瓷化合物,所述陶瓷化合物包含Y4Al2O9与Y2O3-ZrO2固溶体,其中,所述陶瓷化合物具有选自由下列各项组成的列表的组分:
40摩尔%-100摩尔%的Y2O3、0-60摩尔%的ZrO2和0-10摩尔%的Al2O3
40摩尔%-60摩尔%的Y2O3、30摩尔%-50摩尔%的ZrO2和10摩尔%-20摩尔%的Al2O3
40摩尔%-50摩尔%的Y2O3、20摩尔%-40摩尔%的ZrO2和20摩尔%-40摩尔%的Al2O3
70摩尔%-90摩尔%的Y2O3、0-20摩尔%的ZrO2和10摩尔%-20摩尔%的Al2O3
60摩尔%-80摩尔%的Y2O3、0-10摩尔%的ZrO2和20摩尔%-40摩尔%的Al2O3;以及
40摩尔%-60摩尔%的Y2O3、0-20摩尔%的ZrO2和30摩尔%-40摩尔%的Al2O3
5.如权利要求1所述的腔室部件,其中,所述保护层具有以下组分:40摩尔%-45摩尔%的Y2O3、5摩尔%-10摩尔%的ZrO2、35摩尔%-40摩尔%的Er2O3、5摩尔%-10摩尔%的Gd2O3和5摩尔%-15摩尔%的SiO2
6.如权利要求1所述的腔室部件,其中,所述保护层具有小于8微英寸的抛光后粗糙度。
7.如权利要求1所述的腔室部件,其中,所述陶瓷主体是块状烧结陶瓷体,并且包含以下各项中的至少一者:Al2O3、Y2O3、SiO2或包含Y4Al2O9与Y2O3-ZrO2固溶体的陶瓷化合物。
8.如权利要求1所述的腔室部件,其中,所述保护层包含保护层叠层,所述保护层叠层包含在所述至少一个表面上的第一抗等离子体的稀土氧化物膜以及在所述第一抗等离子体的稀土氧化物膜上的第二抗等离子体的稀土氧化物膜,其中,所述第一抗等离子体的稀土氧化物膜包含着色剂,所述着色剂使所述第一抗等离子体的稀土氧化物膜具有与所述第二抗等离子体的稀土氧化物膜不同的颜色。
9.如权利要求8所述的腔室部件,其中,所述着色剂包含以下至少一者:Nd2O3、Sm2O3或Er2O3
10.一种制造制品的方法,所述方法包含以下步骤:
提供用于蚀刻反应器的盖或喷嘴;
执行离子辅助沉积以在所述盖或喷嘴的至少一个表面上沉积保护层,其中,所述保护层是具有0.5μm到300μm的厚度的抗等离子体的稀土氧化物膜并且所述保护层的孔隙度小于1%;以及
将所述保护层抛光至小于10微英寸的平均表面粗糙度,
其中所述保护层具有涂覆了所述盖所包括的孔的内部的一部分的侧壁部,并且所述保护层的所述侧壁部在所述盖的表面附近较厚并且进入所述孔而逐渐变得更薄。
11.如权利要求10所述的方法,进一步包含以下步骤:
将所述保护层抛光至8微英寸或更小的平均表面粗糙度。
12.如权利要求10所述的方法,其中,所述保护层具有10μm-30μm的厚度,并且其中,使用1-2埃/秒的沉积速率来沉积所述保护层。
13.如权利要求10所述的方法,进一步包含以下步骤:
执行所述离子辅助沉积步骤以在所述第一保护层上沉积第二保护层,其中,所述第二保护层是具有5μm-30μm的厚度的附加的抗等离子体的稀土氧化物膜。
14.如权利要求13所述的方法,其中,所述第一保护层包含着色剂,所述着色剂使所述第一保护层具有与所述第二保护层不同的颜色。
CN201480041007.6A 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积 Active CN105392913B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201910304795.8A CN109972091A (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201711374831.5A CN107964650A (zh) 2013-07-20 2014-07-15 腔室部件、抗等离子体盖或喷嘴及制造制品的方法
CN201910305677.9A CN110016645B (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361856696P 2013-07-20 2013-07-20
US61/856,696 2013-07-20
US14/034,315 2013-09-23
US14/034,315 US9583369B2 (en) 2013-07-20 2013-09-23 Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
PCT/US2014/046732 WO2015013070A1 (en) 2013-07-20 2014-07-15 Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles

Related Child Applications (3)

Application Number Title Priority Date Filing Date
CN201711374831.5A Division CN107964650A (zh) 2013-07-20 2014-07-15 腔室部件、抗等离子体盖或喷嘴及制造制品的方法
CN201910304795.8A Division CN109972091A (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201910305677.9A Division CN110016645B (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积

Publications (2)

Publication Number Publication Date
CN105392913A CN105392913A (zh) 2016-03-09
CN105392913B true CN105392913B (zh) 2019-05-10

Family

ID=52342733

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201910304795.8A Pending CN109972091A (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201910305677.9A Active CN110016645B (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201480041007.6A Active CN105392913B (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201711374831.5A Pending CN107964650A (zh) 2013-07-20 2014-07-15 腔室部件、抗等离子体盖或喷嘴及制造制品的方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201910304795.8A Pending CN109972091A (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
CN201910305677.9A Active CN110016645B (zh) 2013-07-20 2014-07-15 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201711374831.5A Pending CN107964650A (zh) 2013-07-20 2014-07-15 腔室部件、抗等离子体盖或喷嘴及制造制品的方法

Country Status (6)

Country Link
US (5) US9583369B2 (zh)
JP (4) JP6661241B2 (zh)
KR (4) KR102260313B1 (zh)
CN (4) CN109972091A (zh)
TW (5) TWI751269B (zh)
WO (1) WO2015013070A1 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR20180071695A (ko) 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN109841475B (zh) * 2017-11-28 2021-06-08 北京北方华创微电子装备有限公司 预处理方法及晶片处理方法
JP6975972B2 (ja) * 2018-01-19 2021-12-01 学校法人 芝浦工業大学 Yf3成膜体の製造方法
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN112236839A (zh) * 2018-06-14 2021-01-15 应用材料公司 具保护性涂层的处理腔室的处理配件
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN111312633A (zh) * 2018-07-27 2020-06-19 上海华力集成电路制造有限公司 硅刻蚀机及其操作方法
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
JP2022538099A (ja) * 2019-06-25 2022-08-31 ピコサン オーワイ 基板処理装置におけるプラズマ
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
US11842890B2 (en) * 2019-08-16 2023-12-12 Applied Materials, Inc. Methods and apparatus for physical vapor deposition (PVD) dielectric deposition
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
KR102371936B1 (ko) * 2019-12-04 2022-03-08 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN114277340B (zh) * 2020-09-28 2023-12-29 中微半导体设备(上海)股份有限公司 零部件、耐等离子体涂层的形成方法和等离子体反应装置
EP4228894A1 (en) * 2020-10-15 2023-08-23 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making
CN114678248A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 形成涂层的装置和方法、零部件和等离子体装置
JP2022143281A (ja) * 2021-03-17 2022-10-03 キオクシア株式会社 基板処理装置及び基板の処理方法
TW202237397A (zh) * 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) * 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
US11875973B2 (en) * 2021-07-16 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for preparing void-free coatings for plasma treatment components
WO2024080251A1 (ja) * 2022-10-11 2024-04-18 株式会社シンクロン イットリウム系皮膜及びその製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101030524A (zh) * 2005-10-21 2007-09-05 信越化学工业株式会社 耐腐蚀的多层构件
CN101168842A (zh) * 2006-10-23 2008-04-30 应用材料股份有限公司 抗等离子体层的低温气浮沉积
CN101173345A (zh) * 2006-10-31 2008-05-07 福吉米株式会社 热喷涂粉末、形成热喷涂涂层的方法以及抗等离子体构件
CN105378900A (zh) * 2013-07-19 2016-03-02 应用材料公司 用于工艺环上的稀土氧化物基薄膜涂层的离子辅助沉积

Family Cites Families (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
DE3889024T2 (de) 1987-07-13 1994-10-13 Sumitomo Electric Industries Verfahren zum Herstellen einer supraleitenden Dünnschicht.
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
US5573862A (en) 1992-04-13 1996-11-12 Alliedsignal Inc. Single crystal oxide turbine blades
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5741544A (en) 1995-08-31 1998-04-21 Olin Corporation Articles using specialized vapor deposition processes
DE69717182T2 (de) * 1996-03-07 2003-07-24 Tadahiro Ohmi Excimerlasergenerator
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
TW392215B (en) 1997-02-19 2000-06-01 Anelva Corp Surface processing apparatus
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
DE69920152T2 (de) * 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
US6433403B1 (en) * 1999-04-21 2002-08-13 Micron Technology, Inc. Integrated circuit having temporary conductive path structure and method for forming the same
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6579818B2 (en) 2000-08-28 2003-06-17 Kyocera Corporation Glass ceramic sintered product
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US7563293B2 (en) 2001-08-02 2009-07-21 3M Innovative Properties Company Al2O3-rare earth oxide-ZrO2/HfO2 materials, and methods of making and using the same
BR0211580A (pt) 2001-08-02 2004-07-13 3M Innovative Properties Co Métodos para a fabricação de material amorfo, de cerâmica compreendendo vidro, de um artigo compreendendo vidro, de vidro-cerâmica, de um artigo de vidro-cerâmica e de partìculas abrasivas
US7507268B2 (en) 2001-08-02 2009-03-24 3M Innovative Properties Company Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP3649210B2 (ja) * 2002-06-07 2005-05-18 株式会社日本セラテック 耐食性部材
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
KR100618630B1 (ko) * 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
JP2005170728A (ja) * 2003-12-10 2005-06-30 Toshiba Ceramics Co Ltd Y2o3焼結体およびその製造方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
US8110043B2 (en) 2004-01-08 2012-02-07 University Of Virginia Patent Foundation Apparatus and method for applying coatings onto the interior surfaces of components and related structures produced therefrom
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US7354663B2 (en) 2004-04-02 2008-04-08 Mitsubishi Heavy Industries, Ltd. Thermal barrier coating, manufacturing method thereof, turbine part and gas turbine
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN101076614A (zh) 2004-07-07 2007-11-21 莫门蒂夫性能材料股份有限公司 基底上的保护涂层及其制备方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US20060110609A1 (en) 2004-11-19 2006-05-25 Eaton Harry E Protective coatings
JP4513541B2 (ja) * 2004-12-17 2010-07-28 宇部興産株式会社 光変換用セラミック複合体を用いた発光装置
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7989095B2 (en) 2004-12-28 2011-08-02 General Electric Company Magnetic layer with nanodispersoids having a bimodal distribution
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
CN101218376A (zh) 2005-06-17 2008-07-09 国立大学法人东北大学 金属构件的保护膜构造及采用该保护膜构造的金属零件、半导体或平板显示器制造装置
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4372748B2 (ja) 2005-12-16 2009-11-25 トーカロ株式会社 半導体製造装置用部材
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
KR100997839B1 (ko) 2006-01-31 2010-12-01 도쿄엘렉트론가부시키가이샤 마이크로파 플라즈마 처리 장치 및 천판
JP2007223828A (ja) 2006-02-22 2007-09-06 Toshiba Ceramics Co Ltd イットリアセラミックス焼結体およびその製造方法
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP4258536B2 (ja) * 2006-08-11 2009-04-30 独立行政法人産業技術総合研究所 結晶化金属酸化物薄膜の製造方法
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
WO2008044555A1 (fr) 2006-10-06 2008-04-17 Asahi Tech Co., Ltd. élément résistant à la corrosion et son procédé de fabrication
US7919722B2 (en) * 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
WO2008114753A1 (ja) 2007-03-22 2008-09-25 Tokyo Electron Limited 基板載置台,基板処理装置,基板載置台の表面加工方法
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI695822B (zh) * 2007-04-27 2020-06-11 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2009068067A (ja) 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP5047741B2 (ja) 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
CN100522607C (zh) * 2007-09-24 2009-08-05 北京航空航天大学 Al2O3/Al-Si-Cr复合涂层及其制备方法
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
JP2010070854A (ja) * 2008-08-20 2010-04-02 Kyocera Corp 耐食性部材およびこれを用いた半導体製造装置
EP2342951B1 (en) 2008-10-31 2019-03-06 Lam Research Corporation Lower electrode assembly of plasma processing chamber
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
US8262923B2 (en) 2008-12-17 2012-09-11 Lam Research Corporation High pressure bevel etch process
EP2389342B1 (en) 2009-01-22 2020-11-04 3M Innovative Properties Company Surface-modified zirconia nanoparticles
TWI456679B (zh) 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
RU2441103C2 (ru) * 2009-04-28 2012-01-27 Общество с ограниченной ответственностью "Производственное предприятие "Турбинаспецсервис" Способ получения теплозащитного покрытия
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
EP2292357B1 (en) 2009-08-10 2016-04-06 BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG Ceramic article and methods for producing such article
JP5346256B2 (ja) * 2009-09-02 2013-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9194242B2 (en) 2010-07-23 2015-11-24 Rolls-Royce Corporation Thermal barrier coatings including CMAS-resistant thermal barrier coating layers
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US10720350B2 (en) * 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
JP2012221979A (ja) 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8979087B2 (en) 2011-07-29 2015-03-17 Applied Materials, Inc. Substrate supporting edge ring with coating for improved soak performance
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
KR20180117713A (ko) 2011-09-26 2018-10-29 가부시키가이샤 후지미인코퍼레이티드 희토류 원소를 포함한 용사용 분말 및 피막 및 상기 피막을 구비한 부재
WO2013070520A2 (en) 2011-11-03 2013-05-16 Barson Composites Corporation Corrosion-resistant diffusion coatings
JP5665726B2 (ja) 2011-12-14 2015-02-04 株式会社東芝 エッチング装置およびフォーカスリング
US9096763B2 (en) 2011-12-19 2015-08-04 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings and processes for making and applying the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140034242A1 (en) 2012-07-31 2014-02-06 Lam Research Corporation Edge ring assembly for plasma processing chamber and method of manufacture thereof
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9790596B1 (en) 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
CN103215535B (zh) * 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
WO2015151857A1 (ja) 2014-03-31 2015-10-08 株式会社東芝 耐プラズマ部品及び耐プラズマ部品の製造方法及び耐プラズマ部品の製造に用いる膜堆積装置
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
JP5987097B2 (ja) 2015-09-07 2016-09-06 株式会社フジミインコーポレーテッド 溶射皮膜
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101030524A (zh) * 2005-10-21 2007-09-05 信越化学工业株式会社 耐腐蚀的多层构件
CN101168842A (zh) * 2006-10-23 2008-04-30 应用材料股份有限公司 抗等离子体层的低温气浮沉积
CN101173345A (zh) * 2006-10-31 2008-05-07 福吉米株式会社 热喷涂粉末、形成热喷涂涂层的方法以及抗等离子体构件
CN105378900A (zh) * 2013-07-19 2016-03-02 应用材料公司 用于工艺环上的稀土氧化物基薄膜涂层的离子辅助沉积

Also Published As

Publication number Publication date
JP6596060B2 (ja) 2019-10-23
KR20210157475A (ko) 2021-12-28
TWI773579B (zh) 2022-08-01
CN110016645B (zh) 2021-06-18
TW201826390A (zh) 2018-07-16
TWI687996B (zh) 2020-03-11
WO2015013070A1 (en) 2015-01-29
US9812341B2 (en) 2017-11-07
US10930526B2 (en) 2021-02-23
TWI745534B (zh) 2021-11-11
CN110016645A (zh) 2019-07-16
JP6929397B2 (ja) 2021-09-01
CN105392913A (zh) 2016-03-09
US20150021324A1 (en) 2015-01-22
JP6661241B2 (ja) 2020-03-11
TW201830518A (zh) 2018-08-16
JP2016530192A (ja) 2016-09-29
KR102342795B1 (ko) 2021-12-22
TWI656572B (zh) 2019-04-11
TW201505092A (zh) 2015-02-01
KR20160033700A (ko) 2016-03-28
CN107964650A (zh) 2018-04-27
KR20210064432A (ko) 2021-06-02
JP2020097522A (ja) 2020-06-25
CN109972091A (zh) 2019-07-05
TW201830555A (zh) 2018-08-16
US20170133207A1 (en) 2017-05-11
US9869012B2 (en) 2018-01-16
US9583369B2 (en) 2017-02-28
TWI751269B (zh) 2022-01-01
US20180100228A1 (en) 2018-04-12
JP2021185267A (ja) 2021-12-09
US11424136B2 (en) 2022-08-23
JP2018087129A (ja) 2018-06-07
KR20170143027A (ko) 2017-12-28
US20210118703A1 (en) 2021-04-22
KR102258598B1 (ko) 2021-05-28
TW202210643A (zh) 2022-03-16
US20170130319A1 (en) 2017-05-11
KR102516707B1 (ko) 2023-03-30
KR102260313B1 (ko) 2021-06-02

Similar Documents

Publication Publication Date Title
CN105392913B (zh) 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
JP6714571B2 (ja) プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
CN107916399B (zh) 稀土氧化物的顶部涂层的离子辅助沉积

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant