JP2012508467A - プラズマチャンバ部品用耐プラズマコーティング - Google Patents

プラズマチャンバ部品用耐プラズマコーティング Download PDF

Info

Publication number
JP2012508467A
JP2012508467A JP2011535670A JP2011535670A JP2012508467A JP 2012508467 A JP2012508467 A JP 2012508467A JP 2011535670 A JP2011535670 A JP 2011535670A JP 2011535670 A JP2011535670 A JP 2011535670A JP 2012508467 A JP2012508467 A JP 2012508467A
Authority
JP
Japan
Prior art keywords
plasma
resistant coating
substrate
coating
plasma resistant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011535670A
Other languages
English (en)
Other versions
JP6278584B2 (ja
Inventor
ジェニファー ワイ サン
シャオ ミング ヘ
セン サチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012508467A publication Critical patent/JP2012508467A/ja
Application granted granted Critical
Publication of JP6278584B2 publication Critical patent/JP6278584B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Abstract

耐プラズマコーティング材料、耐プラズマコーティング、及びそのようなコーティングをハードウェア部品上に形成する方法。一実施形態では、ハードウェア部品は静電チャック(ESC)であり、耐プラズマコーティングはESCの表面上に形成される。耐プラズマコーティングは、熱溶射以外の方法によって形成され、これによって有利な材料特性を有する耐プラズマコーティングを提供する。

Description

背景
1)分野
本発明の実施形態はプラズマ処理装置の分野に関し、特にプラズマ処理チャンバの部品用耐プラズマコーティングに関する。
2)関連技術の説明
真空プラズマ処理チャンバは、太陽電池及び集積回路等のデバイス製造間におけるプラズマ処理に使用される。プロセスガスのプラズマを生成するためにプロセスガスに電場が印加される間、プロセスガスは処理チャンバ内へ流される。稼働コストを減らすために、処理プラズマに曝露されるプラズマ処理チャンバ内の部品の寿命は、部品が耐プラズマ性をもつように設計することによって延びる。本明細書内で使用されるとき、「耐プラズマ」という語句は、プラズマ処理チャンバ内で生成されるプラズマ処理条件に曝露される時に浸食及び腐食に対して抵抗力をもつことを言う。耐プラズマ部品は現在、バルク材から、又は基板上に保護コーティングを熱溶射することによって作られる。
図1は、一般的にプラズマ溶射と称されるプラズマを使用する従来の熱溶射法100を示す。通常、粉末、液体、又はワイヤの形態をもつ原材料101は、高温プラズマトーチ105内に導入される。供給ガス120(例えば、アルゴン、窒素、水素、ヘリウム)は、陰極122の周りを陽極ノズル123へ向かって流れる。プラズマは、電気的アークのための局所的なイオン化及び導電性経路を陰極122と陽極ノズル123の間に形成させる高圧放電によって開始され、これによって供給ガス120のプラズマ放電を形成する。電気の流れを運ばないプラズマトーチ105(即ち、中性のプラズマ)として、プラズマは陽極ノズル123を出る。プラズマトーチの温度は10,000Kのオーダーにあり、原材料101を溶解又は軟化させ小滴107にし、それらを基板110へ向かって進ませる。
溶解した小滴107は基板110に衝突すると平坦化し、急速に凝固し、これによって一般的に「スプラット」と称されるホットケーキ状の薄層(ラメラ)を含むコーティング115を形成する。原料粒子は通常、数マイクロメートル(μm)〜100μm超までの大きさを有するので、1つの薄層は一般に約1μmの厚さと数μm〜100μm超までの側部寸法を有する。個々の薄層の間には、小さなボイド(孔、クラック、及び不完全な接合の領域等)がある。
概要
本発明の実施形態は、耐プラズマコーティング材料、耐プラズマコーティング、及びそのようなコーティングをハードウェア部品上に形成する方法を含む。特定の実施形態では、ハードウェア部品は、プラズマチャンバによって実行される処理の間にプラズマに曝露されるプラズマチャンバ部品である。そのような一実施形態では、プラズマチャンバ部品は静電チャック(ESC)であり、耐プラズマコーティングは、ESCの表面上(例えば、プラズマ処理の間にワークピースがプラズマチャンバ内で上部に配置されるパック表面上)に形成される。
一実施形態では、耐プラズマコーティングは、コーティングが上部に堆積される基板に固有でないセラミックスを含み、耐プラズマコーティングは、1%未満の空孔率を有するように形成される。この低空孔率は、コーティングの耐プラズマ浸食性を大きく増し、部品寿命に亘るプラズマチャンバ内の微粒子汚染を減らすことが見出されている。別の一実施形態では、耐プラズマコーティング面は、1μm未満の算術平均粗さ(R)を有する。慣例に反して、この低い表面粗さを有するコーティングは、特にESCに適用されるとき、微粒子汚染を大きく減らすことが見出されている。別の特定の一実施形態では、耐プラズマコーティングは、従来のコーティングよりかなり高い少なくとも1000V/milの降伏電圧を有するように形成される。
一実施形態では、耐プラズマコーティングは、イットリウム(Y)、イリジウム(Ir)、ロジウム(Rh)、又はランタノイド(エルビウム(Er)等)の、酸化物、窒化物、ホウ化物、炭化物、又はハロゲン化物の少なくとも1つを含むように形成される。いくつかの実施形態では、耐プラズマコーティングは、細粒構造をもつアモルファス又は多結晶となるように形成される。特定の多結晶質の実施形態は、ランダムでなく、「好適な」面外成長方位をもつ結晶構造を有する。そのような一実施形態では、好適な面外成長は、プラズマに面するコーティング面上で最高密度の結晶面を向ける。選択的な結晶方位に沿った原子の積み重ねによってコーティングの耐プラズマ性を改善することが見出されている。ある実施形態は、基板と耐プラズマコーティングの間に配置された中間層又は複数の中間層を更に含む。中間層(複数の中間層)は、耐プラズマコーティング内に存在しない元素の酸化物、又は、耐プラズマコーティング内に存在しない元素の酸化物又は窒化物又は炭化物(二酸化珪素及び炭化珪素等)の組み合わせを含み、これによって特定の性能特性(漏れ電流等)に合うように調整されたハイブリッド化されたコーティングを提供してもよい。
実施形態は、コーティングが形成されるときに、又はコーティング形成に続いて、耐プラズマコーティングを高エネルギー粒子に曝露する条件下でプラズマチャンバ部品上に耐プラズマコーティングを形成する方法を更に含む。高エネルギー粒子は、粒子発生源(プラズマ、反応性ガス等)から又は堆積材料を提供する材料源から来るイオン、中性子原子、ラジカル、及びナノサイズの粒子を含む。そのような条件を提供する例示的なプロセスは、イオンアシスト蒸着法(IAD)、イオン金属プラズマ法(IMP)、活性化反応性蒸着法(ARE)、又はプラズマ浸漬イオンプロセス(PIIP)を含む。特定の堆積法の実施形態は、反応性気体種としてハロゲン又は酸素の少なくとも1つを含み、耐プラズマコーティングが部品基板上に堆積される間、基板に電気的にバイアスを掛けるステップを更に含んでもよい。他の実施形態は、ナノ粒子のマスフロー堆積プロセス又はゾルゲル堆積プロセスによって、部品上に耐プラズマコーティングを形成するステップを含み、これによって本明細書内で説明されるコーティング組成、構造的及び電気的特性を実現する。
本発明の実施形態は、例として説明され、添付図面の図の中に限定されない。
コーティングを部品に適用する従来の方法を示す。 本発明の一実施形態に係る耐プラズマコーティングを有する部品が利用可能なプラズマ処理チャンバを示す。 本発明の一実施形態に係る部品の表面上に形成された耐プラズマコーティングの断面図を示す。 本発明の一実施形態に係る耐プラズマコーティングの形態及び耐浸食性を夫々示す。 本発明の別の一実施形態に係る耐プラズマコーティングの形態及び耐浸食性を夫々示す。 図3A〜図3Eに示される実施形態に係る耐プラズマコーティングの電気抵抗特性を示す。 本発明の一実施形態に係る部品の表面上に形成された耐プラズマハイブリッドコーティングの断面図を示す。 本発明の一実施形態に係る特定の方法によって形成された耐プラズマコーティングの耐浸食性を示す。 本発明の一実施形態に係る耐プラズマコーティングの堆積メカニズムを示す。 本発明の一実施形態に係る部品上に耐プラズマコーティングを堆積する装置を示す。 本発明の一実施形態に係る部品上に耐プラズマコーティングを堆積する装置を示す。 本発明の実施形態に係る部品上に耐プラズマコーティングを堆積する方法のフロー図を示す。
詳細な説明
本明細書を通して「一実施形態」への参照は、実施形態に関連して説明された特定の構成、構造、材料、又は特性が、発明の少なくとも1つの実施形態に含められていることを意味する。従って、本明細書を通して様々な場所に「一実施形態では」のフレーズが現れるが、必ずしも発明の同一の実施形態を参照するものではない。以下の説明において、多くの特定の詳細(製造条件及び材料等)が記述され、これによって本発明の完全なる理解を提供する。しかしながら、特定の実施形態は、これらの特定の詳細の1以上を備えず、又は他の既知の方法、材料、及び装置と組み合わせて実施されてもよい。更に、説明される特定の構成、構造、材料、又は特性は、1以上の実施形態においてどんな適当な方法で組み合わせてもよい。また、互いに矛盾しない特定の実施形態は結合されてもよいことが理解されるべきである。添付図面は、説明的表現であり、必ずしも正確な縮尺率で描かれていない。
「上(上方)に」、「下(下方)に」、「間に」、及び「上に(面して)」の語句は、本明細書内で使用されるとき、1つの部材の他の部材に対する相対位置を言う。そのため、例えば、別の部材の上(上方)又は下(下方)に配置された1つの部材は、直接他の部材と接触しているかもしれず、又は1以上の介在する部材を有するかもしれない。更に、部材の間に配置された1つの部材は、直接2つの部材と接触しているかもしれず、又は1以上の介在する部材を有するかもしれない。対照的に、第2部材の「上の(面する)」第1部材は、第2部材に接触している。更に、基板の絶対的姿勢を考慮すること無く、基板に対して操作が実行されると仮定して、他の部材に対する1つの部材の相対位置は提供される。
本発明の実施形態は、耐プラズマコーティング材料、耐プラズマコーティング、及びハードウェア部品上にそのようなコーティングを形成する方法を含む。特定の実施形態では、ハードウェア部品は、プラズマチャンバによって実行されるプラズマ処理の間にプラズマに曝露されるプラズマチャンバ部品である。プラズマ処理チャンバの一例として、プラズマエッチングシステム200の断面図が図2に示される。プラズマエッチングシステム200は、プロセスチャンバ205を含む。ワークピース210は、開口215を通してロードされ、陰極220に固定される。特定の実施形態では、陰極220は静電気力(例えば、静電チャック又はESC)によってワークピース210を保持する。更なる実施形態では、陰極220は、各ゾーンを温度設定値に独立して制御可能な複数のゾーン(例えば、ワークピース210の中心近傍に第1熱ゾーン222及びワークピース210の周辺部近傍に第2熱ゾーン221をもつ)を含む。プロセスガスは、ガス供給源245、246、247、248から夫々のマスフローコントローラ249を通ってプロセスチャンバ205の内部へ供給される。プロセスチャンバ205は、排気バルブ251を通して接続された大容量真空ポンプスタック255を介して、例えば5mTorr〜500mTorrの間に排気される。
RF電力が印加されると、プラズマがワークピース210の上方のチャンバ処理領域内に形成される。バイアス電力RFジェネレータ225は、バイアス電力を供給し、更にプラズマにエネルギーを与える陰極220に結合される。ある実施形態では、プラズマエッチングシステム200は、全く異なる周波数帯域に、バイアス電力RFジェネレータ225と共にRF整合器227に接続する第3バイアス電力RFジェネレータ226を含む。電源電力RFジェネレータ230は、陰極220に対して陽極であるかもしれないプラズマ生成要素235に整合器(図示せず)を通して結合され、これによってプラズマにエネルギーを与える高周波電源電力を供給する。一般に、電源電力RFジェネレータ230は、例えば100〜180MHzの間のバイアス電力RFジェネレータ225より高い周波数を有する。バイアス電力はワークピース210のバイアス電圧に影響を与え、ワークピース210のイオン衝撃を制御する一方、電源電力はワークピース210へのバイアスとは比較的無関係にプラズマ密度に影響を与える。
プラズマエッチングシステム200は、コントローラ270によってコンピューター制御され、これによって低周波バイアス電力、高周波電源電力、エッチングガスフロー、プロセス圧力、及び陰極温度、更に他のプロセスパラメータを制御する。一般に、コントローラ270は、他の共通の部品の中でも特に、メモリ273及び入出力(I/O)回路274と通信する中央演算処理装置(CPU)272を含む。CPU272によって実行されるソフトウェア命令は、プラズマエッチングシステム200に、例えば、プラズマエッチングチャンバ内にワークピース210をロードさせ、混合エッチングガスをプロセスチャンバ205内に導入させ、ワークピース210をエッチングさせる。
図3A又は4Aにおいて概略が示されるように、プラズマ処理システム(プラズマエッチングシステム200等)の少なくとも1つの部品は、耐プラズマコーティングを含む。プロセスチャンバ205を構成する部品のどれでも、そのような耐プラズマ性をもつコーティングがされてもよい。例示的なチャンバ部品は、プロセスキット、フォーカスリング、シャワーヘッド、及び蓋を含む。陰極220がESCである特定の一実施形態では、ESCの表面(ワークピース210が処理の間に配置されるパック表面等)又はESCの周辺の面が、図3A又は4Aに概略が示されるような耐プラズマコーティングで覆われる。
図3Aは、耐プラズマコーティング315を含むプラズマチャンバ部品300の一部の断面図を示す。プラズマチャンバ部品300は、ワークピースのプラズマ処理の間、プラズマに曝露される外側コーティング面を提供するように耐プラズマコーティング315が上方に堆積される外側基板面311を有する基板310を含む。基板310は、アルミニウム又はアルミニウム合金、石英、セラミックス、又は複合材料等、いかなる従来材であってもよい。基板310は、完全(インテグラル)表面コーティング(図示せず)を更に含んでもよい。完全表面コーティングは、基板310と単一連続構造を形成する。完全表面コーティングは、一般に、下にある部品材料の少なくとも一部を用いて基板310からインサイチュー(in−situ)で形成される。例えば、アルミニウム基板310に対しては、酸化アルミニウム(Al−O)又は窒化アルミニウム(Al−N)の完全表面コーティングが「成長する」かもしれない。完全表面コーティングは、例えば、基板310を陽極酸化させることによって基板310から形成される。耐プラズマコーティングは固有(ネイティブ)でないという点で、本発明の実施形態に係る耐プラズマコーティングは完全表面コーティングと区別される。例えば、基板310がアルミニウム合金である一実施形態では、耐プラズマコーティングには実質的にアルミニウムが存在しない。
本発明の実施形態では、基板310上に形成された耐プラズマコーティング315は、非熱溶射コーティングである。プラズマ溶射コーティングは、プラズマチャンバ内で処理された基板を汚染することが見出されている。例えば、プラズマ溶射イットリア(Y)コーティングをもつ部品を有するチャンバ内でのプラズマ処理後の基板上で、イットリウム(Y)汚染が見つかっている。この現象の調査の間に、プラズマ溶射コーティングは、コーティングの厚さを通して高密度のクラック及びボイドを有することが見つかり、これは図1に示されるような薄層の作用である。典型的なプラズマ溶射コーティングは、3%以上の空孔率を有する。プラズマ溶射コーティング面はまた、特徴的に粗く、5マイクロメートル(μm)オーダーの典型的な算術平均粗さ(R)をもつ。クラック、ボイド、及び微粒子がプラズマ照射の間に不均一に浸食されるので、プラズマ溶射コーティングされた部品は、直径が最大25μmの粒子を有する粗いプラズマエッチングされた面を発生させる。そのような大きな表面粒子の形成は、膜応力が相対的に高く、結合力が相対的に低い粒界に沿った選択的な浸食に起因するかもしれない。プラズマエッチングされたコーティング上に見つかる粗いピークは、そのような粒子が結局は壊れて、チャンバ内で処理される基板を潜在的に汚染することを示す。従って、基板汚染、プラズマプロセスのドリフト、及び部品表面の悪化は、プラズマ溶射コーティング内に存在するクラック、ボイド、粗面、及び大きな微粒子と相関している。特に、バルクのセラミックス(例えば、バルクのイットリア)から形成されたプロセスチャンバ部品の同様な評価においても、直径25μm以上の多数のボイドを確認した。そのため、バルクのセラミックスは、プラズマ溶射された多種におけるプラズマ耐性をほとんど改善しない。
汚染と粒子形成を削減するために、耐プラズマコーティング315の実施形態は、低空孔率及び低い表面粗さを有するように形成される。一実施形態では、耐プラズマコーティング315は、約1%未満の空孔率を有する。空孔率は、コーティングの全体積における空間のパーセンテージで表され、より低い空孔率は、コーティングがより密であることを表す。更なる実施形態では、特定の膜組成に対して最大密度の条件では、空孔率は本来0%である。そのような低いコーティング空孔率は、従来のコーティング堆積法(プラズマ溶射等)によって以前は達成不可能であり、圧縮的に加圧されたコーティングでさえ1%をかなり超える空孔率をしていた。
別の一実施形態では、外側コーティング面316は、30μm以上の厚さを有するコーティングに対して、約1μm未満のR値を有する。ある実施形態では、外側コーティング面316は、0.25μm未満のR値を有しており、0.025μmと同じくらい低いかもしれない。それに比べて、一般にプラズマ溶射コーティング面は、少なくとも5μmのR値を有しており、10μmから25μmまでR値をしばしば意図的に粗くする。プラズマプロセスの副生成物は、粗いチャンバ表面によりよく付着する(汚染を削減する)かもしれないという一般通念に反して、広範な製造研究は、より滑らかな外側コーティング面316がプロセスの清浄度を向上することを明らかにしてきた。理論と結びついてはいないが、より滑らかな表面は、インサイチューチャンバクリーン(ICC)プロセスの有効性を改善し、湿式洗浄の必要性を削減すると考えられている。いくつかの実施形態では、基板310はまた、外側基板面311を相対的になめらかにするように、非プラズマ溶射コーティングのために準備されるかもしれない。例えば、外側基板面311は、約4μm未満のRを有するように準備されるかもしれず、これは機械加工された部品面によって達成可能である。外側基板面311の低い粗さはまた、一般に基板面が少なくとも4μmの表面Raに敢えて荒らされ、これによって溶射コーティングの接着力を向上させるプラズマ溶射法とは対照的である。いくつかの実施形態では、基板310はまた、所望のRa(例えば、0.4μm以上)を有する外側基板面311で準備されるかもしれない。耐プラズマコーティングが外側基板面311に均一に分布されるかもしれないので、コーティング面316は、耐プラズマコーティング315の堆積後、外側基板面311の元の表面Rを維持している。所望のRは、プラズマチャンバ部品300の所望の性能(ESCのチャッキング及びデチャッキング機能等)に基づいて決定されるかもしれない。
本発明の一実施形態では、耐プラズマコーティング315は、次の主要構成要素を含むセラミックスである: スカンジウム(Sc)、イットリウム(Y)、イリジウム(Ir)、ロジウム(Rh)、ランタノイド(例えば、ランタン(La)、セリウム(Ce)、ユーロピウム(Eu)、ジスプロシウム(Dy)、又はエルビウム(Er))、又はハフニウム(Hf)の、酸化物、窒化物、ホウ化物、炭化物、又はフッ化物。一例示的コーティング組成は、主要構成要素としてYを含む(即ち「Yベースである」)。別の一例示的コーティング組成は、主要構成要素としてYFを含む(即ち「YFベースである」)。別の一例示的コーティング組成は、主要構成要素としてErを含む(即ち「Erベースである」)。主要構成要素に加えて、耐プラズマコーティング315は、より少ない量の他のセラミックス(炭化珪素(SiC)及び酸化ジルコニウム(ZrO)等)を更に含み、これによって例えば、マトリックス/溶質又は超格子を形成するかもしれない。1つの例示的なYベースの組成は、0.5〜1.1at%(原子百分率)のC、58〜60at%のO、0〜0.5at%のF、及び39〜40at%のYを含む。
本発明の一実施形態では、耐プラズマコーティング315は、アモルファスの微細構造を有する。図3Bは、アルミニウムベースの基板310上におけるYFベースの耐プラズマコーティング315に対するエックス線回折(XRD)データを示す。図示されるように、基板310からのアルミニウムのピークだけが区別できる。アモルファスの微細構造は、粒界で選択的エッチングに起因する部品の表面粗さ及び汚染が削減することにおいて有利であるかもしれない。プラズマ溶射されたYFベースのコーティング(「PS YF」)の浸食レートが本発明の一実施形態に係るアモルファスのYFベースのコーティング(「YF/Al−N」)の浸食レートの約2倍であるアモルファスの微細構造の効果が、図3Cにおいて更に明らかである。
代替の一実施形態では、耐プラズマコーティング315は、細粒結晶性の微細構造を有する。例示的な一実施形態では、粒径は0.5μmしかない。更なる一実施形態では、耐プラズマコーティング315は、結晶方位がランダムでないテクスチャリングされた結晶性の微細構造を有する。ランダムでない結晶方位は、所望の面外成長方位を有するかもしれない。図3に示される実施形態では、面外成長方位はy軸に沿っている。そのような一実施形態では、好適な面外成長は、プラズマに対向するように、外側コーティング面316において最も高い密度の結晶面を向く。そのような実施形態では、耐プラズマコーティング315は、コーティングの形成の間、稠密な結晶面の方位に沿って配置された原子をもつ最密構造を有する。例えば、典型的な面心立方(FCC)結晶構造に対して、(111)面が面外(y軸)へ向き、これによって(111)面は、外側コーティング面316を形成する。このように、コーティング材料の最密面が、プラズマチャンバを使用する間にプラズマに曝露される。例示的なテクスチャリングされたYベースの耐プラズマコーティングに対するXRDデータが、図3Dに示される。図示されるように、最密原子配置面(111)が使用中にプラズマに面しているであろうことを示す(222)ピークが突出している。プラズマ浸食レートへのテクスチャリングの効果が、図3Eに示される。図示されるように、プラズマ溶射Y処理(「PS Y」)は、テクスチャリングされた処理(「IAD Y」)の3倍を超える浸食レートを有しており、ここで「IAD」は、本明細書内の他の場所で更に詳しく議論されるように、薄膜がイオンアシスト蒸着によって形成されたことを示す。
一実施形態では、耐プラズマコーティング315は、比較的高い電気抵抗、低く安定した漏れ電流、及び比較的高い降伏電圧(VBD)を有する。そのような特性は、ESCアプリケーションに対して有利である。従来のAl−N又はAl−OでコーティングされたESC表面は、プラズマ処理チャンバが使用されている間、分のオーダーの時間的尺度に亘って漏れ電流の大きさにおいて大きな変動を被る可能性があることが見出されている。この現象の調査は、増大したESC漏れ電流の要因はUV照射にあるとした。UV放射は、一般にすべてのプラズマ処理に存在する。特に、インサイチューチャンバクリーン(ICC)シーケンスの間(ESCが一般にプラズマ処理用ワークピースを保持していない時)、ESCのUV照射は、ESC漏れ電流を非常に増大させることが見出されている。ICCは通常、連続するワークピースのプラズマ処理の間に実行されるので、(ICCの直後の)ワークピースの最初の処理の間、ESC漏れ電流は高く傾き、ワークピースが取り除かれ、ICCが繰り返されるまで、ワークピース処理時間と共に下降する。ESC漏れ電流のこの変化は、最終的に高価な部品の交換を必要とするチャッキング及びデチャッキングの両方の問題を引き起こす可能性がある。
プラズマチャンバ部品300がESCである特定の一実施形態では、耐プラズマコーティング315は、ESC漏れ電流の変動を和らげる。特に、イットリウムベースのコーティングは、UV照射に起因する漏れ電流のドリフトを防止することが見出だされている。漏れ電流の変動を減らすことに加えて、耐プラズマコーティング315は、ESCアプリケーションに対して特に有利な他の特性(プラズマ溶射コーティングで可能な値よりも実質的に低いRを有することができること等)を提供するかもしれない。図3Fは、Al−Nセラミックス対照群(「セラミックス基板」)と比較した、1つの例示的イットリウムベースのESCコーティング(「PRD−Y」)実施形態に対する電気的特性を示す。図示されるように、対照群の漏れ電流は、概して「PRD−Y」コーティングの漏れ電流よりも高い大きさのオーダーである。「PRD」は、本明細書内の他の場所における更なる詳細で議論されるように、薄膜がプラズマ反応性蒸着によって形成されたことを示す。
更なる実施形態では、耐プラズマコーティング315は、少なくとも1000V/mil(thou)の降伏電圧を有する。特定の実施形態では、降伏電圧は3500V/mil(thou)よりも大きい。対照的に、従来のプラズマ溶射Yコーティングは、一般に約750V/mil(thou)のVBDを有する。本明細書内で開示される実施形態のより高い降伏電圧は、ESC部品に対しても有利であるかもしれない。更に、以前に説明したように、耐プラズマコーティング315の平滑性は、有利なことにESCヘリウムの低い漏れ量を提供する。更に、耐プラズマコーティング315は、プラズマ照射の際のESC表面上におけるAlFx形成を減らす。AlFx形成の減少は、ESCの寿命を向上させ、プラズマ処理の間にESC上に配置されたワークピースの微粒子汚染を削減する。更なる実施形態では、耐プラズマコーティング315は、本明細書内で説明されるように、プラズマ照射の周期の後に、ESCを一新するために適用されるかもしれない。
図4Aは、ハイブリッドコーティング413を含むプラズマチャンバ部品400の一部の断面図を示す。ハイブリッドコーティングは、少なくとも2つの別々の材料層を含む。別々のコーティング層は、上にコーティング層が形成される基板内のベース材料を実質的に持たないか、又は、それらの一方又は両方は基板と同じ化学組成ではあるが、コーティング層は基板の結晶構造とは異なる結晶構造を有する。どちらの場合でも、ハイブリッドコーティング層は、基板とは異なる性能特性を提供する。図4Aに示されるように、耐プラズマコーティング315は、ハイブリッドコーティング413を形成するために中間層(又は複数の中間層)412上に堆積される。ハイブリッドコーティング413内の多重層によって、耐プラズマコーティング315が耐プラズマ性を提供すると同時に、中間層(複数の中間層)412が1以上の有利な特性(例えば、高伝導性、高抵抗性、UV保護等)を提供することを可能にする。あるいはまた、ハイブリッドコーティング413の多重層によって、耐プラズマコーティング315が第2組成の耐プラズマコーティング(例えば、YFベースの中間層の上のYベースのコーティング)を提供すると同時に、中間層(又は複数の中間層)412が第1組成の耐プラズマコーティングを提供することを可能にする。
耐プラズマコーティング315から説明された様々な金属及びそれらの酸化物、窒化物、ホウ化物、フッ化物、及び炭化物のいずれも、所望の機能次第で中間層(又は複数の中間層)412のために利用されてもよい。他の耐プラズマ材料でない材料もまた使用されてもよい。例えば、一実施形態では、ハイブリッドコーティング413は、耐プラズマコーティング内に存在しない元素の酸化物である中間層(又は複数の中間層)412を含む。チャンバ部品がESCである一実施形態では、中間層412は、ワークピースを固定している間における漏れ電流を減らすSiOである。図3Fに図示されるように、SiO層(「PRD H−Y」)上にYベースの層の例示的ハイブリッドコーティングをもつセラミックス基板は、図示されたすべての処理の中で最も高い抵抗及び最も低い電流漏れを表す。非ハイブリッドコーティング(「PRD−Y」)に対してSiOの中間層の追加は、ハイブリッドコーティングによって可能な電流漏れの減少を示す。特定の一実施形態では、Y−SiOハイブリッドコーティングは、20Torrの裏面ヘリウム圧力でチャックし、500Vを印加した基板において、0.1μAの漏れ電流を提供した。
ハイブリッドコーティング413の層は、中間層412の機能的役割に基づいて様々な厚さでできていてもよい。例えば、部品がESCであり、中間層412が電流漏れを減らす場合、中間のSiO層は、例えば耐プラズマコーティング315の厚さの4分の1から2倍の間の厚さに堆積されるかもしれない。20μmのYベースの耐プラズマコーティングを用いた2つの例示的実施形態では、1つのハイブリッドコーティングは5μmの中間SiO層を含み、一方、第2のハイブリッドコーティングは10μmの中間SiO層を含んでいた。漏れ電流は、より厚いSiO層をもつ実施形態に対してかなり低いことが見出された。
浸食レートへのハイブリッドコーティング構造の効果が、図3Eに見られる。図示されるように、両方のハイブリッドコーティング処理(「IAD H−1 Y」及び「IAD H−2 Y」)は、対照群の処理(「PS Y」及び「バルクY」)よりかなり低く、非ハイブリッドコーティング処理(「IAD Y」)に匹敵する浸食レートを有する。そのように、耐プラズマ性及び改善された機能性(例えば、減少した漏れ電流)の両方は、図4Aに示されるように、ハイブリッドコーティング413を有するESCに対して達成されるかもしれない。ハイブリッドコーティング構造はまた、耐プラズマコーティング315の付着を改善するかもしれない。SiO/Yハイブリッドコーティングは、Yベースの単一コーティング層に対して剥離を減少させたことが見出された。
説明された耐プラズマコーティング実施形態の組成、形態、微細構造、及び電気的性質によって、そのようなコーティングを形成する方法は現在議論されている。一実施形態では、耐プラズマコーティング315の堆積に利用される方法は、高エネルギー粒子間相互作用を利用し、これによって本明細書内で以前に説明された形態、微細構造、及び電気的性質の1以上を提供する。高エネルギー粒子は、粒子生成源(プラズマ、反応性ガス等)から来る又は堆積材料を提供する材料源から来るイオン、中性子原子、ラジカル、及びナノサイズの粒子を含むかもしれない。高エネルギー粒子は、最先端技術の熱溶射によって生成されるどんな粒子よりも小さく、特定の実施形態では、高エネルギー粒子は主にイオンである。ハイブリッドコーティング413を用いる実施形態に対して、中間層(又は複数の中間層)412及び耐プラズマコーティング315の両方は、高エネルギー粒子が存在する中で堆積されるかもしれない。耐プラズマコーティングは、プラズマチャンバ内で部品を使用する間の耐久条件に近づいた方がよいので、そのような堆積法の使用は有利であるかもしれない。高エネルギー粒子間相互作用の存在の中で積み上げられた耐プラズマコーティングは、プラズマ処理チャンバ内に存在する同様の条件に対してより耐性をもつように形成されるかもしれない。
図5Aは、高エネルギー粒子を利用する様々な堆積法に適用可能な堆積メカニズムを示す。図示されるように、耐プラズマコーティング315は、高エネルギー粒子503の存在の中で堆積材料502の積み上げによって形成される。堆積材料は、原子、イオン、ラジカル、又はそれらの混合物を含む。高エネルギー粒子503は、形成時に、耐プラズマコーティング315に衝突し、圧縮するかもしれない。高エネルギー粒子503はまた、耐プラズマコーティング315が微細構造又は形態内の結晶方位及び/又は局所的な不均一性に依存するレートで形成される時に、耐プラズマコーティング315をスパッタリングし、これによって本明細書内の他の場所で説明された特性を提供するかもしれない。プラズマ溶射又は他のすべての熱溶射は、そのようなプロセス条件を提供することができないことを理解すべきである。
一実施形態では、本明細書内の他の場所で以前に説明されたように、イオンアシスト蒸着(IAD)が、耐プラズマコーティング315を形成するために利用される。図5Bは、IAD蒸着装置の概略図を示す。図示されるように、材料源550は堆積材料502の流れを提供する一方、高エネルギーイオン源555は高エネルギーイオン503の流れを提供し、その両方はIADプロセスを通して基板310に衝突する。IADは、材料及び高エネルギーイオン源を提供する1以上のプラズマ又はビームを利用するかもしれない。反応種もまた、耐プラズマコーティングの堆積の間に供給されるかもしれない。一実施形態では、高エネルギーイオン503は、非反応種(例えば、Ar)又は反応種(例えば、O)の少なくとも1つを含む。更なる実施形態では、CO及びハロゲン(Cl、F、Br等)等の反応種もまた、耐プラズマコーティングの形成の間に導入され、これによって耐プラズマコーティングと最も弱く接合した堆積材料を選択的に除去する傾向を更に増大させるかもしれない。
IADプロセスでは、高エネルギーイオン503は、他の蒸着パラメータと無関係に高エネルギーイオン源555によって制御されるかもしれない。高エネルギーイオン流の密度及び入射角、コーティングの組成、構造、結晶方位、及び粒径が、エネルギーによって操作されるかもしれない。イオン衝撃は、例えば、基板310表面のクリーニング、基板310内への高エネルギー粒子の注入(図5Aに示される)、原子接合を調整すること等独特なプロセスの利点を提供可能であるが、これらに制限されない。コーティングが積み上げられる間、イオン衝撃のレベルは、本明細書内の他の場所で説明される有利な特性を有する耐プラズマコーティングを提供するように調整されるかもしれない。
別の一実施形態では、本明細書内の他の場所で以前に説明されるように、プラズマ反応性蒸着(PRD)は、耐プラズマコーティング315を形成するために利用される。そのような方法は、高エネルギーイオン又は粒子がまた利用されることにおいてIAD法に類似しているが、高エネルギーイオン源が堆積材料源又はプラズマ源と区別されず、IAD法では区別される。その代わりに、プロセスパラメータは、高エネルギー粒子(イオン)の生成と材料粒子(中性)とが釣り合うように調整される。例えば、プラズマ源から生成されたイオンは、本明細書内の他の場所で以前に説明された有利な耐プラズマコーティング特性を産出可能な衝撃を提供するのに十分に高エネルギー化されるかもしれない。そのような一実施形態では、基板は、比較的高い基板バイアス(例えば、100ボルト以上)を結果として生じるプロセスパラメータを用いてコーティングされ、これによって形成の間にコーティングに適正な高エネルギー粒子衝撃を提供する。いくつかのPRDの実施形態では、基板材料は、有利に小さい粒径を有する耐プラズマコーティングを塗るために選択される。例えば、一実施形態ではAl−N基板面が使用され、これによって核生成レートは高くなり、耐プラズマコーティングの粒径は小さくなる。いくつかのPRDの実施形態では、基板材料は、耐プラズマコーティングの非見通し(非直進的)成長のためにプラズマ内に浸漬される。その後、結果として生じる耐プラズマコーティングは、全基板面を覆うであろう。そのようなコーティングは、複雑な幾何学形状の上に一様なコーティング厚を提供する実質的に等方性の堆積プロセスによって形成されるかもしれない。図4Bは、IAD法(「IAD H−Y」)及びPRD法(「PRD H−Y」)の両方によって堆積されたSiO/Yハイブリッドコーティングの浸食レートの間の比較を更に示す。
例示的IAD法は、本明細書内で説明されるように、耐プラズマコーティングを形成するイオン衝撃の存在の中における蒸着(例えば、活性化反応性蒸着(ARE))及びスパッタリング等のイオン衝撃を包含する堆積プロセスを含む。IAD法のいずれも、反応性気体種(例えば、O、N、ハロゲン等)の存在の中で実行されるかもしれない。反応性気体種は、金属材料源(ターゲット)又は有機金属気体種からセラミックス(例えば、酸化物)の形成を可能にするかもしれない。
例示的PRD法は、本明細書内の他の場所で説明される特性を有するコーティングを提供するのに十分なレベルの粒子衝突を提供するために調整されたプラズマベースのスパッタリング及びプラズマ化学気相成長法(PECVD)、プラズマ蒸着、イオンめっき、イオン化金属プラズマ(IMP)、又はプラズマ浸漬イオンプロセス(PIIP)等の堆積プロセスを含む。例えば、PIIP技術は高周波(RF)誘導プラズマ源を使用し、これによって基板上に固く付着したコーティングを生成する非見通し堆積プロセスを提供する。PIIP堆積では、直流のパルス化した負バイアスが、基板を保持するステージに印加され、これによって耐プラズマコーティングが基板上に積み上がる又は成長するとき、正イオンがプラズマから引き付けられ、耐プラズマコーティングに衝撃を与えるかもしれない。これらの方法のどれもが、反応性気体種(例えば、O、N、ハロゲン等)の存在の中で実行されるかもしれない。反応性気体種は、金属材料源(ターゲット)又は有機金属気体種からセラミックス(例えば、酸化物)の形成を可能にするかもしれない。
例示的IAD又はPRD法が、図6Aの方法600内に示される。図示されるように、方法600は、コーティングされる基板の供給による操作605から始まる。基板は、基板310等に対して以前に説明されたどんなものであってもよい。操作610で、基板は真空チャンバ内で減圧される。操作615及び620では、基板は堆積材料の流れに曝露され、及び高エネルギー粒子の流れに夫々曝露される。IAD及びPRDの特定の実施形態では、基板が高エネルギーイオンの流れに曝露される間、基板は堆積材料の流れに曝露される(即ち、共に又は同時に曝露される)。IADの他の実施形態では、基板は堆積材料の流れに、及び高エネルギーイオンの流れに交互(即ち、連続する堆積/衝撃サイクル)に曝露される。別の一実施形態では、PRDプロセスのプロセス条件は、交互に堆積と衝撃を支持する状態間で循環するかもしれない。基板をコーティングした後に、方法600は、堆積チャンバからコーティングされた基板を除去する操作630で完成する。更なる一実施形態(図示せず)において、コーティングされた基板は、その後、耐プラズマコーティングの堆積に続いて、更なる処理(熱アニール処理又はイオン注入等)に曝露されるかもしれない。
別の一実施形態では、ナノ粒子のマスフロー堆積法が利用され、これによって本明細書内の他の場所で以前に説明された耐プラズマコーティングのいずれかが形成される。そのような方法の一例は、アエロゾル堆積(AD)である。ナノ粒子のマスフロー堆積は、少なくとも基板上に堆積される粒子サイズによって熱溶射プロセスと区別される。例えば、特定のアエロゾル堆積プロセスは、直径1nm〜1μmの範囲の粒子を利用する。堆積された粒子が低温である(溶融されたり軟化されたりしない)という点で、ナノ粒子のマスフロー堆積は熱溶射と更に区別される。図5Cは、真空ポンプ560に接続された堆積チャンバ550を含む例示的ADの装置500を示す。ガス源565は、アエロゾルチャンバ561内のセラミックス粉末566に供給され、これによってノズル567を通してアエロゾルとして基板310にナノ粒子575を供給する。AD装置500は、図6Bに示されるように、ナノ粒子のマスフロー堆積法600を実行するために操作されるかもしれない。ナノ粒子のマスフロー堆積法650は、基板(例えば、基板310等)を供給する操作651から始まる。操作655で、堆積チャンバは適当な真空レベルまで減圧され、基板は操作675で、ナノ粒子の流れに曝露される。操作680で、コーティングされた基板が取り除かれる。
上記の説明は、説明的であることを意図しており、限定するものではないことが理解されるべきである。多くの他の実施形態は、上記の説明を読み、理解することで当業者にとっては明らかであるだろう。例えば、代替の堆積手法(ゾルゲル法等)が、本明細書内の他の場所で以前に説明されたような特性を有する耐プラズマコーティングを提供するために利用されるかもしれない。本発明は特定の例示的実施形態を参照して説明されてきたが、発明は説明された実施形態に限定されず、添付の特許請求の範囲の趣旨及び範囲内における修正形態及び代替形態によって実施され得ることが認められるだろう。したがって、仕様及び図面は、限定的な意味ではなくむしろ説明的な意味において見なされるべきである。したがって、発明の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が与える均等物のすべての範囲と共に決定されるべきである。

Claims (15)

  1. 基板と、
    前記基板の少なくとも一部の上に配置される耐プラズマコーティングを含み、
    前記耐プラズマコーティングは、前記基板に固有でないセラミックスを含み、1%未満の空孔率を有するプラズマ処理チャンバ部品。
  2. 前記耐プラズマコーティングの空孔率は0%であり、前記耐プラズマコーティングはアモルファスである請求項1記載のプラズマ処理チャンバ部品。
  3. 前記耐プラズマコーティングの表面は、1μm未満の算術平均粗さ(R)を有する請求項1記載のプラズマ処理チャンバ部品。
  4. 前記部品は静電チャック部品であり、前記耐プラズマコーティングは少なくとも1000V/milの降伏電圧を有する請求項3記載のプラズマ処理チャンバ部品。
  5. 前記セラミックスは、Y、Ir、Rh、及びランタノイドから成る群から選択される元素の酸化物、窒化物、ホウ化物、炭化物、又はハロゲン化物の少なくとも1つを含む請求項4記載のプラズマ処理チャンバ部品。
  6. 前記基板と前記耐プラズマコーティングの間に配置される中間層を更に含み、前記中間層は、前記耐プラズマコーティング内の主要な構成要素の元素以外の元素の酸化物、窒化物、又は炭化物を含む請求項5記載のプラズマ処理チャンバ部品。
  7. 前記耐プラズマコーティングは、好適な面外成長方位をもつ結晶構造を有する請求項1記載のプラズマ処理チャンバ部品。
  8. 前記好適な面外成長方位は、前記耐プラズマコーティングの外面上に最も高い密度の面を提供する請求項7記載のプラズマ処理チャンバ部品。
  9. 前記基板はセラミックス又はアルミニウム合金であり、前記耐プラズマコーティングは実質的にアルミニウムを含まない、又は、前記基板は石英であり、前記耐プラズマコーティングは実質的にシリコンを含まない請求項1記載のプラズマ処理チャンバ部品。
  10. プラズマチャンバ部品用耐プラズマコーティングを形成する方法であって、
    基板を提供するステップと、
    前記コーティングが形成されるとき、約1μm未満の直径を有する高エネルギー粒子に前記耐プラズマコーティングを曝露する条件の下で前記基板上に前記耐プラズマコーティングを形成するステップを含む方法。
  11. 前記耐プラズマコーティングを形成するステップは、Y、Ir、Rh、及びランタノイドから成る群から選択される元素の酸化物、窒化物、ホウ化物、炭化物、又はハロゲン化物の少なくとも1つを堆積するステップを更に含む請求項10記載の方法。
  12. 前記耐プラズマコーティングは、イオンアシスト蒸着(IAD)又はプラズマ反応性蒸着(PRD)によって堆積される請求項10記載の方法。
  13. 前記耐プラズマコーティングが前記部品基板上に堆積される間、前記基板は電気的にバイアスを掛けられる請求項10記載の方法。
  14. 前記耐プラズマコーティングは、1%未満の空孔率をもって形成される請求項10記載の方法。
  15. 前記部品は静電チャックであり、前記方法は、
    前記耐プラズマコーティングを堆積する前に、前記静電チャック基板上に中間層を堆積するステップを更に含み、前記中間層は前記耐プラズマコーティング内に無い元素の酸化物を含む請求項10記載の方法。
JP2011535670A 2008-11-10 2009-11-05 プラズマチャンバ部品用耐プラズマコーティング Active JP6278584B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/268,196 2008-11-10
US12/268,196 US8206829B2 (en) 2008-11-10 2008-11-10 Plasma resistant coatings for plasma chamber components
PCT/US2009/063437 WO2010054112A2 (en) 2008-11-10 2009-11-05 Plasma resistant coatings for plasma chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015219004A Division JP6711592B2 (ja) 2008-11-10 2015-11-07 プラズマチャンバ部品用耐プラズマコーティング

Publications (2)

Publication Number Publication Date
JP2012508467A true JP2012508467A (ja) 2012-04-05
JP6278584B2 JP6278584B2 (ja) 2018-02-14

Family

ID=42153555

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011535670A Active JP6278584B2 (ja) 2008-11-10 2009-11-05 プラズマチャンバ部品用耐プラズマコーティング
JP2015219004A Active JP6711592B2 (ja) 2008-11-10 2015-11-07 プラズマチャンバ部品用耐プラズマコーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015219004A Active JP6711592B2 (ja) 2008-11-10 2015-11-07 プラズマチャンバ部品用耐プラズマコーティング

Country Status (6)

Country Link
US (1) US8206829B2 (ja)
JP (2) JP6278584B2 (ja)
KR (1) KR101309716B1 (ja)
CN (1) CN102210196B (ja)
TW (1) TWI389248B (ja)
WO (1) WO2010054112A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015530737A (ja) * 2012-07-27 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP2016525287A (ja) * 2013-07-19 2016-08-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
KR20160145816A (ko) * 2014-04-25 2016-12-20 어플라이드 머티어리얼스, 인코포레이티드 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
JP2017520126A (ja) * 2014-04-25 2017-07-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
JP2017143271A (ja) * 2013-11-12 2017-08-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物系モノリシックチャンバ材料
JP2018082201A (ja) * 2016-04-27 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2018087129A (ja) * 2013-07-20 2018-06-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
JP2018107313A (ja) * 2016-12-27 2018-07-05 東京エレクトロン株式会社 ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
JP2019151879A (ja) * 2018-03-01 2019-09-12 株式会社アルバック 成膜装置
JPWO2020218265A1 (ja) * 2019-04-26 2020-10-29
JP2021073372A (ja) * 2013-06-20 2021-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ耐食性希土類酸化物系薄膜コーティング
KR20220151610A (ko) 2020-03-06 2022-11-15 도카로 가부시키가이샤 신규한 텅스텐계 용사 피막 및 그것을 얻기 위한 용사용 재료
JP7411463B2 (ja) 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008014800B3 (de) * 2008-03-18 2009-08-20 Federal-Mogul Burscheid Gmbh Verfahren und Vorrichtung zur Herstellung eines dispersionsgehärteten Gegenstandes, der Carbid-Nanopartikel enthält
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102691045A (zh) * 2011-03-23 2012-09-26 鸿富锦精密工业(深圳)有限公司 铝或铝合金的壳体及其制造方法
US20130000545A1 (en) * 2011-06-28 2013-01-03 Nitride Solutions Inc. Device and method for producing bulk single crystals
US9238863B2 (en) 2012-02-03 2016-01-19 Tocalo Co., Ltd. Method for blackening white fluoride spray coating, and fluoride spray coating covered member having a blackened layer on its surface
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103474579B (zh) * 2012-06-06 2016-12-28 第一毛织株式会社 阻障堆栈和它的制造方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP2017512375A (ja) * 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6315151B1 (ja) * 2016-07-14 2018-04-25 信越化学工業株式会社 サスペンションプラズマ溶射用スラリー、及び希土類酸フッ化物溶射膜の形成方法
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
CN210156345U (zh) * 2016-09-13 2020-03-17 应用材料公司 用于处理腔室的腔室部件、用于处理腔室的屏蔽的设计膜以及处理腔室
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
CN108346611B (zh) * 2017-01-24 2021-05-18 中微半导体设备(上海)股份有限公司 静电吸盘及其制作方法与等离子体处理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
WO2019026818A1 (ja) * 2017-07-31 2019-02-07 株式会社 東芝 部品および半導体製造装置
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019066113A1 (ko) * 2017-09-29 2019-04-04 한국기계연구원 플렉서블 활성종 발생기 및 이의 용도
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
KR102080153B1 (ko) * 2017-11-29 2020-02-24 주식회사 싸이노스 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
EP3728692A4 (en) 2017-12-18 2021-09-15 Entegris, Inc. CHEMICAL-RESISTANT MULTI-LAYER PAINTING APPLIED BY ATOMIC DEPOSITION
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI714965B (zh) * 2018-02-15 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
TWI715004B (zh) * 2018-04-03 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置以及電漿處理裝置用構件之製造方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20210008931A (ko) * 2018-06-14 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 보호 코팅을 갖는 프로세스 챔버 프로세스 키트
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
WO2020023174A1 (en) * 2018-07-23 2020-01-30 Applied Materials, Inc. Pre-conditioned chamber components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TWI680895B (zh) 2018-11-09 2020-01-01 財團法人資訊工業策進會 自動煞車系統與方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JPWO2020208801A1 (ja) * 2019-04-12 2021-05-06 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置の内部部材ならびに当該内部部材の製造方法
KR102108419B1 (ko) * 2019-05-28 2020-05-07 주식회사 제스코 정전척 제조 방법 및 정전척 재생 방법
TWI772910B (zh) * 2019-09-30 2022-08-01 日商京瓷股份有限公司 電漿處理裝置用部材及具備其之電漿處理裝置
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
US20230207278A1 (en) * 2020-05-28 2023-06-29 Lam Research Corporation Atomic layer deposition coated powder coating for processing chamber components
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR102608654B1 (ko) * 2020-08-11 2023-12-04 한솔아이원스 주식회사 내플라즈마 유리 및 그 제조 방법
JP2023551725A (ja) 2020-12-02 2023-12-12 エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーン 静電チャック用の改善されたプラズマ耐性コーティング
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
CN114649180A (zh) * 2020-12-21 2022-06-21 中微半导体设备(上海)股份有限公司 等离子体处理装置零部件的处理方法、零部件及处理装置
KR102603741B1 (ko) 2021-10-21 2023-11-17 주식회사 원익큐엔씨 불화 대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR20230102468A (ko) 2021-12-30 2023-07-07 주식회사 원익큐엔씨 오염입자 발생 저감을 극대화 하는 반도체 장비 불화대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법
JP2023170163A (ja) * 2022-05-18 2023-12-01 株式会社フェローテックマテリアルテクノロジーズ ウエハ支持体

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08146208A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Works Ltd 反射鏡およびその製造方法
JPH1161404A (ja) * 1997-08-21 1999-03-05 Hitachi Ltd 静電吸着装置及びその製造方法並びにそれを用いた加工装置
JP2005240171A (ja) * 2004-01-29 2005-09-08 Kyocera Corp 耐食性部材およびその製造方法
JP2007326744A (ja) * 2006-06-08 2007-12-20 Covalent Materials Corp 耐プラズマ性セラミックス部材
JP2008021963A (ja) * 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294489A (en) * 1992-04-02 1994-03-15 General Electric Company Protective coating with reactive interlayer on reinforcement in silicon carbide composite
DE69433836D1 (de) 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
US5540959A (en) 1995-02-21 1996-07-30 Howard J. Greenwald Process for preparing a coated substrate
GB9617267D0 (en) * 1996-08-16 1996-09-25 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
US5869141A (en) 1996-11-04 1999-02-09 The Boeing Company Surface pretreatment for sol coating of metals
GB9717245D0 (en) * 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
GB9800511D0 (en) * 1998-01-13 1998-03-11 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
GB9811456D0 (en) * 1998-05-29 1998-07-29 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
JP3850605B2 (ja) * 1999-10-29 2006-11-29 文雄 岡田 固相エキシマデバイス及びその製造方法
US6368899B1 (en) * 2000-03-08 2002-04-09 Maxwell Electronic Components Group, Inc. Electronic device packaging
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6502304B2 (en) * 2001-05-15 2003-01-07 General Electric Company Turbine airfoil process sequencing for optimized tip performance
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
GB0206930D0 (en) * 2002-03-23 2002-05-08 Univ Durham Method and apparatus for the formation of hydrophobic surfaces
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US20050112289A1 (en) 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP2005217350A (ja) * 2004-02-02 2005-08-11 Toto Ltd 耐プラズマ性を有する半導体製造装置用部材およびその作製方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4585260B2 (ja) * 2004-09-30 2010-11-24 株式会社東芝 半導体製造装置用部品及び半導体製造装置
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
EP2071049A1 (en) * 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
JP4372748B2 (ja) * 2005-12-16 2009-11-25 トーカロ株式会社 半導体製造装置用部材
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
JP4992389B2 (ja) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2008266724A (ja) * 2007-04-20 2008-11-06 Shin Etsu Chem Co Ltd 溶射被膜の表面処理方法及び表面処理された溶射被膜
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08146208A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Works Ltd 反射鏡およびその製造方法
JPH1161404A (ja) * 1997-08-21 1999-03-05 Hitachi Ltd 静電吸着装置及びその製造方法並びにそれを用いた加工装置
JP2005240171A (ja) * 2004-01-29 2005-09-08 Kyocera Corp 耐食性部材およびその製造方法
JP2007326744A (ja) * 2006-06-08 2007-12-20 Covalent Materials Corp 耐プラズマ性セラミックス部材
JP2008021963A (ja) * 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015530737A (ja) * 2012-07-27 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP7368398B2 (ja) 2013-06-20 2023-10-24 アプライド マテリアルズ インコーポレイテッド プラズマ耐食性希土類酸化物系薄膜コーティング
JP2021073372A (ja) * 2013-06-20 2021-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ耐食性希土類酸化物系薄膜コーティング
CN107978507B (zh) * 2013-07-19 2019-12-06 应用材料公司 用于处理腔室的腔室部件和制造制品的方法
JP2016525287A (ja) * 2013-07-19 2016-08-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
CN107978507A (zh) * 2013-07-19 2018-05-01 应用材料公司 用于处理腔室的腔室部件和制造制品的方法
JP2018078309A (ja) * 2013-07-19 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
JP2021185267A (ja) * 2013-07-20 2021-12-09 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
JP2020097522A (ja) * 2013-07-20 2020-06-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
JP2018087129A (ja) * 2013-07-20 2018-06-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
JP2017143271A (ja) * 2013-11-12 2017-08-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物系モノリシックチャンバ材料
KR20220051276A (ko) * 2014-04-25 2022-04-26 어플라이드 머티어리얼스, 인코포레이티드 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
KR102493316B1 (ko) * 2014-04-25 2023-01-27 어플라이드 머티어리얼스, 인코포레이티드 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
KR20160145816A (ko) * 2014-04-25 2016-12-20 어플라이드 머티어리얼스, 인코포레이티드 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
JP2020065058A (ja) * 2014-04-25 2020-04-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
JP2020080412A (ja) * 2014-04-25 2020-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2018080396A (ja) * 2014-04-25 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
JP7175289B2 (ja) 2014-04-25 2022-11-18 アプライド マテリアルズ インコーポレイテッド 高温アプリケーション用プラズマ耐食性薄膜コーティング
JP2017514991A (ja) * 2014-04-25 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温アプリケーション用プラズマ耐食性薄膜コーティング
KR102388784B1 (ko) * 2014-04-25 2022-04-19 어플라이드 머티어리얼스, 인코포레이티드 고온 애플리케이션을 위한 플라즈마 부식 저항성 박막 코팅
JP2017520126A (ja) * 2014-04-25 2017-07-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
JP2018082201A (ja) * 2016-04-27 2018-05-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2018107313A (ja) * 2016-12-27 2018-07-05 東京エレクトロン株式会社 ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
JP2019151879A (ja) * 2018-03-01 2019-09-12 株式会社アルバック 成膜装置
WO2020218265A1 (ja) * 2019-04-26 2020-10-29 京セラ株式会社 プラズマ処理装置用部材およびプラズマ処理装置
JPWO2020218265A1 (ja) * 2019-04-26 2020-10-29
CN113728124A (zh) * 2019-04-26 2021-11-30 京瓷株式会社 等离子体处理装置用构件及等离子体处理装置
JP7290716B2 (ja) 2019-04-26 2023-06-13 京セラ株式会社 プラズマ処理装置用部材およびプラズマ処理装置
KR20210143250A (ko) * 2019-04-26 2021-11-26 교세라 가부시키가이샤 플라스마 처리 장치용 부재 및 플라스마 처리 장치
CN113728124B (zh) * 2019-04-26 2023-12-05 京瓷株式会社 等离子体处理装置用构件及等离子体处理装置
KR102612290B1 (ko) * 2019-04-26 2023-12-11 교세라 가부시키가이샤 플라스마 처리 장치용 부재 및 플라스마 처리 장치
US11948779B2 (en) 2019-04-26 2024-04-02 Kyocera Corporation Component for plasma processing apparatus and plasma processing apparatus
KR20220151610A (ko) 2020-03-06 2022-11-15 도카로 가부시키가이샤 신규한 텅스텐계 용사 피막 및 그것을 얻기 위한 용사용 재료
JP7411463B2 (ja) 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置

Also Published As

Publication number Publication date
JP6711592B2 (ja) 2020-06-17
US8206829B2 (en) 2012-06-26
WO2010054112A3 (en) 2010-07-29
KR20110091759A (ko) 2011-08-12
CN102210196A (zh) 2011-10-05
JP2016076711A (ja) 2016-05-12
TW201030891A (en) 2010-08-16
CN102210196B (zh) 2014-06-25
WO2010054112A2 (en) 2010-05-14
JP6278584B2 (ja) 2018-02-14
TWI389248B (zh) 2013-03-11
US20100119843A1 (en) 2010-05-13
KR101309716B1 (ko) 2013-09-17

Similar Documents

Publication Publication Date Title
JP6278584B2 (ja) プラズマチャンバ部品用耐プラズマコーティング
US11566319B2 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN111900084B (zh) 抗等离子体腐蚀的稀土氧化物基薄膜涂层
US20180108517A1 (en) Coating architecture for plasma sprayed chamber components
US9394615B2 (en) Plasma resistant ceramic coated conductive article
CN106133885B (zh) 用于高温应用的耐等离子体腐蚀的薄膜涂层
US20150311043A1 (en) Chamber component with fluorinated thin film coating
WO2015009745A1 (en) Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化
CN108346611B (zh) 静电吸盘及其制作方法与等离子体处理装置
JP2021500480A (ja) 耐プラズマ性コーティング膜の製造方法及びこれにより形成された耐プラズマ性部材
CN104241181A (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
WO2008032627A1 (fr) Procédé de décapage à sec
JP2024058589A (ja) 耐プラズマ性コーティング膜の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140427

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140508

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140528

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140604

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140627

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150430

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150529

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151107

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20151116

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20160115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180116

R150 Certificate of patent or registration of utility model

Ref document number: 6278584

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250