CN102210196B - 用于等离子腔室部件的抗等离子涂层 - Google Patents

用于等离子腔室部件的抗等离子涂层 Download PDF

Info

Publication number
CN102210196B
CN102210196B CN200980144948.1A CN200980144948A CN102210196B CN 102210196 B CN102210196 B CN 102210196B CN 200980144948 A CN200980144948 A CN 200980144948A CN 102210196 B CN102210196 B CN 102210196B
Authority
CN
China
Prior art keywords
plasma
coated
base material
parts
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980144948.1A
Other languages
English (en)
Other versions
CN102210196A (zh
Inventor
詹尼弗·Y·孙
晓-明·何
赛恩·撒奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102210196A publication Critical patent/CN102210196A/zh
Application granted granted Critical
Publication of CN102210196B publication Critical patent/CN102210196B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Abstract

本发明公开了抗等离子涂层材料、抗等离子涂层以及在硬件部件上形成此种涂层的方法。在一个实施方式中,硬件部件是静电夹盘(ESC),抗等离子涂层形成在该静电夹盘的表面上。抗等离子涂层利用热喷涂以外的方法形成,以提供具有优势材料性质的抗等离子涂层。

Description

用于等离子腔室部件的抗等离子涂层
技术领域
本发明的实施方式关于等离子体处理设备的领域,具体关于用于等离子体处理腔室部件的抗等离子涂层。
背景技术
在装置(例如光电装置和集成电路)制造期间,利用真空等离子体处理腔室来进行等离子体处理。工艺气体流入处理腔室,同时施加电场至工艺气体,以产生工艺气体的等离子体。为降低操作成本,通过将这些部件设计为抗等离子体来延长等离子体处理腔室内暴露在处理等离子体中的部件的寿命。本位中使用的术语“抗等离子体”表示当暴露在等离子体处理腔室内所产生的等离子体处理条件下时对侵蚀和腐蚀的抵抗性。目前,抗等离子体部件由本体材料(bulk material)或通过在基材上热喷涂保护涂层来形成。
图1示出了运用等离子体的惯用热喷涂法100,通常被称为等离子体喷涂。原料101(常为粉末、液体或线料形式)被引入高温等离子体火炬105内。物料气体120(例如氩气、氮气、氢气、氦气)通过阴极122周围流向阳极喷嘴123。等离子体利用高压放电来启动,其在阴极122和阳极喷嘴123之间形成造成局部离子化和电弧的导电路径,因而形成物料气体120的等离子体放电。该等离子体以等离子体火炬105的形式离开阳极喷嘴123,其并不带电流(即中性等离子体)。等离子体火炬的温度约10,000K,这将原料101融化或软化为微滴(droplet)107,并将它们推向基材110。
融化的微滴107在冲击时平铺在基材110上,并快速硬化而形成涂层115,其由松饼状薄层组成,通常称为“薄片(splats)”。因为原料粒子的尺寸通常从数微米(μm)至大于100μm,所以薄层常拥有约1μm的厚度以及从数微米至大于100μm的横向尺寸。在个别薄层之间,存在有微小缝隙,例如孔隙、裂缝以及不完全接合的区域。
发明内容
本发明的实施方式包含抗等离子涂层材料、抗等离子涂层以及在硬件部件上形成此种涂层的方法。在特定实施方式中,硬件部件是等离子体腔室部件,其在通过离子体腔室执行处理期间暴露于等离子体。在一个这样的实施方式中,等离子体腔室部件是静电夹盘(ESC),而抗等离子涂层形成在该ESC表面上,例如形成在等离子体处理期间工作件在等离子体腔室内设置在其上的圆盘表面上。
在一个实施方式中,抗等离子涂层包含非基材原生的陶瓷,其中,该涂层沉积在基材上并且该抗等离子涂层以使其具有低于1%的孔隙度的方式形成。业已发现,这种低孔隙度显著增加了该涂层的等离子体侵蚀抗性,并减少了在部件的使用寿命期间等离子体腔室内的微粒污染。在另一实施方式中,抗等离子涂层表面具有低于1μm的算术平均粗糙度(Ra)。与惯例相反,业已发现具有这种低表面粗糙度的涂层,尤其是应用在ESC上时,显著减少了微粒污染。在另一特定实施方式中,抗等离子涂层以使其具有至少1000V/密尔的崩溃电压(breakdown voltage)的方式形成,这显著高于惯用涂层。
在一个实施方式中,抗等离子涂层以使其含有钇(Y)、铱(Ir)、铑(Rh)或镧系元素(例如铒(Er))的氧化物、氮化物、硼化物、碳化物、或卤化物中至少一种的方式形成。在某些实施方式中,抗等离子涂层以使其成为无定形或具有细微晶粒结构的多晶的方式形成。特定的多晶实施方式具有这样的晶体纹理,其具备非随机的、“优先”出平面(out-of-plane)的生长方向。在一个这样的实施方式中,优先出平面生长使密度最高的晶面定位在涂层表面以面向等离子体。业已发现,原子沿着优先晶体方向堆栈会改善涂层的等离子体抗性。某些实施方式还包含设置在基材和抗等离子涂层之间的一个或多个中间层。所述中间层可包含抗等离子涂层中缺少的元素的氧化物,或是抗等离子涂层中缺少的元素的氧化物或氮化物或碳化物的组合物,例如二氧化硅和碳化硅,以提供为特定效能特性(例如漏电流)所设计的混杂涂层。
实施方式还包含在如下条件下在等离子体腔室部件上形成抗等离子涂层的方法:在形成抗等离子涂层的同时或紧接抗等离子涂层形成之后使抗等离子涂层暴露于高能粒子。所述高能粒子包含离子、中子原子、自由基、和纳米尺寸粒子,它们来自粒子产生源,例如等离子体,反应性气体,或来自提供沉积材料的材料源。提供这种条件的示例性方法包含离子辅助沉积(IAD)、离子化金属等离子体(IMP)、活化反应性蒸镀(ARE)或等离子体浸没离子工艺(PIIP)。特定沉积工艺的实施方式包含卤素或氧气中的至少一种作为反应性气体物种,并且还可以包含在部件基材上沉积抗等离子涂层的同时电偏压该基材。其它实施方式包含利用纳米粒子质流沉积工艺或利用溶胶-凝胶沉积工艺在部件上形成抗等离子涂层,以得到在本文中描述的涂层组合物、结构及电气特性。
附图说明
本发明实施方式利用非限制性实施例在如下附图中进行阐述,其中:
图1示出了一种施加涂层至部件的惯用设备;
图2示出了可在其中运用根据本发明一个实施方式的具有抗等离子涂层的部件的等离子体处理腔室;
图3A示出了根据本发明一个实施方式形成在部件表面上的抗等离子涂层的剖面图;
图3B和图3C分别示出了根据本发明一个实施方式的抗等离子涂层的形态及抗侵蚀性;
图3D和图3E分别示出了根据本发明另一实施方式的抗等离子涂层的形态及抗侵蚀性;
图3F示出了根据图3A至图3E所示各实施方式的抗等离子涂层的电阻特性;
图4A示出了根据本发明一个实施方式形成在部件表面上的抗等离子混杂涂层的剖面图;
图4B示出了根据本发明一个实施方式的利用特定方法形成的抗等离子涂层的抗侵蚀性;
图5A示出了根据本发明一个实施方式的抗等离子涂层的沉积机制;
图5B示出了根据本发明一个实施方式的在部件上沉积抗等离子涂层的设备;
图5C示出了根据本发明一个实施方式的在部件上沉积抗等离子涂层的设备;和
图6A-6B示出了根据本发明实施方式的在部件上沉积抗等离子涂层的方法的流程图。
详细说明
本说明书通篇所提及的“一个实施方式”意指结合该实施方式所述的特定特征、结构、材料、或特性包含在本发明的至少一个实施方式中。因此,在本说明书通篇多处出现的短语“在一个实施方式中”并不必定指本发明的相同实施方式。在下面的叙述中,阐述了众多具体细节,例如制造条件及材料,以提供对本发明的完整理解。但是,特定实施方式可在无这些具体细节中的一个或多个的条件下实施,或合并其它已知方法、材料及设备实施。此外,所述的特定特征、结构、材料、或特性可在一个或多个实施方式中以任何适当方式组合。还应了解具体实施方式可在不互斥的前提下组合。该等附图是说明性表示,因而不必按照比例绘制。
本文中使用的短语“上方”、“下方”、“之间”、及“上”表示一个构件相对于其它构件的相对位置。同样,例如,设置在另一个构件上方或下方的一个构件可与其他构件直接接触,或者可有一个或多个居中构件。此外,设置在构件之间的一个构件可与这两个构件直接接触,或者可有一个或多个居中构件。相反的,在第二构件“上”的第一构件与该第二构件接触。此外,提供一个构件相对于其它构件的相对位置,假设操作在不考虑基材的绝对方向的条件下相对于基材执行。
本发明实施方式包含抗等离子涂层材料、抗等离子涂层以及在硬件部件上形成这种涂层的方法。在特定实施方式中,该硬件部件是在等离子体腔室执行的等离子体处理期间暴露于等离子体的等离子体腔室部件。作为等离子体处理腔室的实例,图2标出了等离子体刻蚀系统200的剖面图。该等离子体刻蚀系统200包含工艺腔室205。工作件210通过开口215加载并被紧夹在阴极220上。在特定实施方式中,该阴极220以静电力(例如静电夹盘或ESC)把持该工作件210。在其他实施方式中,该阴极220包含多个区域,每一区皆可独立控制在温度设定值下,例如具有邻近该工作件210中心的第一温度区222以及邻近该工作件210周边的第二温度区221。工艺气体从气体源245、246、247和248通过各自的质流控制器249被供应至该工艺腔室205的内部。该工艺腔室205经由通过排气阀251连接的高流量真空泵堆栈255排空至例如介于5毫托和500毫托之间。
施加RF功率时,等离子体形成在腔室处理区内工作件210上方。偏压功率RF产生器225耦合至阴极220,以提供偏压功率并进一步能量化该等离子体。在某些实施方式中,该等离子体刻蚀系统200包含在不同频带(distinct frequency band)下的第三偏压功率RF产生器226,其连同偏压功率RF产生器225一起被连接至RF匹配器227。电源RF产生器230通过匹配器(未示出)耦合至等离子体产生元件235,其可以是相对于阴极220的阳极,以提供高频电源以能量化等离子体。该电源RF产生器230通常具有高于偏压功率射频产生器225的频率,例如介于100和180MHz之间。偏压功率影响工作件210上的偏压、控制工作件210的离子轰击,而电源相对地独立于该工作件210上的偏压影响等离子体密度。
等离子体刻蚀系统200由控制器270进行计算机控制,以控制低频偏压功率、高频电源、刻蚀气流、工艺压力和阴极温度,以及其它工艺参数。通常,控制器270包含中央处理单元(CPU)272,其与其他常用构件中的存储器273和输入/输出(I/O)电路274联通。软件指令,由该CPU272执行,使该等离子体刻蚀系统200,例如将工作件210加载至等离子体刻蚀腔室内、将刻蚀气体混合物引入工艺腔室205以及刻蚀该工作件210。
等离子体处理系统(例如等离子体刻蚀系统200)的至少一个部件包含抗等离子涂层,如图3A或4A所示。任何构成工艺腔室205的部件均可被此种抗等离子涂层涂覆。示例性的腔室部件包含工艺套件、聚焦环、喷头及上盖。在阴极220是ESC的特定实施方式中,该ESC表面,例如处理期间其上设置工作件210的圆盘表面,或该ESC的周边表面,涂覆抗等离子涂层,如图3A或4A所示。
图3A示出了包含抗等离子涂层315的等离子体腔室部件300的一部分的剖面图。该等离子体腔室部件300包含基材310,其具有外基材表面311,在该外基材表面上方沉积抗等离子涂层315,以提供将在工作件的等离子体处理期间暴露于等离子体的外涂层表面316。基材310可以是任何惯用材料,例如铝或铝合金、石英、陶瓷、复合材料、等等。该基材310还可以包含整体表面涂层(未示出)。整体表面涂层与基材310一起形成单一、连续的结构。整体表面涂层通常利用下方部件材料的至少一部份从基材310原位形成。例如,就铝基材310而言,可“生长”铝氧化物(Al-O)或铝氮化物(Al-N)的整体表面涂层。整体表面涂层通过例如阳极处理基材310而由该基材310形成。根据本发明实施方式的抗等离子涂层与整体表面涂层的区别在于:该抗等离子涂层为非原生的。例如,在基材310为铝合金的实施方式中,该抗等离子涂层基本上不含铝。
在本发明的实施方式中,形成在基材310上方的抗等离子涂层315是非热喷涂涂层。业已发现等离子体喷涂涂层会污染在等离子体腔室内处理的基材。例如,在其部件拥有等离子体喷涂氧化钇(Y2O3)涂层的腔室内等离子体处理后发现基材上有钇(Y)污染。在研究此现象期间,发现等离子体喷涂涂层在遍及整个涂层厚度上拥有高密度的裂缝及缝隙,这是图1所示薄层的作用。典型的等离子体喷涂涂层拥有3%或更高的孔隙度。等离子体喷涂涂层表面也具有特有的粗糙,一般具有约5微米(μm)的算术平均粗糙度(Ra)。因为裂缝、缝隙和微粒在等离子体暴露期间受到不平均侵蚀,所以被等离子体喷涂涂覆的部件会发展出具有直径大至25μm的粒子的粗糙等离子体刻蚀表面。此种大表面粒子的形成可能是源自沿着晶粒或粒子边界的优先侵蚀,在该处,薄膜应力相对高而接合强度相对低。在等离子体刻蚀涂层上发现的粗糙尖峰表示此种粒子最终断裂并且可能污染在腔室内处理的基材。基材污染、等离子体工艺漂移和部件表面退化因此与等离子体喷涂涂层中存在的裂缝、缝隙、粗糙表面及大型微粒等相关联。尤其是,对于由本体陶瓷(例如本体氧化钇)形成的工艺腔室部件的类似评估也确认了众多直径25μm或更大的缝隙。因此,本体陶瓷在等离子体抗性上与等离子体喷涂种类相比提供非常少的改善。
为减少污染及粒子形成,抗等离子涂层315的实施方式被形成为拥有低孔隙度和低表面粗糙度。在一个实施方式中,抗等离子涂层315拥有低于约1%的孔隙度。孔隙度表达为:涂层总体积内开放空间的百分比,其中较低孔隙度是涂层密度较高的表示。在其他实施方式中,孔隙度基本上是0%,这为特定薄膜部件提供了最大密度。此种低涂层孔隙度在之前无法以惯用涂层沉积方法(例如等离子体喷涂)达成,惯用涂层方法中,甚至具有压缩应力的涂层仍具有远高于1%的孔隙度。
在另一实施方式中,就厚度为30μm或更厚的涂层而言,外涂层表面316拥有低于约1μm的Ra值。在某些实施方式中,外涂层表面316拥有低于0.25μm的Ra值,并且可低至0.025μm。作为比较,等离子体喷涂涂层表面通常拥有至少5μm的Ra值,并且常故意粗糙化至拥有10μm至25μm的Ra值。与等离子体工艺副产物可以更好地附着在粗糙腔室表面上(减少污染)的认知相反,深入的制造研究表明,较平滑的外涂层表面316可改善工艺洁净度。虽然未与理论结合,但推断较平滑的表面可改善原位腔室清洁(ICC)工艺的效力,减少湿式清洁的需要。在某些实施方式中,基材310也可以使外基材表面311相对平滑的方式来制备,以用于非等离子体喷涂涂层。例如,外基材表面311可被制成拥有低于约4μm的Ra,这可在加工过的部件表面实现。外基材表面311的低粗糙度也与等离子体喷涂方法相反,在等离子体喷涂方法中,为了改善该喷涂涂层的附着,基材表面通常会被粗糙化至拥有至少4μm的表面Ra。在某些实施方式中,基材310也可被制成使其外基材表面311拥有预期Ra(例如≥0.4μm)。因为抗等离子涂层可均匀分布在外基材表面311上方,所以在沉积抗等离子涂层315后涂层表面316维持该外基材表面311的原始表面Ra。可基于等离子体腔室部件300的预期效能(例如ESC的夹紧功能(chucking function)和去夹紧功能(de-chucking function))来判定预期Ra
在本发明的一个实施方式中,抗等离子涂层315是陶瓷,其包含如下主要成分:钪(Sc)、钇(Y)、铱(Ir)、铑(Rh)、镧系元素(例如镧(La)、铈(Ce)、铕(Eu)、镝(Dy)、或铒(Er))、或铪(Hf)的氧化物、氮化物、硼化物、碳化物、或氟化物。一个示例性涂层组合物包含Y2O3作为主要成分(即“Y2O3基”)。另一示例性涂层组合物包含YF3作为主要成分(即“YF3基”)。另一示例性涂层组合物包含Er2O3作为主要成分(即“Er2O3基”)。除了该主要成分以外,该抗等离子涂层315还可包含较少量的其它陶瓷,例如碳化硅(SiC)和氧化锆(ZrO2),以形成例如基质/溶质或超晶格。一个示例性Y2O3基组合物含有0.5-1.1原子百分比的C、58-60原子百分比的O、0-0.5原子百分比的F、以及39-40原子百分比的Y。
在本发明的一个实施方式中,抗等离子涂层315拥有无定形微结构。图3B示出了位于铝基基材310上的YF3基抗等离子涂层315的x射线衍射(XRD)数据。如图所示,仅可区分来自基材310的铝峰。无定形微结构是有利的,因为减少了由于晶粒边界处的优先刻蚀而引起的部件表面粗糙度和污染。无定形微结构的影响在图3C中更明显,其中等离子体喷涂YF3基涂层(“PS YF3”)的侵蚀率约是根据本发明实施方式的无定形YF3基涂层(“YF3/Al-N”)的两倍。
在另一实施方式中,抗等离子涂层315拥有细微晶粒结晶微结构。在示例性实施方式中,该晶粒尺寸不大于0.5微米。在进一步实施方式中,抗等离子涂层315拥有纹理化结晶微结构,其具有非随机结晶方向。该非随机结晶方向可具有优先出平面生长方向。在图3所示实施方式中,出平面生长方向是沿着y轴。在一个这样的实施方式中,优先出平面生长使密度最高的晶面定位在外涂层表面316上以面向等离子体。在这样的实施方式中,抗等离子涂层315拥有致密结构(compacted structure),其中,在该涂层形成期间各原子沿着密实晶面的方向堆栈。例如,就典型的面心立方(FCC)结晶结构而言,(111)平面出平面(y轴)定位,从而使该(111)平面形成外涂层表面316。以此方式,涂层材料的最密实面在等离子体腔室使用期间暴露于等离子体。图3D标出了示例性纹理化Y2O3基抗等离子涂层的XRD数据。如图所示,(222)尖峰是显著的,这表示密实的原子堆栈平面(111)在使用期间会面向等离子体。图3E示出了纹理对于等离子体侵蚀率的影响。如图所示,等离子体喷涂Y2O3处理(“PS Y2O3”)拥有比该纹理化处理(“IAD Y2O3”)高三倍以上的侵蚀率,其中“IAD”表示该薄膜利用离子辅助沉积法形成,如在本文中他处更详细所讨论的。
在一个实施方式中,抗等离子涂层315有相对高的电阻、低且稳定的漏电流以及相对高的崩溃电压(VBD)。此种特性对ESC应用而言是有利的。业已发现,惯用的涂覆Al-N或Al-O的ESC表面在等离子体处理腔室使用时可以在分钟等级的时间段内承受漏电流量的剧烈波动。此现象的研究结果认为,增加的ESC漏电流归因于UV暴露。UV放射通常存在于所有等离子体处理中。明确地说,已经发现ESC在原位腔室清洁(ICC)程序期间的UV暴露(当该ESC通常无把持工作件以进行等离子体处理时)将大幅度增加ESC的漏电流。因为ICC一般是在连续的工作件等离子体处理之间执行,所以在工作件开始处理期间(紧接在ICC之后)ESC漏电流倾向于高,并且随着工作件处理时间降低,直到取出工作件并重复ICC为止。这种ESC漏电流的变化会造成夹紧和去夹紧问题,最终需要更换昂贵的部件。
在等离子体腔室部件300是ESC的特定实施方式中,抗等离子涂层315减轻了ESC漏电流波动。明确地说,业已发现钇基涂层避免了可由UV暴露引起的漏电流漂移。除了减少漏电流变化之外,抗等离子涂层315可提供就ESC应用而言特别有利的其它特性,例如具有比等离子体喷涂涂层可能达到的实质上更低的Ra的能力。图3F标出了一个示例性钇基ESC涂层(“PRD-Y2O3”)实施方式与Al-N陶瓷对照组(“陶瓷基材”)相比较的电特性。如图所示,对照组的漏电流比“PRD-Y2O3”涂层约高一个数量级。“PRD”表示薄膜利用等离子体反应性沉积形成,如在本文中他处更详细讨论的。
在其他实施方式中,抗等离子涂层315拥有至少1000V/密尔(thou)的崩溃电压。在特定实施方式中,该崩溃电压大于3500V/密尔(thou)。作为比较,惯用等离子体喷涂Y2O3涂层通常拥有约750V/密尔(thou)的VBD。本文所公开实施方式的较高崩溃电压对ESC部件而言也会是有利的。此外,抗等离子涂层315的平滑,如先前所述,有利地提供了低ESC氦气漏率。此外,抗等离子涂层315减少了在等离子体暴露时在ESC表面上的AlFx形成。减少AlFx形成改善了ESC的使用寿命,并降低等离子体处理期间对设置在ESC上的工作件的微粒污染。在其他实施方式中,可施加抗等离子涂层315,如本文所述,以在等离子体暴露一段时间后翻新ESC。
图4A示出了含有混杂涂层413的等离子体腔室部件400的一部分的剖面图。混杂涂层包含至少两种不同的材料层。这种不同的涂层要么可基本上不含所述涂层形成在其上的基材中的基础材料,要么其中之一或两者是与基材相同的化学组成物,但是所述涂层拥有与基材不同的结晶结构。在任一情况下,混杂涂层提供与基材不同的效能特性。如图4A所示,抗等离子涂层315沉积在一个(或多个)中间层412上,以形成混杂涂层413。混杂涂层413中的多个层容许中间层412提供一种或多种优势特性(例如高传导性、高阻抗、UV保护等),而抗等离子涂层315提供等离子体抗性。或者,混杂涂层413的多个层容许中间层412提供具有第一组成的抗等离子涂层,而抗等离子涂层315提供具有第二组成的抗等离子涂层(例如,氧化钇基涂层在氟化钇基中间层上)。
出自抗等离子涂层315所述的任何金属及其氧化物、氮化物、硼化物、氟化物及碳化物均可用于中间层412,这取决于预期功能。也可使用其它非抗等离子体材料。例如,在一个实施方式中,混杂涂层413包含中间层412,其是抗等离子涂层中缺少的元素的氧化物。在一个其中腔室部件是ESC的实施方式中,中间层412是二氧化硅,以减少工作件夹紧期间的漏电流。如3F图所示,具有Y2O3基层位于SiO2层上方(“PRD H-Y2O3”)的示例性混杂涂层的陶瓷基材在所有图示出的处理中呈现最高阻抗和最低漏电流。相对于非混杂涂层(“PRD-Y2O3”)添加二氧化硅中间层说明了利用混杂涂层来减少漏电流是有可能的。在特定实施方式中,该Y2O3-SiO2混杂涂层提供0.1μA的漏电流,其中基材在20托的背侧氦气压力以及施加500伏特下被夹紧。
混杂涂层413中的各层基于中间层412的功能作用可以具有各种厚度。例如,当部件是ESC而中间层412用来降低漏电流时,SiO2中间层可被例如沉积至厚度介于抗等离子涂层315的四分之一和两倍之间。在使用20μm Y2O3基抗等离子涂层的两个示例性实施方式中,一个混杂涂层包含5μm的SiO2中间层,而第二混杂涂层包含10μm的SiO2中间层。业已发现具有较厚的SiO2层的实施方式的漏电流显著较低。
在图3E中可看出混杂涂层结构对于侵蚀率的影响。如图所示,两种混杂涂层处理(“IAD H-1 Y2O3”和“IAD H-2 Y2O3”)的侵蚀率均显著低于对照组处理(“PS Y2O3”和“本体Y2O3”),并且可与非混杂涂层处理(“IAD Y2O3”)相比较。同样,可在具有如图4A所示混杂涂层413的ESC上实现等离子体抗性及改善的功能(例如降低漏电流)两者。混杂涂层结构还可改善抗等离子涂层315的附着力。业已发现相对于单一的Y2O3基涂层,SiO2/Y2O3混杂涂层较少剥离。
现在将讨论形成抗等离子涂层的方法,这种涂层具备所述抗等离子涂层实施方式的组成、形态、微结构和电性质。在一个实施方式中,用来沉积抗等离子涂层315的方法使用高能粒子相互作用,来提供本文先前描述的形态、微结构及电性质中的一种或多种。等高能粒子可包含离子、中子原子、自由基、和纳米尺寸粒子,它们来自粒子产生源,例如等离子体、反应性气体,或来自提供沉积材料的材料源。高能粒子比现有热喷涂法所产生的任何粒子都小,在特定实施方式中,高能粒子主要是离子。就使用混杂涂层413的实施方式而言,中间层412和抗等离子涂层315两者均可在高能粒子的存在下进行沉积。使用此种沉积方法是有利的,因为其更接近抗等离子涂层在部件在等离子体腔室内使用期间要承受的条件。在高能粒子相互作用的存在下聚集的抗等离子涂层可利用对于存在于等离子体处理腔室内中的类似情况更具抗性的方式形成。
图5A示出了可应用于使用高能粒子的种种沉积方法的沉积机制。如图所示,抗等离子涂层315在高能粒子503存在下通过沉积材料502的聚集而形成。沉积材料包含原子、离子、自由基、或其混合物。高能粒子503可以在其形成时冲击并紧压抗等离子涂层315。高能粒子503也可以在其形成时以取决于微结构或形态中的晶体方向和/或的局部均匀性的速率溅射抗等离子涂层315,以提供在本文他处所述的性质。应了解到,等离子体喷涂或任何其它热喷涂均无法提供此种工艺条件。
在一个实施方式中,使用离子辅助沉积(IAD)来形成抗等离子涂层315,如先前在本文他处所述的。图5B示出了IAD沉积设备的示意图。如图所示,材料源550提供了沉积材料502流,而高能离子源555提供了高能离子503流,两者皆在IAD工艺期间冲击在基材310上。IAD可使用一种或多种等离子体或离子束来提供材料及高能离子源。也可在抗等离子涂层的沉积期间提供反应物种。在一个实施方式中,高能离子503包含非反应物种(例如氩)或反应物种(例如氧)中的至少一种。在其他实施方式中,也可在形成抗等离子涂层期间引入反应物种例如CO及卤素(Cl、F、Br等),以进一步增强选择性除去与抗等离子涂层的键合最弱的沉积材料的趋势。
利用IAD工艺,可用独立于其它沉积参数的高能离子源555来控制高能离子503。根据高能离子流的能量、密度和入射角,可操控涂层的组成、结构、结晶方向及晶粒尺寸。离子轰击可提供独特的工艺优势,例如但不限于,清洁基材310表面、将高能粒子植入基材310内(如图5A所示)以及设计原子键合。在沉积涂层时,可设计离子轰击程度以提供具有在本文他处所述的有利性质的抗等离子涂层。
在另一实施方式中,利用等离子体反应性沉积(PRD)来形成抗等离子涂层315,如先前在本文他处所述的。此种方法与IAD法类似,因为也使用高能离子或粒子,但是高能离子源与用于IAD方法的沉积材料源或等离子体源不同。转而调整工艺参数以平衡与高能粒子(离子)的产生以及材料粒子(中性)。例如,可充分能量化等离子体源产生的离子以提供能够产生先前在本文他处所述的有利抗等离子涂层性质的轰击。在一个这样的实施方式中,使用造成相对高的基材偏压(例如100伏特或更高)的工艺参数来涂布基材,以在形成期间提供涂层的适当高能粒子轰击。在某些PRD实施方式中,选择基材材料以使抗等离子涂层拥有有利的小晶粒尺寸。例如,一个实施方式使用Al-N基材表面,因此成核速率很高,而减小了抗等离子涂层的晶粒尺寸。在某些PRD实施方式中,基材材料被浸没在等离子体中,以利抗等离子涂层的非视线(non-line-of-sight)生长。所形成的抗等离子涂层于是覆盖所有基材表面。可利用基本上各相同性的沉积工艺来形成这样的涂层,这种沉积工艺在复杂几何形状上提供均匀的涂层厚度。图4B还示出了利用IAD法(“IAD H-Y2O3”)和PRD法(“PRD H-Y2O3”)两者所沉积的SiO2/Y2O3混杂涂层的侵蚀率的比较结果。
示例性的IAD方法包含合并离子轰击的沉积工艺,例如在离子轰击的存在下蒸镀(例如活化反应性蒸镀(ARE))和溅镀,以形成本文中所述的抗等离子涂层。可在反应性气体物种(例如氧气、氮气、卤素等)的存在下执行任何IAD方法。该反应性气体物种能够使陶瓷(例如氧化物)从金属材料源(靶材)或有机金属气体物种形成。
示例性的PRD方法包含沉积工艺、等离子体辅助蒸镀、离子电镀(ionplating)、离子化金属等离子体(IMP)或等离子体浸没离子工艺(PIIP),所述沉积工艺例如为基于等离子体的溅镀和等离子体辅助化学气相沉积(PECVD),它们被调整以提供足以提供具有本文他处所述性质的涂层的粒子轰击程度。PIIP技术,例如使用射频(RF)感应等离子体源来提供非视线沉积工艺,从而在基材上产生坚硬且附着的涂层。在PIIP沉积中,可在把持基材的台座上施加dc脉冲负偏压,从而可从等离子体吸引正离子以在抗等离子涂层在基材上聚集或生长时轰击该抗等离子涂层。任何这些方法均可在反应性气体物种(例如氧气、氮气、卤素等)的存在下执行。反应性气体物种能使陶瓷(例如氧化物)从金属材料源(靶材)或有机金属气体物种形成。
图6A的方法600示出了示例性的IAD或PRD方法。如图所示,方法600以提供要涂布的基材的操作605开始。该基材可以是先前就基材310等所述的那些中任意一种。在操作610,基材被置入真空腔室内。在操作615和620,基材分别被暴露于沉积材料流以及暴露于高能离子流。在特定IAD和PRD实施方式中,基材被暴露于高能离子流同时基材被暴露于沉积材料流(即并存或同步)。在其它IAD实施方式中,基材被交替暴露于沉积材料流和高能离子流(即依次沉积/轰击循环)。在另一实施方式中,PRD工艺的工艺条件可在各种状态之间循环,从而交替促成沉积和轰击。在涂布基材后,方法600以将已涂布的基材从沉积腔室中取出的操作630结束。在进一步实施方式中(未示出),可在沉积抗等离子涂层后将已涂布的基材暴露在进一步处理中,例如热退火或离子植入。
在另一实施方式中,使用纳米粒子质流沉积法(nanoparticle mass flowdeposition method)来形成先前在本文他处所述的任何抗等离子涂层。此种方法的实例是气溶胶沉积法(AD)。纳米粒子质流沉积与热喷涂工艺的区别至少在于,沉积至基材上的粒子尺寸。例如,特定气溶胶沉积工艺使用直径在1纳米-1微米范围内的粒子。纳米粒子质流沉积与热喷涂的进一步区别在于,所沉积的粒子处于低温下(未融化或软化)。图5C标出了示例性AD设备500,其包含与真空泵560连接的沉积腔室550。提供气源565至在气溶胶腔室561内的陶瓷粉末566,以通过喷嘴567以气溶胶形式向基材310提供纳米粒子575。可操作该AD设备500以执行纳米粒子质流沉积法600,如图6B所示。纳米粒子质流沉积法650以供应基材(例如基材310等等)的操作651开始。在操作655,沉积腔室被泵吸至适合的真空水平,并在操作675将基材暴露于纳米粒子流。在操作680,取出已涂布的基材。
应了解上面描述旨在说明,而非限制。在阅读并了解上面描述后,许多其它实施方式对本领域技术人员而言会是明显的。例如,可用其它沉积法,像溶胶-凝胶技术,来提供具有先前在本文他处所述性质的抗等离子涂层。虽然参考特定示例性实施方式描述本发明,但可理解本发明并不受限于所述实施方式,而可以在所附权利要求书的精神及范围内进行修正和变动。因此,应将说明书及附图视为说明性的而非限制性的。因此,本发明的范围应参考所附权利要求书连同该权利要求书所赋予的等同物的完整范围来做判定。

Claims (21)

1.一种等离子体处理腔室的部件,至少包含:
基材:以及
抗等离子涂层,所述抗等离子涂层被设置在所述基材的至少一部分上方,
其中所述抗等离子涂层包含非所述基材原生的陶瓷,并具有低于1%的孔隙度,其中所述陶瓷的主要成分为氧化钇,并且其中所述陶瓷是具有晶体纹理的多晶,所述晶体纹理具有优先出平面生长方向,所述优先出平面生长方向在所述抗等离子涂层的外表面上呈现所述氧化钇的平面(111)。
2.如权利要求1的等离子体处理腔室的部件,其中所述抗等离子涂层的孔隙度为0%,并且其中所述抗等离子涂层为无定形的。
3.如权利要求1的等离子体处理腔室的部件,其中所述抗等离子涂层的表面具有低于1μm的算术平均粗糙度(Ra)。
4.如权利要求3的等离子体处理腔室的部件,其中所述部件是静电夹盘部件,并且其中所述抗等离子涂层具有至少1000V/密尔的崩溃电压。
5.如权利要求4的等离子体处理腔室的部件,其中所述陶瓷还包含如下的至少一种:选自由钇、铱、铑、和镧系元素组成的组的元素的氧化物、氮化物、硼化物、碳化物、或卤化物。
6.如权利要求5的等离子体处理腔室的部件,还包含设置在所述基材和所述抗等离子涂层之间的中间层,其中所述中间层包含与选自由钇、铱、铑和镧系元素组成的组的元素不同的元素的氧化物、氮化物或碳化物,以作为主要成分。
7.如权利要求6的等离子体处理腔室的部件,其中所述中间层包含二氧化硅。
8.如权利要求1的等离子体处理腔室的部件,其中所述基材为陶瓷或铝合金,并且所述抗等离子涂层基本上不含铝,或者其中所述基材是石英,并且所述抗等离子涂层基本上不含硅。
9.一种形成如权利要求1所述的等离子体处理腔室的部件的方法,至少包括:
接收所述基材;以及
在如下条件下在所述基材上方形成所述抗等离子涂层:在所述抗等离子涂层形成时使所述涂层暴露于直径小于约1μm的高能粒子。
10.如权利要求9的方法,其中形成所述抗等离子涂层进一步包括沉积如下中的至少一种:选自由钇、铱、铑、和镧系元素所组成的组的元素的氧化物、氮化物、硼化物、碳化物、或氟化物。
11.如权利要求9的方法,其中所述高能粒子是带电的,并且所述沉积工艺包含卤素或氧气中的至少一种作为反应性气体物种。
12.如权利要求9的方法,其中所述抗等离子涂层利用离子辅助沉积法(IAD)或等离子体反应性沉积法(PRD)沉积。
13.如权利要求9的方法,其中所述基材在所述抗等离子涂层被沉积在所述部件基材上方的同时受电偏压。
14.如权利要求13的方法,其中所述抗等离子涂层利用等离子体增强CVD、等离子体增强蒸镀或物理气相沉积工艺、或等离子体浸没离子工艺(PIIP)沉积。
15.如权利要求9的方法,其中所述部件是静电夹盘,并且所述方法还包含:
在沉积所述抗等离子涂层之前,在所述静电夹盘基材上沉积中间层,其中所述中间层包含所述抗等离子涂层中所缺少的元素的氧化物。
16.如权利要求15的方法,其中,所述中间层包含二氧化硅。
17.如权利要求9的方法,其中所述抗等离子涂层在沉积该抗等离子涂层之后进行离子植入。
18.一种形成如权利要求1所述的等离子体处理腔室的部件的方法,其至少包括:
接收所述基材;以及
使用纳米粒子质流沉积法在所述基材上形成抗等离子涂层。
19.如权利要求18的方法,其中所述纳米粒子是直径小于1μm的陶瓷粒子,并且包含如下的至少一种:选自由钇、铱、铑、和镧系元素组成的组的元素的氧化物、氮化物、硼化物、碳化物、或卤化物。
20.如权利要求18的方法,其中所述部件是静电夹盘,并且所述方法还包含:
在沉积所述抗等离子涂层之前,在所述静电夹盘基材上沉积中间层,其中所述中间层包含所述抗等离子涂层中所缺少的元素的氧化物。
21.如权利要求20的方法,其中,所述中间层包含二氧化硅。
CN200980144948.1A 2008-11-10 2009-11-05 用于等离子腔室部件的抗等离子涂层 Expired - Fee Related CN102210196B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/268,196 2008-11-10
US12/268,196 US8206829B2 (en) 2008-11-10 2008-11-10 Plasma resistant coatings for plasma chamber components
PCT/US2009/063437 WO2010054112A2 (en) 2008-11-10 2009-11-05 Plasma resistant coatings for plasma chamber components

Publications (2)

Publication Number Publication Date
CN102210196A CN102210196A (zh) 2011-10-05
CN102210196B true CN102210196B (zh) 2014-06-25

Family

ID=42153555

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980144948.1A Expired - Fee Related CN102210196B (zh) 2008-11-10 2009-11-05 用于等离子腔室部件的抗等离子涂层

Country Status (6)

Country Link
US (1) US8206829B2 (zh)
JP (2) JP6278584B2 (zh)
KR (1) KR101309716B1 (zh)
CN (1) CN102210196B (zh)
TW (1) TWI389248B (zh)
WO (1) WO2010054112A2 (zh)

Families Citing this family (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008014800B3 (de) * 2008-03-18 2009-08-20 Federal-Mogul Burscheid Gmbh Verfahren und Vorrichtung zur Herstellung eines dispersionsgehärteten Gegenstandes, der Carbid-Nanopartikel enthält
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102691045A (zh) * 2011-03-23 2012-09-26 鸿富锦精密工业(深圳)有限公司 铝或铝合金的壳体及其制造方法
US20130000552A1 (en) * 2011-06-28 2013-01-03 Nitride Solutions Inc. Device and method for producing bulk single crystals
US9238863B2 (en) 2012-02-03 2016-01-19 Tocalo Co., Ltd. Method for blackening white fluoride spray coating, and fluoride spray coating covered member having a blackened layer on its surface
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103474579B (zh) * 2012-06-06 2016-12-28 第一毛织株式会社 阻障堆栈和它的制造方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9384950B2 (en) * 2014-01-31 2016-07-05 Applied Materials, Inc. Chamber coatings
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
WO2018012454A1 (ja) * 2016-07-14 2018-01-18 信越化学工業株式会社 サスペンションプラズマ溶射用スラリー、希土類酸フッ化物溶射膜の形成方法及び溶射部材
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
CN210156345U (zh) * 2016-09-13 2020-03-17 应用材料公司 用于处理腔室的腔室部件、用于处理腔室的屏蔽的设计膜以及处理腔室
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6984126B2 (ja) * 2016-12-27 2021-12-17 東京エレクトロン株式会社 ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
CN108346611B (zh) * 2017-01-24 2021-05-18 中微半导体设备(上海)股份有限公司 静电吸盘及其制作方法与等离子体处理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR102373031B1 (ko) * 2017-07-31 2022-03-11 교세라 가부시키가이샤 부품 및 반도체 제조 장치
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019066113A1 (ko) * 2017-09-29 2019-04-04 한국기계연구원 플렉서블 활성종 발생기 및 이의 용도
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
KR102080153B1 (ko) * 2017-11-29 2020-02-24 주식회사 싸이노스 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
EP3728692A4 (en) 2017-12-18 2021-09-15 Entegris, Inc. CHEMICAL-RESISTANT MULTI-LAYER PAINTING APPLIED BY ATOMIC DEPOSITION
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI709653B (zh) * 2018-02-15 2020-11-11 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP2019151879A (ja) * 2018-03-01 2019-09-12 株式会社アルバック 成膜装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
TWI704843B (zh) * 2018-04-03 2020-09-11 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20190385825A1 (en) * 2018-06-14 2019-12-19 Applied Materials, Inc. Process chamber process kit with protective coating
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
WO2020023174A1 (en) 2018-07-23 2020-01-30 Applied Materials, Inc. Pre-conditioned chamber components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TWI680895B (zh) 2018-11-09 2020-01-01 財團法人資訊工業策進會 自動煞車系統與方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US20210241998A1 (en) * 2019-04-12 2021-08-05 Hitachi High-Technologies Corporation Plasma processing apparatus and inner component of plasma processing apparatus and manufacturing method of inner component of plasma processing apparatus
KR102612290B1 (ko) * 2019-04-26 2023-12-11 교세라 가부시키가이샤 플라스마 처리 장치용 부재 및 플라스마 처리 장치
KR102108419B1 (ko) * 2019-05-28 2020-05-07 주식회사 제스코 정전척 제조 방법 및 정전척 재생 방법
TWI772910B (zh) * 2019-09-30 2022-08-01 日商京瓷股份有限公司 電漿處理裝置用部材及具備其之電漿處理裝置
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
JPWO2021177393A1 (zh) 2020-03-06 2021-09-10
JP7411463B2 (ja) 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
WO2021242516A1 (en) * 2020-05-28 2021-12-02 Lam Research Corporation Atomic layer deposition coated powder coating for processing chamber components
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR102608654B1 (ko) * 2020-08-11 2023-12-04 한솔아이원스 주식회사 내플라즈마 유리 및 그 제조 방법
IL301981A (en) 2020-12-02 2023-06-01 Oerlikon Surface Solutions Ag Pfaffikon Improved plasma resistant coatings for electrostatic precipitators
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
CN114649180A (zh) * 2020-12-21 2022-06-21 中微半导体设备(上海)股份有限公司 等离子体处理装置零部件的处理方法、零部件及处理装置
KR102603741B1 (ko) 2021-10-21 2023-11-17 주식회사 원익큐엔씨 불화 대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR20230102468A (ko) 2021-12-30 2023-07-07 주식회사 원익큐엔씨 오염입자 발생 저감을 극대화 하는 반도체 장비 불화대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법
JP2023170163A (ja) * 2022-05-18 2023-12-01 株式会社フェローテックマテリアルテクノロジーズ ウエハ支持体

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294489A (en) * 1992-04-02 1994-03-15 General Electric Company Protective coating with reactive interlayer on reinforcement in silicon carbide composite
EP0661732B1 (en) 1993-12-28 2004-06-09 Applied Materials, Inc. A method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition
JPH08146208A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Works Ltd 反射鏡およびその製造方法
US5540959A (en) 1995-02-21 1996-07-30 Howard J. Greenwald Process for preparing a coated substrate
GB9617267D0 (en) * 1996-08-16 1996-09-25 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
US5869141A (en) 1996-11-04 1999-02-09 The Boeing Company Surface pretreatment for sol coating of metals
GB9717245D0 (en) * 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
JPH1161404A (ja) * 1997-08-21 1999-03-05 Hitachi Ltd 静電吸着装置及びその製造方法並びにそれを用いた加工装置
GB9800511D0 (en) * 1998-01-13 1998-03-11 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
GB9811456D0 (en) * 1998-05-29 1998-07-29 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
JP3850605B2 (ja) * 1999-10-29 2006-11-29 文雄 岡田 固相エキシマデバイス及びその製造方法
US6368899B1 (en) * 2000-03-08 2002-04-09 Maxwell Electronic Components Group, Inc. Electronic device packaging
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6502304B2 (en) * 2001-05-15 2003-01-07 General Electric Company Turbine airfoil process sequencing for optimized tip performance
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
GB0206930D0 (en) * 2002-03-23 2002-05-08 Univ Durham Method and apparatus for the formation of hydrophobic surfaces
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US20050112289A1 (en) 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005217350A (ja) * 2004-02-02 2005-08-11 Toto Ltd 耐プラズマ性を有する半導体製造装置用部材およびその作製方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4585260B2 (ja) * 2004-09-30 2010-11-24 株式会社東芝 半導体製造装置用部品及び半導体製造装置
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
KR100915722B1 (ko) * 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
JP4372748B2 (ja) * 2005-12-16 2009-11-25 トーカロ株式会社 半導体製造装置用部材
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
JP2007326744A (ja) * 2006-06-08 2007-12-20 Covalent Materials Corp 耐プラズマ性セラミックス部材
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP4992389B2 (ja) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2008266724A (ja) * 2007-04-20 2008-11-06 Shin Etsu Chem Co Ltd 溶射被膜の表面処理方法及び表面処理された溶射被膜
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Also Published As

Publication number Publication date
JP2012508467A (ja) 2012-04-05
KR101309716B1 (ko) 2013-09-17
US8206829B2 (en) 2012-06-26
CN102210196A (zh) 2011-10-05
TWI389248B (zh) 2013-03-11
US20100119843A1 (en) 2010-05-13
JP6711592B2 (ja) 2020-06-17
JP2016076711A (ja) 2016-05-12
KR20110091759A (ko) 2011-08-12
WO2010054112A3 (en) 2010-07-29
WO2010054112A2 (en) 2010-05-14
JP6278584B2 (ja) 2018-02-14
TW201030891A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
CN102210196B (zh) 用于等离子腔室部件的抗等离子涂层
CN109075084B (zh) 保护性金属氟氧化物涂层
KR102294960B1 (ko) 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
US9460898B2 (en) Plasma generation chamber with smooth plasma resistant coating
KR101932429B1 (ko) 내 플라즈마 코팅막, 이의 제조 방법 및 내 플라즈마성 부품
US10612121B2 (en) Plasma resistant coating with tailorable coefficient of thermal expansion
CN111640643A (zh) 稀土氧化物的顶部涂层的离子辅助沉积
CN111279455A (zh) 耐等离子性涂膜的制造方法及据此形成的耐等离子性构件
TW201931513A (zh) 用於半導體製程腔室部件的Y2O3-SiO2保護性塗佈
TW202202469A (zh) 基於氧化釔之塗層及塊體組成物
US11920234B2 (en) Yttrium oxide based coating composition
CN104241181A (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
CN112053929A (zh) 用于等离子体腔室内部的部件及其制作方法
CN114664623A (zh) 耐等离子体腐蚀半导体零部件及其制备方法和等离子体反应装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140625

Termination date: 20201105