KR101309716B1 - 플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들 - Google Patents

플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들 Download PDF

Info

Publication number
KR101309716B1
KR101309716B1 KR1020117013214A KR20117013214A KR101309716B1 KR 101309716 B1 KR101309716 B1 KR 101309716B1 KR 1020117013214 A KR1020117013214 A KR 1020117013214A KR 20117013214 A KR20117013214 A KR 20117013214A KR 101309716 B1 KR101309716 B1 KR 101309716B1
Authority
KR
South Korea
Prior art keywords
plasma
resistant coating
substrate
component
plasma resistant
Prior art date
Application number
KR1020117013214A
Other languages
English (en)
Other versions
KR20110091759A (ko
Inventor
제니퍼 와이. 선
샤오-밍 헤
센 타치
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110091759A publication Critical patent/KR20110091759A/ko
Application granted granted Critical
Publication of KR101309716B1 publication Critical patent/KR101309716B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Abstract

플라즈마 내성 코팅 물질들, 플라즈마 내성 코팅들 및 그러한 코팅들을 하드웨어 부품들에 형성하는 방법들이 제공된다. 일 실시예에서, 하드웨어 부품이 정전기 척(ESC)이고 그리고 상기 플라즈마 내성 코팅이 ESC 표면 상에 형성된다. 플라즈마 내성 코팅들은 유리한 물질 특성들을 가지는 플라즈마 내성 코팅들을 제공하기 위해서 용사 이외의 다른 방법들에 의해 형성된다.

Description

플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들{PLASMA RESISTANT COATINGS FOR PLASMA CHAMBER COMPONENTS}
본원 발명의 실시예들은 플라즈마 프로세싱 장비 분야에 관한 것으로서, 특히 플라즈마 프로세싱 챔버의 부품들을 위한 플라즈마 내성 코팅들에 관한 것이다.
진공 플라즈마 프로세싱 챔버들은 광전지 및 집적 회로들과 같은 소자들을 제조하는 동안에 플라즈마 프로세싱을 위해서 사용된다. 프로세스 가스들의 플라즈마를 생성하기 위해서 전기장이 프로세스 가스들로 인가되는 동안에, 프로세스 가스들이 프로세싱 챔버 내로 유동된다. 작업 비용을 줄이기 위해서, 플라즈마 내성을 가지도록 부품들을 디자인함으로써, 프로세싱 플라즈마에 노출되는 플라즈마 프로세싱 챔버 내의 부품들의 수명들이 연장된다. 본원 명세서에서 사용된 바와 같이, "플라즈마 내성"이라는 용어는 플라즈마 프로세싱 챔버 내에서 생성된 플라즈마 프로세싱 조건들에 노출되었을 때 부식 및 침식에 대한 내성을 지칭한다. 플라즈마 내성 부품들은 현재 벌크(bulk) 물질들로 만들어지거나 또는 보호 코팅을 기판에 걸쳐 용사(thermal spraying)함으로써 형성된다.
도 1은 플라즈마 스프레잉으로서 통칭되는 플라즈마를 채용한 통상적인 용사 방법(100)을 도시한다. 일반적으로 분말, 액체 또는 와이어 형태인 공급원료 물질(101)이 고온 플라즈마 토치(torch; 105) 내로 도입된다. 공급 가스(120)(예를 들어, 아르곤, 질소, 수소, 헬륨)가 음극(122) 주위에서 양극 노즐(123)을 향해서 유동한다. 플라즈마가 고전압 방전에 의해서 개시되고, 이는 국부적인 이온화 및 전기 아크에 대한 전도성 경로가 음극(122)과 양극 노즐(123) 사이에 형성되게 하고, 그에 따라 공급 가스(120)의 플라즈마 방전을 형성한다. 플라즈마는 전기 전류를 운반하지 않는(즉, 중성 플라즈마) 플라즈마 토치(105)로서 양극 노즐(123)을 빠져나온다. 플라즈마 토치의 온도는 공급원료 물질(101)을 작은 방울들(107)로 용융 또는 연화시키고 그 작은 방울들을 기판(110) 쪽으로 가압하는 10,000K 정도이다.
용융된 작은 방울들(107)이 기판(110)에 충돌하였을 때 편평하게 되고 그리고 신속하게 응고되어 "스플렛(splat)들"로 통칭되는 팬케이크-형의 박판(lamellae)들로 이루어진 코팅(115)을 형성한다. 공급원료 입자들이 일반적으로 수 마이크로미터(㎛)에서부터 100 ㎛를 초과하는 크기를 가지기 때문에, 하나의 박판은 통상적으로 약 1 ㎛의 두께를 가지고 그리고 몇 ㎛에서부터 100 ㎛를 초과하는 측방향 치수를 가진다. 개별적인 박판 사이에는, 기공, 균열 및 불완전한 결합 영역들과 같은 작은 공극이 존재한다.
본원 발명의 실시예는 플라즈마 내성 코팅 물질들, 플라즈마 내성 코팅들 및 그러한 코팅들을 하드웨어 부품들 상에 형성하는 방법들을 포함한다. 특정 실시예들에서, 하드웨어 부품들은 플라즈마 챔버에 의해서 실시되는 프로세싱 동안에 플라즈마들에 노출되는 플라즈마 챔버 부품들이다. 그러한 하나의 실시예에서, 플라즈마 챔버 부품은 정전기 척(ESC)이고 그리고 플라즈마 내성 코팅은 ESC의 표면 상에, 예를 들어 퍽(puck) 표면 상에 형성되며, 플라즈마 프로세싱 동안에 플라즈마 챔버 내에서 이러한 퍽 표면 상에 공작물이 배치된다.
일 실시예에서, 플라즈마 내성 코팅은 코팅이 증착되는 기판에 대해서 비-자연적(non-native)인 세라믹을 포함하고 그리고 플라즈마 내성 코팅은 1% 미만의 다공도(porosity)를 가지는 방식으로 형성된다. 이러한 낮은 다공도가 코팅의 플라즈마 부식 내성을 상당히 높이고 그리고 부품의 수명에 걸쳐 플라즈마 챔버 내의 입자 오염을 감소시킨다는 것을 발견하였다. 다른 실시예에서, 플라즈마 내성 코팅 표면은 1 ㎛ 미만의 산술 평균 조도(arithmetic average roughness; Ra)를 가진다. 통상적인 것에 대비하여, 이러한 낮은 표면 조도의 코팅들은, 특히 ESC에 도포되었을 때, 입자 오염을 상당히 감소시킨다는 것을 발견하였다. 다른 특정 실시예에서, 플라즈마 내성 코팅은 통상적인 코팅보다 상당히 높은 적어도 1000 V/mil의 파괴(breakdown) 전압을 가지는 방식으로 형성된다.
일 실시예에서, 플라즈마 내성 코팅은 이트륨(Y), 이리듐(Ir), 로듐(Rh) 또는 에르븀(Er)과 같은 란탄족 원소의 산화물, 질화물, 붕소화물, 탄화물, 또는 할로겐화물 중 적어도 하나를 포함하는 방식으로 형성된다. 일부 실시예들에서, 플라즈마 내성 코팅은 미세 입자 조직을 가지는 다결정 또는 비정질이 되도록 하는 방식으로 형성된다. 특정 다결정 실시예들은 비-랜덤형의(non-random), "우선적인(preferred)" 탈-평면 성장 배향(out-of-plane growth orientation)을 갖는 결정 텍스쳐(crystal texture)를 가진다. 하나의 그러한 실시예에서, 우선적인 탈-평면 성장은 플라즈마를 마주하는 코팅 표면 상에서 가장 높은 밀도의 결정 평면을 배향시킨다. 우선적인 결정 배향들을 따르는 원자 축적이 코팅의 플라즈마 내성을 개선한다는 것을 발견하였다. 특정 실시예들은 기판과 플라즈마 내성 코팅 사이에 배치된 중간 층 또는 층들을 추가적으로 포함한다. 중간 층(들)은 플라즈마 내성 코팅에 없는 원소의 산화물을 포함할 수 있고, 또는 실리콘 이산화물 및 실리콘 탄화물과 같이 플라즈마 내성 코팅에 없는 원소의 산화물 또는 질화물 또는 탄화물의 조합을 포함하여 누설 전류들과 같은 특정(specific) 성능 특성들에 맞춰진 하이브리드형 코팅을 제공할 수 있다.
실시예들은 코팅이 형성될 때 또는 코팅 형성에 후속하여 플라즈마 내성 코팅을 에너지(energetic) 입자들에 노출시키는 조건들 하에서 플라즈마 챔버 부품 상에 플라즈마 내성 코팅을 형성하는 방법들을 추가로 포함한다. 에너지 입자들은 플라즈마, 반응성 가스들과 같은 입자 발생 공급원들로부터 유래되는 또는 증착 물질들을 제공하는 물질 공급원으로부터 유래되는 이온들, 중성 원자들, 라디칼들 및 나노-크기 입자들을 포함한다. 그러한 조건들을 제공하는 예시적인 프로세스들은 이온 보조 증착(IAD), 이온화된 금속 플라즈마(IMP), 활성화된 반응성 증발(activated reactive evaporation; ARE) 또는 플라즈마 침지 이온 프로세스(plasma immersion ion process; PIIP)를 포함한다. 특별한 증착 방법 실시예들은 반응성 가스 종(species)으로서 할로겐 또는 산소 중 적어도 하나를 포함하고, 그리고 플라즈마 내성 코팅이 부품 기판에 걸쳐 증착되는 동안에 기판을 전기적으로 바이어스시키는 단계를 추가적으로 포함할 수 있다. 다른 실시예들은 본원 명세서에 기재된 코팅 조성들, 구조적 및 전기적 특성들을 달성하기 위해서, 나노 입자 질량 유동 증착 프로세스들을 이용하여 또는 졸-겔(sol-gel) 증착 프로세스를 이용하여 부품에 걸쳐 플라즈마 내성 코팅을 형성하는 단계를 포함한다.
본원 발명의 실시예들은, 비제한적인 예로서, 첨부 도면 내의 도식으로 예시되어 있다.
도 1은 부품에 코팅을 도포하는 통상적인 수단을 도시한 도면이다.
도 2는 본원 발명의 실시예에 따라 플라즈마 내성 코팅을 가지는 부품이 이용될 수 있는 플라즈마 프로세싱 챔버를 도시한 도면이다.
도 3a는 본원 발명의 실시예에 따라 부품의 표면 상에 형성된 플라즈마 내성 코팅의 단면도를 도시한 도면이다.
도 3b 및 도 3c는 본원 발명의 실시예에 따른 플라즈마 내성 코팅의 형태 및 부식 내성을 각각 도시한 도면이다.
도 3d 및 도 3e는 본원 발명의 다른 실시예에 따른 플라즈마 내성 코팅의 형태 및 부식 내성을 각각 도시한 도면이다.
도 3f는 도 3a 내지 도 3e에 도시된 실시예들에 따른 플라즈마 내성 코팅의 전기 저항 특성들을 도시한 도면이다.
도 4a는 본원 발명의 실시예에 따라 부품의 표면에 형성된 하이브리드 플라즈마 내성 코팅의 단면도를 도시한 도면이다.
도 4b는 본원 발명의 실시예에 따라, 특별한 방법들에 의해서 형성된 플라즈마 내성 코팅들의 부식 내성을 도시한 도면이다.
도 5a는 본원 발명의 실시예에 따라, 플라즈마 내성 코팅을 위한 증착 기구를 도시한 도면이다.
도 5b는 본원 발명의 실시예에 따라, 플라즈마 내성 코팅을 부품 상에 증착하기 위한 장치를 도시한 도면이다.
도 5c는 본원 발명의 실시예에 따라, 플라즈마 내성 코팅을 부품 상에 증착하기 위한 장치를 도시한 도면이다.
도 6a-6b는 본원 발명의 실시예들에 따라, 플라즈마 내성 코팅을 부품 상에 증착하기 위한 방법들을 도시한 흐름도들이다.
본원 명세서 전반에서 "실시예"에 대한 언급은 실시예와 관련하여 설명된 특별한 특징, 구조, 물질 또는 특성이 본원 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본원 명세서 전반의 여러 위치들에서 "실시예에서"라는 문구의 출현은 반드시 본원 발명의 동일한 실시예를 지칭하지는 않는다. 이하의 설명에서, 본원 발명의 완전한 이해를 제공하기 위해서, 제조 조건들 및 물질들과 같은 수많은 구체적인 세부 사항들이 기술된다. 그러나, 특별한 실시예들은 이러한 구체적인 세부 사항들 중 하나 또는 그보다 많은 세부 사항이 없이도 실시될 수 있고, 또는 다른 공지된 방법들, 물질들 및 장치들과 조합하여 실시될 수 있다. 또한, 설명되는 특별한 특징들, 구조들, 물질들 또는 특성들이 하나 또는 그보다 많은 실시예들에서 임의의 적합한 방식으로 조합될 수 있다. 또한, 상호 배타적이지 않은 경우에 특정 실시예들이 조합될 수 있다는 것이 이해되어야 한다. 첨부 도면들은 예시적인 표현들이고, 반드시 일정한 비율로 도시되는 것은 아니다.
본원 명세서에서 사용된 "위쪽", "아래쪽", "사이" 및 "상에서"와 같은 용어들은 다른 부재들에 대한 하나의 부재의 상대적인 위치를 나타낸다. 그와 같은 경우에, 예를 들어, 다른 부재의 위쪽 또는 아래쪽에 배치된 하나의 부재는 다른 부재와 직접적으로 접촉할 수 있고 또는 하나 또는 그보다 많은 중간 부재들을 구비할 수 있다. 또한, 부재들 사이에 배치된 하나의 부재가 두 개의 부재들과 직접적으로 접촉할 수 있고 또는 하나 또는 그보다 많은 중간 부재들을 구비할 수 있다. 대조적으로, 제 2 부재 "상의" 제 1 부재는 그 제 2 부재와 접촉한다. 부가적으로, 기판의 절대적인 배향에 대한 고려가 없이 기판에 대해서 작업들이 실시된다고 가정하면, 다른 부재들에 대한 하나의 부재의 상대적인 위치가 제공된다.
본원 발명의 실시예들은 플라즈마 내성 코팅 물질들, 플라즈마 내성 코팅들 및 하드웨어 부품들 상에 그러한 코팅들을 형성하는 방법들을 포함한다. 특정 실시예들에서, 하드웨어 부품들은 플라즈마 챔버에 의해서 실시되는 플라즈마 프로세싱 동안에 플라즈마들에 노출되는 플라즈마 챔버 부품들이다. 플라즈마 프로세싱 챔버의 예로서, 플라즈마 에칭 시스템(200)의 단면도를 도 2에 도시하였다. 플라즈마 에칭 시스템(200)은 프로세스 챔버(205)를 포함한다. 공작물(210)이 개구부(215)를 통해서 적재되고 그리고 음극(220)에 클램핑된다. 특별한 실시예들에서, 음극(220)은 정전기력(예를 들어, 정전기 척 또는 ESC)을 이용하여 공작물(210. 추가적인 실시예들에서, 음극(220)은 제 1 열 영역(222)이 공작물(210)의 중심에 인접하고 제 2 열 영역(221)이 공작물(210)의 둘레에 인접한 복수의 영역들을 포함하고, 그러한 각각의 영역은 온도 설정점으로 독립적으로 제어될 수 있다. 프로세스 가스들이 가스 공급원들(245, 246, 247 및 248)로부터 각각의 질량 유동 제어부(249)를 통해서 프로세스 챔버(205)의 내부로 공급된다. 배기 밸브(251)를 통해서 연결된 고용량 진공 펌프 스택(255)을 통해서 프로세스 챔버(205)가, 예를 들어, 5 mTorr 내지 500 mTorr까지 배기된다.
RF 파워가 인가될 때, 플라즈마가 공작물(210) 위쪽의 챔버 프로세싱 구역 내에 형성된다. 바이어스 파워 RF 발생기(225)가 음극(220)에 커플링되어 바이어스 파워를 제공하고 플라즈마에 추가적으로 에너지를 공급(energize)한다. 특정 실시예들에서, 플라즈마 에칭 시스템(200)은 바이어스 파워 RF 발생기(225)와 함께 RF 매치(227)에 연결되는 별개의(distinct) 주파수 밴드의 제 3 바이어스 파워 RF 발생기(226)를 포함한다. 공급원 파워 RF 발생기(230)가 매치(match; 도시하지 않음)를 통해서 플라즈마 발생 요소(235)에 커플링되고, 플라즈마에 에너지를 공급하기 위한 고주파 공급원 파워를 제공하기 위해서, 상기 플라즈마 발생 요소는 음극(220)에 대해서 양극일(anodic) 수 있다. 공급원 파워 RF 발생기(230)는 통상적으로 바이어스 파워 RF 발생기(225)보다 높은, 예를 들어 100 내지 180 MHz의 주파수를 가진다. 바이어스 파워는 공작물(210) 상의 바이어스 전압에 영향을 미쳐, 공작물(210)의 이온 충격을 제어하는 한편, 공급원 파워는 공작물(210) 상의 바이어스에 상대적으로 무관하게 플라즈마 밀도에 영향을 미친다.
플라즈마 에칭 시스템(200)은 저주파수 바이어스 파워, 고주파수 공급원 파워, 에칭제 가스 유동들, 프로세스 압력 및 음극 온도들, 그리고 다른 프로세스 파라미터들을 제어하도록 제어기(270)에 의해서 컴퓨터 제어된다. 일반적으로, 제어기(270)는 다른 공통 부품들 중에서도 메모리(273) 및 입출력(I/O) 회로(274)와 통신하는 중앙 처리 유닛(CPU)(272)을 포함한다. CPU(272)에 의해서 실행되는 소프트웨어 명령들은 플라즈마 에칭 시스템(200)으로 하여금, 예를 들어, 공작물(210)을 플라즈마 에칭 챔버 내로 적재하고, 에칭제 가스 혼합물을 프로세스 챔버(205) 내로 도입하고 그리고 공작물(210)을 에칭하게 한다.
플라즈마 에칭 시스템(200)과 같은 플라즈마 프로세싱 시스템의 적어도 하나의 부품은 도 3a 또는 도 4a에 개략적으로 도시된 바와 같이 플라즈마 내성 코팅을 포함한다. 프로세스 챔버(205)를 구성하는 부품들 중 임의의 부품이 그러한 플라즈마 내성 코팅으로 코팅될 수 있다. 예시적인 챔버 부품들은 프로세스 키트들, 포커스 링들, 샤워헤드들 및 덮개들을 포함한다. 음극(220)이 ESC인 특별한 실시예에서, 프로세싱 동안에 공작물(210)이 배치되는 퍽 표면과 같은 ESC의 표면 또는 ESC의 둘레 표면이 도 3a 또는 도 4a에 개략적으로 도시된 바와 같이 플라즈마 내성 코팅으로 덮여진다.
도 3a는 플라즈마 내성 코팅(315)을 포함하는 플라즈마 챔버 부품(300)의 일부의 단면도를 도시한다. 플라즈마 챔버 부품(300)은 외측 코팅 표면(316)을 제공하기 위해서 플라즈마 내성 코팅(315)이 증착되는 외측 기판 표면(311)을 가지는 기판(310)을 포함하며, 상기 외측 코팅 표면은 공작물의 플라즈마 프로세싱 중에 플라즈마에 노출될 것이다. 기판(310)은 알루미늄 또는 알루미늄 합금, 석영, 세라믹, 합성 물질 등과 같은 임의의 통상적인 물질일 수 있다. 기판(310)은 일체형 표면 코팅(도시하지 않음)을 추가로 포함할 수 있다. 일체형 표면 코팅은 기판(310)과 함께 단일체의 그리고 연속적인 구조를 형성한다. 통상적으로, 일체형 표면 코팅은 하부 부품 물질의 적어도 일부를 이용하여 기판(310)으로부터 현장에서(in-situ) 형성된다. 예를 들어, 알루미늄 기판(310)의 경우에, 알루미늄 산화물(Al-O) 또는 알루미늄 질화물(Al-N)의 일체형 표면 코팅이 "성장"될 수 있다. 일체형 표면 코팅이, 예를 들어, 기판(310)의 양극처리에 의해서 기판(310)으로부터 형성된다. 본원 발명의 실시예들에 따른 플라즈마 내성 코팅들은 플라즈마 내성 코팅들이 비-자연적이라는 점에서 일체형 표면 코팅들로부터 구분된다. 예를 들어, 기판(310)이 알루미늄 합금인 실시예에서, 플라즈마 내성 코팅은 실질적으로 알루미늄을 포함하지 않는다.
본원 발명의 실시예들에서, 기판(310) 상에 형성된 플라즈마 내성 코팅(315)은 비-용사 코팅이다. 플라즈마 스프레잉된 코팅들이 플라즈마 챔버 내에서 프로세싱되는 기판들을 오염시킨다는 것을 발견하였다. 예를 들어, 플라즈마 스프레잉된 이트리아(Y2O3) 코팅을 가지는 부품들을 구비하는 챔버 내에서의 플라즈마 프로세싱에 후속하여 기판 상에서 이트륨(Y) 오염물질이 발견되었다. 이러한 현상에 대한 조사 동안에, 플라즈마 스프레잉된 코팅들이 코팅의 두께에 걸쳐 높은 밀도의 균열들 및 공극들을 가진다는 것을 발견하였으며, 이는 도 1에 도시된 바와 같이 박판들의 함수이다. 통상적인 플라즈마 스프레잉된 코팅들은 3% 또는 그 초과의 다공도를 가진다. 플라즈마 스프레잉된 코팅들의 표면들은 또한 특징적으로 거칠고, 5 마이크로미터(㎛) 정도의 통상의 산술 조도 평균(Ra)을 가진다. 균열들, 공극들 및 입자들이 플라즈마 노출 동안에 불균질하게 부식되기 때문에, 플라즈마-스프레이 코팅된 부품은 직경이 25 ㎛까지 달하는 입자들을 가지는 거친 플라즈마 에칭된 표면을 형성한다. 그러한 대형 표면 입자들의 형성은 필름 응력이 비교적 높고 결합 강도가 비교적 낮은 결정립 또는 입자 경계들을 따른 우선적인 부식으로부터 기인할 수 있다. 플라즈마 에칭된 코팅들에서 발견되는 거친 피크(peak)들은 그러한 입자들이 결국 파괴되고 그리고 잠재적으로 챔버 내에서 프로세싱되는 기판들을 오염시킨다는 것을 나타낸다. 그에 따라, 기판 오염, 플라즈마 프로세스 변동(drift) 및 부품 표면 열화(劣化)는 플라즈마 스프레잉된 코팅에 존재하는 균열들, 공극들, 거친 표면들 및 큰 입자들에 상관된다. 분명하게, 벌크 세라믹(예를 들어, 벌크 이트리아)으로부터 형성된 프로세스 챔버 부품들에 대한 유사한 평가는 또한, 직경이 25 ㎛ 또는 그 초과인 수많은 공극들을 식별한다. 따라서 벌크 세라믹들은 플라즈마 스프레잉된 여러 부분들에 걸쳐 거의 개선되지 않은 플라즈마 내성을 제공한다.
오염 및 입자 형성을 줄이기 위해서, 플라즈마 내성 코팅(315)의 실시예들이 낮은 다공도 및 낮은 표면 조도를 가지도록 형성된다. 실시예에서, 플라즈마 내성 코팅(315)은 약 1% 미만의 다공도를 가진다. 다공도는 코팅의 전체 부피 중에서 개방된 공간의 백분율로서 표현되며, 더 낮은 다공도는 코팅이 보다 조밀하다는 것의 표시이다. 추가적인 실시예들에서, 다공도는 본질적으로 0%이고, 이는 특별한 필름 조성에 대한 최대 밀도를 제공한다. 그러한 낮은 코팅 다공도는 이전에 플라즈마 스프레잉과 같은 종래의 코팅 증착 방법들로는 달성할 수 없었으며, 압축 응력이 적용된 코팅들이라도 1%보다 큰 다공도를 가진다.
다른 실시예에서, 두께가 30 ㎛ 또는 그 초과인 코팅의 경우에 외측 코팅 표면(316)은 약 1 ㎛ 미만의 Ra 값을 가진다. 특정 실시예들에서, 외측 코팅 표면(316)은 0.25 ㎛ 미만의 Ra 값을 가지고, 그리고 0.025 ㎛ 정도로 낮은 값을 가질 수 있다. 이와 대비하여, 플라즈마 스프레잉된 코팅 표면들은 통상적으로 적어도 5 ㎛의 Ra 값을 가지고 그리고 종종 고의적으로 10 ㎛ 내지 25 ㎛의 Ra 값까지 거칠게 하기도 한다. 플라즈마 프로세스 부산물들이 거친 챔버 표면에 보다 잘 부착될 수 있다(오염 감소)는 일반적인 믿음과 반대로, 많은 제조 과정에 대한 연구들을 통해서 외측 코팅 표면(316)이 매끄러울수록 프로세스 청정도가 개선된다는 것을 발견하였다. 이론에 의해서 제한되는 것은 아니지만, 보다 더 매끄러운 표면은 현장내(in-situ) 챔버 세정(ICC) 프로세스들의 효율을 개선하여, 습식 세정들에 대한 필요성을 감소시키는 것으로 여겨진다. 일부 실시예들에서, 기판(310)은 또한 외측 기판 표면(311)을 비교적 매끄러워지도록 하는 방식으로 비-플라즈마 스프레잉된 코팅을 위해서 준비될 수 있다. 예를 들어, 외측 기판 표면(311)은 약 4 ㎛ 미만의 Ra를 가지도록 준비될 수 있고, 이는 가공된 부품 표면들에서 달성될 수 있다. 외측 기판 표면(311)의 낮은 조도는 또한 스프레이 코팅의 부착을 개선하려는 노력으로 기판 표면이 통상적으로 적어도 4 ㎛의 표면 Ra까지 거칠어지게 되는 플라즈마 스프레잉 방법과 대조적이다. 일부 실시예들에서, 기판(310)은 또한 희망 Ra(예를 들어, ≥0.4 ㎛)를 가지는 외측 기판 표면(311)을 가지도록 준비될 수 있다. 플라즈마 내성 코팅이 외측 기판 표면(311)에 걸쳐 균일하게 분포될 수 있기 때문에, 코팅 표면(316)이 플라즈마 내성 코팅(315)의 증착 후에 외측 기판 표면(311)의 원래의 표면 Ra를 유지한다. 희망하는 Ra는 ESC의 척킹 및 디척킹(dechucking) 기능과 같은 플라즈마 챔버 부품(300)의 희망하는 성능에 기초하여 결정될 수 있다.
본원 발명의 실시예에서, 플라즈마 내성 코팅(315)은 스칸듐(Sc), 이트륨(Y), 이리듐(Ir), 로듐(Rh), 란탄족 원소(예를 들어, 란타늄(La), 세륨(Ce), 유로퓸(Eu), 디스프로슘(Dy), 또는 에르븀(Er)), 또는 하프늄(Hf)의 산화물, 질화물, 붕소화물, 탄화물 또는 불소화물을 주요 성분으로 포함하는 세라믹이다. 하나의 예시적인 코팅 조성물은 주요 성분으로서 Y2O3(즉, "Y2O3-계")를 포함한다. 다른 예시적인 코팅 조성물은 주요 성분으로서 YF3(즉, "YF3-계")를 포함한다. 다른 예시적인 코팅 조성물은 주요 성분으로서 Er2O3(즉, "Er2O3-계")를 포함한다. 주요 성분에 부가하여, 플라즈마 내성 코팅(315)은, 예를 들어, 매트릭스/용매(matrix/solute) 또는 수퍼래티스(superlattice)를 형성하기 위해서 실리콘 탄화물(SiC) 및 지르코늄 산화물(ZrO2)과 같이 보다 적은 양의 다른 세라믹들을 더 포함할 수 있다. 하나의 예시적인 Y2O3-계 조성물이 0.5-1.1 원자% C, 58-60 원자% O, 0-0.5 원자% F, 및 39-40 원자% Y를 포함한다.
본원 발명의 실시예에서, 플라즈마 내성 코팅(315)이 비정질 미세조직을 가진다. 도 3b는 알루미늄-계 기판(310) 상의 YF3-계 플라즈마 내성 코팅(315)에 대한 x-레이 회절(XRD) 데이터를 도시한다. 도시된 바와 같이, 기판(310)으로부터의 알루미늄 피크들만이 구별될 수 있다. 입자 경계들에서 우선적인 에칭에 기인할 수 있는 부품 표면 조도 및 오염이 감소된다는 점에서, 비정질 미세조직이 유리할 수 있다. 비정질 미세조직의 효과는 도 3c에서 보다 명백한데, 여기서는 플라즈마 스프레잉된 YF3-계 코팅("PS YF3")의 부식 속도가 본원 발명의 실시예에 따른 비정질 YF3-계 코팅("YF3/Al-N")의 부식 속도의 약 2배가 된다.
다른 실시예에서, 플라즈마 내성 코팅(315)은 미세한 입자의 결정질 미세조직을 가진다. 예시적인 실시예에서, 입자 크기는 0.5 ㎛ 미만이다. 추가적인 실시예에서, 플라즈마 내성 코팅(315)은 비-랜덤 결정 배향을 갖는 텍스처링된(textured) 결정질 미세조직을 가진다. 비-랜덤 결정 배향은 우선적인 탈-평면 성장 배향을 가질 수 있다. 도 3에 도시된 실시예에서, 탈-평면 성장 배향은 y-축을 따른다. 하나의 그러한 실시예에서, 우선적인 탈-평면 성장이 외측 코팅 표면(316) 상의 가장 높은 밀도의 결정 평면을 플라즈마와 마주하도록 배향한다. 그러한 실시예들에서, 플라즈마 내성 코팅(315)은 코팅의 형성 중에 조밀한 결정 평면의 배향을 따라서 원자들이 축적되는 콤팩트화된(compacted) 조직을 가진다. 예를 들어, 통상적인 면심입방(FCC) 결정 조직의 경우에, (111) 평면이 외측 코팅 표면(316)을 형성하도록 (111) 평면이 탈-평면(y-축)으로 배향된다. 이런 식으로, 코팅 물질의 가장 조밀한 평면이 플라즈마 챔버 사용 중에 플라즈마에 노출된다. 예시적인 텍스처링된 Y2O3-계 플라즈마 내성 코팅에 대한 XRD 데이터가 도 3d에 도시되어 있다. 도시된 바와 같이, (222) 피크가 우세하며, 이는 사용 중에 콤팩트한 원자-축적 평면(111)이 플라즈마를 향할 것임을 나타낸다. 플라즈마 부식 속도들에 미치는 텍스쳐의 영향이 도 3e에 도시되어 있다. 도시된 바와 같이, 플라즈마 스프레잉된 Y2O3 처리("PS Y2O3")는 텍스쳐링된 처리("IAD Y2O3")의 3배를 초과하는 부식 속도를 가지며, 여기에서 "IAD"는, 본원 명세서의 다른 곳에서 보다 구체적으로 설명하는 바와 같이, 필름이 이온 보조 증착에 의해서 형성되었다는 것을 나타낸다.
실시예에서, 플라즈마 내성 코팅(315)은 비교적 높은 전기 저항, 낮고 안정적인 누설 전류들 및 비교적 높은 파괴 전압(VBD)을 가진다. 그러한 특성들은 ESC 용도들에 있어 유리하다. 통상적인 Al-N 또는 Al-O 코팅된 ESC 표면들은, 플라즈마 프로세싱 챔버가 사용되는 동안에, 분 단위의 시간 눈금(scale)에 걸친 누설 전류 크기들의 큰 변동들을 격을 수 있다는 것을 발견하였다. 이러한 현상에 대한 조사는 UV 노출에 대한 증대된 ESC 누설 전류의 결과로 본다. UV 방출은 일반적으로 모든 플라즈마 프로세싱에서 존재한다. 특히, 현장형 챔버 세정(ICC) 시퀀스들 도중(통상적으로, ESC가 플라즈마 프로세싱을 위해서 공작물을 유지하고 있지 않을 때)의 ESC의 UV 노출은 ESC 누설 전류들을 크게 증대시키는 것으로 확인되었다. 일반적으로 ICC가 연속적인 공작물들의 플라즈마 프로세싱들 사이에 실시되기 때문에, ESC 누설 전류는 공작물의 초기 프로세싱 동안에(ICC 직후) 높은 경향이 있고 그리고 공작물이 제거되고 ICC가 반복될 때까지 공작물의 프로세싱 시간의 경과에 따라 감소된다. 이러한 ESC 누설 전류의 변동은 척킹 및 디-척킹 문제들 둘 다를 초래할 수 있으며, 이는 궁극적으로 고가 부품들의 교체를 필요로 할 수 있다.
플라즈마 챔버 부품(300)이 ESC인 특정 실시예에서, 플라즈마 내성 코팅(315)은 ESC 누설 전류 요동(fluctuation)을 완화시킨다. 특히, 이트륨-계 코팅들이 UV 노출에 기인할 수 있는 누설 전류 변동을 방지한다는 것이 확인되었다. 누설 전류 변동을 감소시키는 것에 더하여, 플라즈마 내성 코팅(315)은, 플라즈마 스프레잉된 코팅을 통해 가능한 것보다 가능하면 상당히 더 낮은 Ra를 갖는 능력과 같이, ESC 용도들에 특히 유리한 다른 특성들을 제공할 수 있다. 도 3f는 Al-N 세라믹 제어("세라믹 기판")에 대비하여 하나의 예시적인 이트륨-계 ESC 코팅("PRD-Y2O3") 실시예에 대한 전기적 특성들을 도시한다. 도시된 바와 같이, 제어에 대한 누설 전류는 "PRD-Y2O3" 코팅에 대한 것보다 약 10배 더 크다. "PRD"는, 본원 명세서의 다른 부분에서 보다 구체적으로 설명하는 바와 같이, 플라즈마 반응성 증착에 의해서 필름이 형성되었음을 나타낸다.
추가적인 실시예들에서, 플라즈마 내성 코팅(315)은 적어도 1000 V/mil(thou)의 파괴 전압을 가진다. 특별한 실시예들에서, 파괴 전압은 3500 V/mil(thou)보다 크다. 이와 비교하여, 종래의 플라즈마 스프레잉된 Y2O3 코팅은 통상적으로 약 750 V/mil(thou)의 VBD를 가진다. 본원 명세서에 기재된 실시예들의 보다 높은 파괴 전압은 또한 ESC 부품들에 대해서도 유리할 수 있다. 또한, 플라즈마 내성 코팅(315)의 평활도는, 전술한 바와 같이, 낮은 ESC 헬륨 누설 속도들을 바람직하게 제공한다. 또한, 플라즈마 내성 코팅(315)은 플라즈마에 노출되었을 때 ESC 표면 상의 AlFx 형성을 감소시킨다. AlFx 형성의 감소는 ESC의 수명을 개선하고, 플라즈마 프로세싱 동안에 ESC 상에 배치된 공작물들의 입자 오염을 감소시킨다. 추가적인 실시예들에서, 본원 명세서에 기재된 바와 같이, 플라즈마 노출 기간 후에 ESC를 개조(refurbish)하기 위해서 플라즈마 내성 코팅(315)이 도포될 수 있다.
도 4a는 하이브리드 코팅(413)을 포함하는 플라즈마 챔버 부품(400)의 일부의 단면도를 도시한다. 하이브리드 코팅은 적어도 2개의 별개의 물질 층들을 포함한다. 별개의 코팅 층들은 코팅 층들이 형성되는 기판의 기본(base) 물질들을 실질적으로 포함하지 않을 수 있거나, 또는 그들 중 하나 또는 양자 모두가 기판과 동일한 화학적 조성을 갖지만 그러한 코팅 층들은 기판의 결정 조직과 상이한 결정 조직을 가진다. 이 중 어느 하나의 관점에서, 하이브리드 코팅 층들은 기판과 상이한 성능 특성들을 제공한다. 도 4a에 도시된 바와 같이, 플라즈마 내성 코팅(315)은 중간 층(또는 층들)(412)에 증착되어 하이브리드 코팅(413)을 형성한다. 하이브리드 코팅(413) 내의 다수의 층들은 중간 층(들)(412)이 하나 또는 그보다 많은 유리한 특성들(예를 들어, 높은 전도도, 높은 저항, UV 보호 등)을 제공할 수 있게 허용하는 한편, 플라즈마 내성 코팅(315)이 플라즈마 내성을 제공한다. 그 대신에, 하이브리드 코팅(413)의 다수 층들은 중간 층(들)(412)이 제 1 조성의 플라즈마 내성 코팅을 제공할 수 있게 허용하는 한편 플라즈마 내성 코팅(315)이 제 2 조성의 플라즈마 내성 코팅(예를 들어, YF3-계 중간 층 상의 Y2O3-계 코팅)을 제공한다.
플라즈마 내성 코팅(315)으로부터 설명되는 여러 금속들 및 그들의 산화물들, 질화물들, 붕소화물들, 불화물들 및 탄화물들 중 임의의 것이 희망하는 기능에 따라서 중간 층(들)(412)을 위해서 이용될 수 있다. 다른 비-플라즈마 내성 물질들이 또한 이용될 수 있다. 예를 들어, 하나의 실시예에서, 하이브리드 코팅(413)이 플라즈마 내성 코팅 내에 존재하지 않는 원소의 산화물인 중간 층(들)(412)을 포함한다. 챔버 부품이 ESC인 하나의 실시예에서, 중간 층(412)은 공작물 클램핑 동안에 누설 전류를 감소시키기 위한 SiO2가 된다. 도 3f에 도시된 바와 같이, SiO2 층 상의 Y2O3-계 층의 예시적인 하이브리드 코팅("PRD H-Y2O3")을 가지는 세라믹 기판이 그래프화된 처리들 중 임의의 처리의 가장 큰 저항 및 가장 낮은 전류 누설을 나타낸다. 비-하이브리드 코팅("PRD-Y2O3")에 대한 SiO2 중간 층의 부가는 하이브리드 코팅에서 가능한 전류 누설의 감소를 보여준다. 특별한 실시예에서, Y2O3-SiO2 하이브리드 코팅은 500 V가 인가되고 20 Torr의 후방 헬륨 압력으로 기판이 척킹된 상태에서 0.1 μA의 누설 전류를 제공한다.
하이브리드 코팅(413)의 층들이 중간 층(412)의 기능적인 역할을 기초로 다양한 두께들을 가질 수 있다. 예를 들어, 부품이 ESC이고 중간 층(412)이 누설 전류를 감소시키기 위한 것인 경우에, 중간 SiO2 층이, 예를 들어, 플라즈마 내성 코팅(315) 두께의 1/4 내지 2배의 두께로 증착될 수 있다. 20 ㎛ Y2O3-계 플라즈마 내성 코팅을 이용하는 2개의 예시적인 실시예들에서, 하나의 하이브리드 코팅이 5 ㎛ 중간 SiO2 층을 포함하는 한편, 제 2 하이브리드 코팅은 10 ㎛ 중간 SiO2 층을 포함하였다. 누설 전류는 더 두꺼운 SiO2 층을 가지는 실시예에서 상당히 낮은 것으로 확인되었다.
부식 속도에 미치는 하이브리드 코팅 구조의 영향을 도 3e에서 확인할 수 있다. 도시된 바와 같이, 양 하이브리드 코팅 처리들("IAD H-1 Y2O3" 및 "IAD H-2 Y2O3") 모두 제어 처리들("PS Y2O3" 및 "벌크 Y2O3")보다 상당히 느린 부식 속도들을 가지고 그리고 비-하이브리드 코팅 처리("IAD Y2O3")와 비교할 만하다. 따라서 도 4a에 도시된 바와 같이, 하이브리드 코팅(413)을 가지는 ESC에 대해 플라즈마 내성 및 개선된 기능(예를 들어, 감소된 누설 전류) 모두가 달성될 수 있다. 하이브리드 코팅 구조는 또한 플라즈마 내성 코팅(315)의 부착성을 개선할 수 있다. SiO2/Y2O3 하이브리드 코팅이 단일 Y2O3-계 코팅 층에 대비하여 감소된 박리(peeling)를 갖는다는 것을 확인하였다.
설명된 플라즈마 내성 코팅 실시예들의 조성, 형태, 미세조직 및 전기적 특성들로, 그와 같은 코팅들을 형성하기 위한 방법들에 대해서 이제 설명한다. 일 실시예에서, 플라즈마 내성 코팅(315)을 증착하기 위해서 이용되는 방법은 본 명세서에서 전술한 형태, 미세조직 및 전기적 특성들 중 하나 또는 그보다 많은 것을 제공하기 위해서 에너지화된 입자 상호작용(energetic particle interaction)을 이용한다. 에너지화된 입자들은 플라즈마, 반응성 가스들과 같은 입자 생성 공급원으로부터 또는 증착 물질들을 제공하는 물질 공급원으로부터 유래되는 이온들, 중성 원자들, 라디칼들, 및 나노-크기 입자들을 포함할 수 있다. 에너지화된 입자들은 최신 용사들에 의해 발생되는 임의의 입자들보다 작으며, 그리고 특별한 실시예들에서, 에너지화된 입자들은 주로 이온들이다. 하이브리드 코팅(413)을 채용하는 실시예들의 경우에, 양 중간 층(들)(412) 및 플라즈마 내성 코팅(315)이 에너지화된 입자들의 존재 하에서 증착될 수 있다. 그러한 증착 방법들의 이용이 유리할 수 있는데, 이는 그 방법들이 플라즈마 챔버에서 부품이 이용되는 동안에 플라즈마 내성 코팅이 견뎌야 하는 조건들에 보다 근접하기 때문이다. 에너지화된 입자 상호작용의 존재하에서 축적된 플라즈마 내성 코팅은 플라즈마 프로세싱 챔버 내에 존재하는 유사한 조건들에 대해서 보다 내성을 가지는 방식으로 형성될 수 있다.
도 5a는 에너지화된 입자들을 이용하는 여러 증착 방법들에 적용될 수 있는 증착 기구를 도시한다. 도시된 바와 같이, 플라즈마 내성 코팅(315)은 에너지화된 입자들(503)의 존재하에서 증착 물질들(502)을 축적함으로써 형성된다. 증착 물질들은 원자들, 이온들, 라디칼들 또는 이들의 혼합물을 포함한다. 에너지화된 입자들(503)은 플라즈마 내성 코팅(315)이 형성될 때 그 플라즈마 내성 코팅(315)과 충돌하고 조밀화될 수 있다. 또한, 에너지화된 입자들(503)은 본원 명세서의 다른 곳에서 설명된 특성들을 제공하기 위해서 결정 배향 및/또는 미세조직 또는 형태의 국부적인 비-균일성들에 따른 속도들로 플라즈마 내성 코팅(315)이 형성될 때 플라즈마 내성 코팅을 스퍼터링할 수 있다. 플라즈마 스프레이 또는 임의의 다른 용사는 그러한 프로세스 조건들을 제공할 수 없다는 것을 인식해야 한다.
일 실시예에서, 이온 보조 증착(IAD)을 이용하여, 이전에 본원 명세서의 다른 곳에서 설명한 바와 같이, 플라즈마 내성 코팅(315)을 형성한다. 도 5b는 IAD 증착 장치를 개략적으로 도시한다. 도시된 바와 같이, 물질 공급원(550)은 증착 물질들(502)의 플럭스를 제공하는 한편, 에너지화된 이온 공급원(555)은 에너지화된 이온들(503)의 플럭스를 제공하고, 이 둘 모두 IAD 프로세스에 걸쳐서 기판(310)에 충돌한다. IAD는 물질 및 에너지화된 이온 공급원들을 제공하기 위해서 하나 또는 그보다 많은 플라즈마들 또는 빔들을 이용할 수 있다. 반응성 종이 또한 플라즈마 내성 코팅의 증착 중에 제공될 수 있다. 일 실시예에서, 에너지화된 이온들(503)은 비-반응성 종(예를 들어, Ar) 또는 반응성 종(예를 들어, O) 중 적어도 하나의 종을 포함한다. 추가적인 실시예들에서, 플라즈마 내성 코팅에 가장 약하게 결합된 증착 물질을 선택적으로 제거하는 경향을 더 높이기 위해서, CO 및 할로겐들(Cl, F, Br 등)과 같은 반응성 종들이 또한 플라즈마 내성 코팅의 증착 중에 도입될 수 있다.
IAD 프로세스들에서, 에너지화된 이온들(503)이 다른 증착 파라미터들과 무관하게 에너지화된 이온 공급원(555)에 의해서 제어될 수 있다. 에너지화된 이온 플럭스의 에너지, 밀도 및 입사각에 따라서, 코팅의 조성, 조직, 결정 배향 및 입자 크기가 조작될 수 있다. 이온 충격(ion bombardment)은 기판(310)의 표면 세정, 에너지화된 입자들의 기판(310) 내로의 주입(도 5a에 도시된 바와 같음) 및 원자 결합의 조정(tailor)과 같은, 그러나 이에 한정되지는 않는 고유한 프로세스 이점들을 제공할 수 있다. 코팅이 축적되는 동안에, 이온 충격의 레벨들이 조정되어, 본원 명세서의 다른 곳에서 설명한 유리한 특성들을 가지는 플라즈마 내성 코팅을 제공할 수 있다.
다른 실시예에서, 플라즈마 반응성 증착(PRD)이 이용되어, 본원 명세서의 다른 곳에서 앞서 설명한 바와 같이, 플라즈마 내성 코팅(315)을 형성한다. 그러한 방법들은 에너지화된 이온들 또는 입자들이 또한 이용된다는 점에서 IAD 방법들과 유사하나, 에너지화된 이온 공급원이 IAD 방법들에 대한 것과 같이 증착 물질 공급원 또는 플라즈마 공급원과 구분되지는 않는다. 그 대신에, 프로세스 파라미터들이 조정되어, 에너지화된 입자(이온) 생성과 물질 입자들(중성)의 균형을 맞춘다. 예를 들어, 플라즈마 공급원들로부터 생성된 이온들이 충분히 에너지화되어, 본원 명세서의 다른 곳에서 앞서 설명한 유리한 플라즈마 내성 코팅 특성들을 산출할 수 있는 충격을 제공할 수 있다. 하나의 그러한 실시예에서, 형성 중에 코팅에 대한 적절한 에너지화된 입자 충격을 제공하도록 비교적 높은(예를 들어, 100 볼트 또는 그보다 높은) 기판 바이어스를 초래하는 프로세스 파라미터들을 이용하여 기판이 코팅된다. 일부 PRD 실시예들에서, 유리하게 작은 입자 크기를 가지는 플라즈마 내성 코팅을 제공하도록 기판 물질이 선택된다. 예를 들어, 하나의 실시예는 핵생성 속도들을 높여서 플라즈마 내성 코팅의 입자 크기를 줄이도록 Al-N 기판 표면을 이용한다. 일부 PRD 실시예들에서, 기판 물질들은 플라즈마 내성 코팅의 비-시야 성장(non-line-of-sight growth)을 위한 플라즈마 내로 담겨진다(immersed). 그 후, 결과적인 플라즈마 내성 코팅이 모든 기판 표면들을 덮을 것이다. 그러한 코팅은, 복잡한 기하학적 형태들에 걸쳐 균일한 코팅 두께를 제공하는 실질적으로 등방성인 증착 프로세스에 의해서 형성될 수 있다. 도 4b는 또한 IAD 방법("IAD H-Y2O3") 및 PRD 방법("PRD H-Y2O3") 모두에 의해서 증착된 SiO2/Y2O3 하이브리드 코팅의 부식 속도들 사이의 비교를 도시한다.
예시적인 IAD 방법들은 본 명세서에서 설명한 바와 같이 플라즈마 내성 코팅들을 형성하기 위한 이온 충격의 존재하에서의 증발(예를 들어, 활성화된 반응성 증발(ARE)) 및 스퍼터링과 같은 이온 충격을 포함하는 증착 프로세스들을 포함한다. IAD 방법들 중 임의의 방법이 O2, N2, 할로겐 등과 같은 반응성 가스 종의 존재하에서 실시될 수 있다. 반응성 가스 종은 금속 물질 공급원(타겟; target) 또는 금속유기 가스 종으로부터 세라믹(예를 들어, 산화물)의 형성을 가능하게 할 수 있다.
예시적인 PRD 방법들은, 본원 명세서의 다른 곳에서 설명한 특성들을 가지는 코팅들을 제공하기에 충분한 레벨 입자 충격을 제공하도록 튜닝된 플라즈마 강화 화학 기상 증착(PECVD) 및 플라즈마-기반 스퍼터링, 플라즈마 강화(enhanced) 증발, 이온 도금, 이온화된 금속 플라즈마(IMP) 또는 플라즈마 침지 이온 프로세스(PIIP)와 같은 증착 프로세스들을 포함한다. PIIP 기술은, 예를 들어, 무선-주파수(RF) 유도 플라즈마 공급원을 이용하여, 경질 및 부착성의 코팅들을 기판들 상에 생성하는 비-시야 증착 프로세스를 제공한다. PIIP 증착에서, 기판을 유지하는 스테이지에 dc-펄스형 음성 바이어스가 인가될 수 있어서, 그에 따라 양의 이온들이 플라즈마로부터 끌어 당겨져서 플라즈마 내성 코팅이 기판에 적층 또는 기판에서 성장될 때 플라즈마 내성 코팅과 충돌하게 된다. 이들 방법들 중 임의의 방법이 O2, N2, 할로겐 등과 같은 반응성 가스 종의 존재하에서 실시될 수 있다. 반응성 가스 종은 금속 물질 공급원(타겟) 또는 금속유기 가스 종으로부터 세라믹(예를 들어, 산화물)의 형성을 가능하게 할 수 있다.
예시적인 IAD 또는 PRD 방법이 도 6a의 방법(600)에 도시되어 있다. 도시된 바와 같이, 방법(600)은 코팅될 기판이 제공되는 작업(605)에서 시작된다. 기판은 기판(310)에 대해서 전술한 것들 등 중에서 임의의 기판일 수 있다. 작업(610)에서 기판이 진공 챔버 내에서 펌핑 감압된다. 작업들(615 및 620)에서, 기판이 각각 증착 물질 플럭스에 노출되고 그리고 에너지화된 입자 플럭스에 노출된다. 특별한 IAD 및 PRD 실시예들에서, 기판이 증착 물질 플럭스에 노출되는 한편, 기판이 에너지화된 이온 플럭스에 노출된다(즉, 동시에 또는 동시적으로). 다른 IAD 실시예들에서, 기판이 증착 물질 플럭스에 대해서 그리고 에너지화된 이온 플럭스에 대해서 교대로 노출된다(즉, 연속적인 증착/충격 사이클들). 다른 실시예에서, 증착 및 충격을 교대로 촉진하기 위해서 PRD 프로세스의 프로세스 조건들이 상태들 사이에서 사이클링될 수 있다. 기판을 코팅한 후에, 증착 챔버로부터 코팅된 기판을 제거하는 작업(630)에서 방법(600)이 완료된다. 그 후, 추가적인 실시예에서(도시하지 않음), 플라즈마 내성 코팅의 증착 이후에 코팅된 기판이 열적 어닐링 또는 이온 주입과 같은 추가적인 프로세싱에 노출될 수 있다.
다른 실시예에서, 나노 입자 질량 유동 증착 방법이 이용되어, 본원 명세서의 다른 곳에서 앞서 설명한 플라즈마 내성 코팅들 중 임의의 코팅을 형성한다. 그러한 방법의 예가 에어로졸 증착(AD)이다. 나노 입자 질량 유동 증착은 적어도 기판상에 증착되는 입자들의 크기에 의해서 용사 프로세스들과 구분된다. 예를 들어, 특별한 에어로졸 증착 프로세스는 직경이 1 nm-1㎛ 범위의 입자들을 이용한다. 나노 입자 질량 유동 증착은, 증착되는 입자들이 저온에 있다(용융되거나 연화되지 않는다)는 점에서, 용사들과 추가적으로 구분된다. 도 5c는 진공 펌프(560)에 연결된 증착 챔버(550)를 포함하는 예시적인 AD 장치(500)를 도시한다. 가스 공급원(565)이 에어로졸 챔버(561) 내의 세라믹 파워(566)로 제공되어, 에어로졸로서 노즐(567)을 통해 기판(310)으로 나노 입자들(575)을 제공한다. 도 6b에 도시된 바와 같은 나노 입자 질량 유동 증착 방법(600)을 실시하기 위해서, AD 장치(500)가 작동될 수 있다. 나노 입자 질량 유동 증착 방법(650)은 기판(310) 등과 같은 기판을 제공하는 작업(651)에서 시작된다. 작업(655)에서, 증착 챔버가 적절한 진공 레벨까지 펌핑 감압되고, 그리고 작업(675)에서 기판이 나노 입자 플럭스에 노출된다. 작업(680)에서, 코팅된 기판이 제거된다.
전술한 설명이 제한적인 것이 아니라 설명을 위한 것임을 주지하여야 한다. 상기 설명을 읽고 이해하면 다른 많은 실시예들이 당업자들에게 명백할 것이다. 예를 들어, 졸-겔 기술들과 같은 대안적인 증착 방법들이 이용되어 본원 명세서의 다른 곳에서 앞서 설명한 바와 같은 특성들을 가지는 플라즈마 내성 코팅을 제공할 수 있다. 특정의 예시적인 실시예들을 참조하여 본원 발명을 설명하였지만, 본원 발명은 설명된 실시예들로 제한되지 않으며, 첨부된 특허청구범위의 사상 및 범위 내에서 변화 및 변경되어 실시될 수 있다는 것이 인지될 것이다. 따라서, 상세한 설명과 도면들은 제한적인 것이 아니라 예시적인 것으로 간주되어야 할 것이다. 그에 따라, 본원 발명의 범위는 그러한 특허청구범위의 권리가 주어지는 등가물들의 전체 범위와 함께, 그러한 특허청구범위를 참조하여 결정되어야 한다.

Claims (24)

  1. 플라즈마 프로세싱 챔버의 부품으로서:
    기판; 및
    상기 기판의 적어도 일부분 위에 배치된 플라즈마 내성 코팅을 포함하고,
    상기 플라즈마 내성 코팅은 상기 기판에 대해서 비-자연적인(non-native) 세라믹을 포함하고, 다공도(porosity)가 1% 미만이며,
    상기 세라믹의 주요 성분은 Y2O3이며,
    상기 세라믹은 상기 플라즈마 내성 코팅의 외측 표면 상에 상기 Y2O3의 (111) 평면을 제공하는 우선적인(preferred) 탈-평면 성장 배향(out-of-plane growth orientation)을 갖는 결정 텍스쳐(crystal texture)를 갖는 다결정질인,
    플라즈마 프로세싱 챔버의 부품.
  2. 제 1 항에 있어서,
    상기 플라즈마 내성 코팅의 다공도가 0%인,
    플라즈마 프로세싱 챔버의 부품.
  3. 제 1 항에 있어서,
    상기 플라즈마 내성 코팅의 외측 표면이 1 ㎛ 미만의 산술 조도 평균(arithmetic roughness average)(Ra)을 가지는,
    플라즈마 프로세싱 챔버의 부품.
  4. 제 3 항에 있어서,
    상기 부품은 정전기 척 부품이고,
    상기 플라즈마 내성 코팅은 적어도 1000 V/mil의 파괴 전압을 갖는,
    플라즈마 프로세싱 챔버의 부품.
  5. 제 4 항에 있어서,
    상기 세라믹은 Y, Ir, Rh 그리고 란탄족 원소(lanthanoids)로 이루어진 그룹으로부터 선택된 원소의 산화물, 질화물, 붕소화물, 탄화물 또는 할라이드 중 적어도 하나를 더 포함하는,
    플라즈마 프로세싱 챔버의 부품.
  6. 제 5 항에 있어서,
    상기 플라즈마 프로세싱 챔버의 부품은 상기 기판과 상기 플라즈마 내성 코팅 사이에 배치된 중간 층을 더 포함하고, 상기 중간 층이 주요(primary) 성분에 대해 Y, Ir, Rh 그리고 란탄족 원소(lanthanoids)로 이루어진 그룹으로부터 선택된 원소가 아닌 다른 원소의 산화물, 질화물 또는 탄화물을 포함하는,
    플라즈마 프로세싱 챔버의 부품.
  7. 제 6 항에 있어서,
    상기 중간 층은 SiO2를 포함하는,
    플라즈마 프로세싱 챔버의 부품.
  8. 제 1 항에 있어서,
    상기 기판이 세라믹 또는 알루미늄 합금이고 상기 플라즈마 내성 코팅이 실질적으로 알루미늄을 포함하지 않거나, 또는 상기 기판이 석영이고 상기 플라즈마 내성 코팅이 실질적으로 실리콘을 포함하지 않는,
    플라즈마 프로세싱 챔버의 부품.
  9. 제 1 항의 플라즈마 챔버 부품을 형성하는 방법으로서:
    기판을 수용하는 단계; 및
    플라즈마 내성 코팅이 형성될 때 1 ㎛ 미만의 직경을 가지는 에너지화된 입자들에 상기 플라즈마 내성 코팅을 노출시키는 조건들 하에서 상기 기판 위에 플라즈마 내성 코팅을 형성하는 단계를 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 플라즈마 내성 코팅을 형성하는 단계가 Y, Ir, Rh 및 란탄족 원소로 이루어진 그룹으로부터 선택된 원소의 산화물, 질화물, 붕소화물, 탄화물 또는 불화물 중 적어도 하나를 증착하는 단계를 더 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  11. 제 9 항에 있어서,
    상기 에너지화된 입자들은 하전(charge)되고,
    증착 방법은 반응성 가스 종으로서 할로겐 또는 산소 중 적어도 하나를 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  12. 제 9 항에 있어서,
    상기 플라즈마 내성 코팅이 이온 보조 증착(IAD) 또는 플라즈마 반응성 증착(PRD)에 의해서 증착되는,
    플라즈마 챔버 부품을 형성하는 방법.
  13. 제 9 항에 있어서,
    상기 플라즈마 내성 코팅이 부품 기판 위에 증착되는 동안에 상기 기판이 전기적으로 바이어싱되는,
    플라즈마 챔버 부품을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 플라즈마 내성 코팅은 플라즈마 강화 CVD, 플라즈마 강화 증발 또는 물리적 증기 증착 프로세스, 또는 플라즈마 침지 이온 프로세스(plasma immersion ion process; PIIP)에 의해 증착되는,
    플라즈마 챔버 부품을 형성하는 방법.
  15. 제 9 항에 있어서,
    상기 부품이 정전기 척이고, 그리고
    상기 방법은 플라즈마 내성 코팅을 증착하기에 앞서서 정전기 척 기판 상에 중간 층을 증착하는 단계를 더 포함하고,
    상기 중간 층은 상기 플라즈마 내성 코팅에 존재하지 않는 원소의 산화물을 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 중간 층은 SiO2를 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  17. 제 9 항에 있어서,
    상기 플라즈마 내성 코팅은 상기 플라즈마 내성 코팅의 증착에 후속하여 이온 주입되는,
    플라즈마 챔버 부품을 형성하는 방법.
  18. 제 1 항의 플라즈마 챔버 부품을 형성하는 방법으로서:
    기판을 수용하는 단계; 및
    나노 입자 질량 유동 증착 프로세스를 이용하여 상기 기판 위에 플라즈마 내성 코팅을 형성하는 단계를 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  19. 제 18 항에 있어서,
    나노 입자는, 1 ㎛ 미만의 크기를 가지며 Y, Ir, Rh 그리고 란탄족 원소로 이루어진 그룹으로부터 선택된 원소의 산화물, 질화물, 붕소화물, 탄화물 또는 할라이드 중 적어도 하나를 포함하는 세라믹 입자인,
    플라즈마 챔버 부품을 형성하는 방법.
  20. 제 18 항에 있어서,
    상기 부품이 정전기 척이고, 그리고
    상기 방법은 상기 플라즈마 내성 코팅을 증착하기에 앞서서 정전기 척 기판 상에 중간 층을 증착하는 단계를 더 포함하고,
    상기 중간 층은 상기 플라즈마 내성 코팅에 존재하지 않는 원소의 산화물을 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  21. 제 20 항에 있어서,
    상기 중간 층은 SiO2를 포함하는,
    플라즈마 챔버 부품을 형성하는 방법.
  22. 제 1 항에 있어서,
    상기 플라즈마 내성 코팅이 상부에 형성되는 상기 기판의 표면은 0.4 ㎛ 내지 4 ㎛의 산술 조도 평균(Ra)을 가지며,
    상기 플라즈마 내성 코팅의 표면은 상기 기판의 표면의 표면 Ra를 유지하는,
    플라즈마 프로세싱 챔버의 부품.
  23. 제 1 항에 있어서,
    상기 부품은 정전 척인,
    플라즈마 프로세싱 챔버의 부품.
  24. 제 1 항에 있어서,
    상기 부품은 프로세스 키트들, 포커스 링들, 샤워헤드들 및 덮개들로 이루어지는 그룹으로부터 선택되는,
    플라즈마 프로세싱 챔버의 부품.
KR1020117013214A 2008-11-10 2009-11-05 플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들 KR101309716B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/268,196 2008-11-10
US12/268,196 US8206829B2 (en) 2008-11-10 2008-11-10 Plasma resistant coatings for plasma chamber components
PCT/US2009/063437 WO2010054112A2 (en) 2008-11-10 2009-11-05 Plasma resistant coatings for plasma chamber components

Publications (2)

Publication Number Publication Date
KR20110091759A KR20110091759A (ko) 2011-08-12
KR101309716B1 true KR101309716B1 (ko) 2013-09-17

Family

ID=42153555

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117013214A KR101309716B1 (ko) 2008-11-10 2009-11-05 플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들

Country Status (6)

Country Link
US (1) US8206829B2 (ko)
JP (2) JP6278584B2 (ko)
KR (1) KR101309716B1 (ko)
CN (1) CN102210196B (ko)
TW (1) TWI389248B (ko)
WO (1) WO2010054112A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
KR20190063040A (ko) * 2017-11-29 2019-06-07 주식회사 싸이노스 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법
KR20220020204A (ko) * 2020-08-11 2022-02-18 아이원스 주식회사 내플라즈마 유리 및 그 제조 방법
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법
KR20230057124A (ko) 2021-10-21 2023-04-28 주식회사 원익큐엔씨 불화 대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR20230102468A (ko) 2021-12-30 2023-07-07 주식회사 원익큐엔씨 오염입자 발생 저감을 극대화 하는 반도체 장비 불화대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008014800B3 (de) * 2008-03-18 2009-08-20 Federal-Mogul Burscheid Gmbh Verfahren und Vorrichtung zur Herstellung eines dispersionsgehärteten Gegenstandes, der Carbid-Nanopartikel enthält
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102691045A (zh) * 2011-03-23 2012-09-26 鸿富锦精密工业(深圳)有限公司 铝或铝合金的壳体及其制造方法
US20130000545A1 (en) * 2011-06-28 2013-01-03 Nitride Solutions Inc. Device and method for producing bulk single crystals
US9238863B2 (en) 2012-02-03 2016-01-19 Tocalo Co., Ltd. Method for blackening white fluoride spray coating, and fluoride spray coating covered member having a blackened layer on its surface
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN103474579B (zh) * 2012-06-06 2016-12-28 第一毛织株式会社 阻障堆栈和它的制造方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP2017512375A (ja) * 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) * 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
JP2016065302A (ja) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 プラズマ処理装置用の部品、及び部品の製造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6315151B1 (ja) * 2016-07-14 2018-04-25 信越化学工業株式会社 サスペンションプラズマ溶射用スラリー、及び希土類酸フッ化物溶射膜の形成方法
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
CN210156345U (zh) * 2016-09-13 2020-03-17 应用材料公司 用于处理腔室的腔室部件、用于处理腔室的屏蔽的设计膜以及处理腔室
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6984126B2 (ja) * 2016-12-27 2021-12-17 東京エレクトロン株式会社 ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
CN108346611B (zh) * 2017-01-24 2021-05-18 中微半导体设备(上海)股份有限公司 静电吸盘及其制作方法与等离子体处理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
WO2019026818A1 (ja) * 2017-07-31 2019-02-07 株式会社 東芝 部品および半導体製造装置
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019066113A1 (ko) * 2017-09-29 2019-04-04 한국기계연구원 플렉서블 활성종 발생기 및 이의 용도
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
EP3728692A4 (en) 2017-12-18 2021-09-15 Entegris, Inc. CHEMICAL-RESISTANT MULTI-LAYER PAINTING APPLIED BY ATOMIC DEPOSITION
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI714965B (zh) * 2018-02-15 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP2019151879A (ja) * 2018-03-01 2019-09-12 株式会社アルバック 成膜装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
TWI715004B (zh) * 2018-04-03 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置以及電漿處理裝置用構件之製造方法
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20210008931A (ko) * 2018-06-14 2021-01-25 어플라이드 머티어리얼스, 인코포레이티드 보호 코팅을 갖는 프로세스 챔버 프로세스 키트
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
WO2020023174A1 (en) * 2018-07-23 2020-01-30 Applied Materials, Inc. Pre-conditioned chamber components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TWI680895B (zh) 2018-11-09 2020-01-01 財團法人資訊工業策進會 自動煞車系統與方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JPWO2020208801A1 (ja) * 2019-04-12 2021-05-06 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置の内部部材ならびに当該内部部材の製造方法
US11948779B2 (en) 2019-04-26 2024-04-02 Kyocera Corporation Component for plasma processing apparatus and plasma processing apparatus
KR102108419B1 (ko) * 2019-05-28 2020-05-07 주식회사 제스코 정전척 제조 방법 및 정전척 재생 방법
TWI772910B (zh) * 2019-09-30 2022-08-01 日商京瓷股份有限公司 電漿處理裝置用部材及具備其之電漿處理裝置
JP7357513B2 (ja) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 プラズマ処理装置
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR102161704B1 (ko) * 2020-01-21 2020-10-06 한국과학기술연구원 부품 불화 장치 및 방법
US20230220531A1 (en) 2020-03-06 2023-07-13 Tocalo Co., Ltd. New tungsten-based thermal spray coating and material for thermal spraying to obtain it
JP7411463B2 (ja) 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
US20230207278A1 (en) * 2020-05-28 2023-06-29 Lam Research Corporation Atomic layer deposition coated powder coating for processing chamber components
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
JP2023551725A (ja) 2020-12-02 2023-12-12 エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーン 静電チャック用の改善されたプラズマ耐性コーティング
US20220181124A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof
CN114649180A (zh) * 2020-12-21 2022-06-21 中微半导体设备(上海)股份有限公司 等离子体处理装置零部件的处理方法、零部件及处理装置
JP2023170163A (ja) * 2022-05-18 2023-12-01 株式会社フェローテックマテリアルテクノロジーズ ウエハ支持体

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002306957A (ja) * 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20050042885A1 (en) * 2003-08-20 2005-02-24 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US20050112289A1 (en) * 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294489A (en) * 1992-04-02 1994-03-15 General Electric Company Protective coating with reactive interlayer on reinforcement in silicon carbide composite
DE69433836D1 (de) 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
JPH08146208A (ja) * 1994-11-25 1996-06-07 Matsushita Electric Works Ltd 反射鏡およびその製造方法
US5540959A (en) 1995-02-21 1996-07-30 Howard J. Greenwald Process for preparing a coated substrate
GB9617267D0 (en) * 1996-08-16 1996-09-25 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
US5869141A (en) 1996-11-04 1999-02-09 The Boeing Company Surface pretreatment for sol coating of metals
GB9717245D0 (en) * 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
JPH1161404A (ja) * 1997-08-21 1999-03-05 Hitachi Ltd 静電吸着装置及びその製造方法並びにそれを用いた加工装置
GB9800511D0 (en) * 1998-01-13 1998-03-11 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
GB9811456D0 (en) * 1998-05-29 1998-07-29 Rolls Royce Plc A metallic article having a thermal barrier coating and a method of application thereof
JP3850605B2 (ja) * 1999-10-29 2006-11-29 文雄 岡田 固相エキシマデバイス及びその製造方法
US6368899B1 (en) * 2000-03-08 2002-04-09 Maxwell Electronic Components Group, Inc. Electronic device packaging
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6502304B2 (en) * 2001-05-15 2003-01-07 General Electric Company Turbine airfoil process sequencing for optimized tip performance
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
GB0206930D0 (en) * 2002-03-23 2002-05-08 Univ Durham Method and apparatus for the formation of hydrophobic surfaces
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005217350A (ja) * 2004-02-02 2005-08-11 Toto Ltd 耐プラズマ性を有する半導体製造装置用部材およびその作製方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4585260B2 (ja) * 2004-09-30 2010-11-24 株式会社東芝 半導体製造装置用部品及び半導体製造装置
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
EP2071049A1 (en) * 2005-07-29 2009-06-17 Tocalo Co. Ltd. Y2O3 Spray-coated member and production method thereof
JP4372748B2 (ja) * 2005-12-16 2009-11-25 トーカロ株式会社 半導体製造装置用部材
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
JP2007326744A (ja) * 2006-06-08 2007-12-20 Covalent Materials Corp 耐プラズマ性セラミックス部材
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP4992389B2 (ja) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2008266724A (ja) * 2007-04-20 2008-11-06 Shin Etsu Chem Co Ltd 溶射被膜の表面処理方法及び表面処理された溶射被膜
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002306957A (ja) * 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20050112289A1 (en) * 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
US20050042885A1 (en) * 2003-08-20 2005-02-24 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180080429A (ko) * 2017-01-04 2018-07-12 한국세라믹기술원 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법
KR20190063040A (ko) * 2017-11-29 2019-06-07 주식회사 싸이노스 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법
KR102080153B1 (ko) * 2017-11-29 2020-02-24 주식회사 싸이노스 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법
KR20220020204A (ko) * 2020-08-11 2022-02-18 아이원스 주식회사 내플라즈마 유리 및 그 제조 방법
KR102608654B1 (ko) * 2020-08-11 2023-12-04 한솔아이원스 주식회사 내플라즈마 유리 및 그 제조 방법
KR20230057124A (ko) 2021-10-21 2023-04-28 주식회사 원익큐엔씨 불화 대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR20230102468A (ko) 2021-12-30 2023-07-07 주식회사 원익큐엔씨 오염입자 발생 저감을 극대화 하는 반도체 장비 불화대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품
KR102522277B1 (ko) 2022-03-24 2023-04-17 주식회사 펨빅스 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법

Also Published As

Publication number Publication date
JP6711592B2 (ja) 2020-06-17
US8206829B2 (en) 2012-06-26
WO2010054112A3 (en) 2010-07-29
KR20110091759A (ko) 2011-08-12
CN102210196A (zh) 2011-10-05
JP2016076711A (ja) 2016-05-12
TW201030891A (en) 2010-08-16
CN102210196B (zh) 2014-06-25
WO2010054112A2 (en) 2010-05-14
JP6278584B2 (ja) 2018-02-14
TWI389248B (zh) 2013-03-11
JP2012508467A (ja) 2012-04-05
US20100119843A1 (en) 2010-05-13

Similar Documents

Publication Publication Date Title
KR101309716B1 (ko) 플라즈마 챔버 부품들을 위한 플라즈마 내성 코팅들
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US11566319B2 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN106133885B (zh) 用于高温应用的耐等离子体腐蚀的薄膜涂层
US9633884B2 (en) Performance enhancement of coating packaged ESC for semiconductor apparatus
JP4996868B2 (ja) プラズマ処理装置およびプラズマ処理方法
US20150311043A1 (en) Chamber component with fluorinated thin film coating
JPH10251871A (ja) プラズマリアクタ用ボロンカーバイド部品
KR20060132649A (ko) 반도체 재료 프로세싱 장치의 산화이트륨(yttria)-코팅 세라믹 구성요소 및 그 구성요소를제조하는 방법
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications
CN115702131A (zh) 用于半导体处理室的匹配化学性的组件主体及涂层
JP2005311120A (ja) 誘導結合型プラズマ発生装置およびそれを用いたドライエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 6