JPH10251871A - プラズマリアクタ用ボロンカーバイド部品 - Google Patents
プラズマリアクタ用ボロンカーバイド部品Info
- Publication number
- JPH10251871A JPH10251871A JP9334916A JP33491697A JPH10251871A JP H10251871 A JPH10251871 A JP H10251871A JP 9334916 A JP9334916 A JP 9334916A JP 33491697 A JP33491697 A JP 33491697A JP H10251871 A JPH10251871 A JP H10251871A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- substrate
- boron carbide
- layer
- aluminum
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical group B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 title claims abstract description 75
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims abstract description 91
- 229910052782 aluminium Inorganic materials 0.000 claims abstract description 90
- 229910052580 B4C Inorganic materials 0.000 claims abstract description 74
- 239000000758 substrate Substances 0.000 claims abstract description 46
- 238000000576 coating method Methods 0.000 claims abstract description 29
- 239000011248 coating agent Substances 0.000 claims abstract description 26
- 238000007751 thermal spraying Methods 0.000 claims abstract description 7
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 claims abstract description 4
- 239000002131 composite material Substances 0.000 claims abstract description 3
- 238000000034 method Methods 0.000 claims description 64
- 230000008569 process Effects 0.000 claims description 36
- 239000000463 material Substances 0.000 claims description 34
- 239000010407 anodic oxide Substances 0.000 claims description 21
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 16
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 11
- 238000006243 chemical reaction Methods 0.000 claims description 11
- 239000000460 chlorine Substances 0.000 claims description 11
- 238000012545 processing Methods 0.000 claims description 11
- 229910000838 Al alloy Inorganic materials 0.000 claims description 8
- 239000000203 mixture Substances 0.000 claims description 8
- 238000007743 anodising Methods 0.000 claims description 7
- 238000000151 deposition Methods 0.000 claims description 7
- 229910002804 graphite Inorganic materials 0.000 claims description 7
- 239000010439 graphite Substances 0.000 claims description 7
- 239000002245 particle Substances 0.000 claims description 7
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 4
- 229910052796 boron Inorganic materials 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- 238000007788 roughening Methods 0.000 claims description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 3
- 238000005229 chemical vapour deposition Methods 0.000 claims description 3
- 230000007704 transition Effects 0.000 claims description 2
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 230000004048 modification Effects 0.000 claims 1
- 238000012986 modification Methods 0.000 claims 1
- 238000005260 corrosion Methods 0.000 abstract description 25
- 230000007797 corrosion Effects 0.000 abstract description 25
- 238000005422 blasting Methods 0.000 abstract description 5
- 229910015844 BCl3 Inorganic materials 0.000 abstract 1
- 239000004411 aluminium Substances 0.000 abstract 1
- 238000005530 etching Methods 0.000 description 35
- 239000010410 layer Substances 0.000 description 34
- 239000007789 gas Substances 0.000 description 32
- 239000000843 powder Substances 0.000 description 17
- 239000007921 spray Substances 0.000 description 17
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 13
- 238000012360 testing method Methods 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 11
- 238000005507 spraying Methods 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 8
- 238000002048 anodisation reaction Methods 0.000 description 7
- 239000000919 ceramic Substances 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 6
- 238000001000 micrograph Methods 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 238000005245 sintering Methods 0.000 description 5
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 229910001593 boehmite Inorganic materials 0.000 description 4
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 4
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 4
- FAHBNUUHRFUEAI-UHFFFAOYSA-M hydroxidooxidoaluminium Chemical compound O[Al]=O FAHBNUUHRFUEAI-UHFFFAOYSA-M 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 125000006850 spacer group Chemical group 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 238000010285 flame spraying Methods 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N iron Substances [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 3
- 230000008018 melting Effects 0.000 description 3
- 238000002844 melting Methods 0.000 description 3
- 150000001247 metal acetylides Chemical class 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 239000011148 porous material Substances 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 238000002207 thermal evaporation Methods 0.000 description 3
- 238000010521 absorption reaction Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 230000006378 damage Effects 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 239000003792 electrolyte Substances 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 238000010998 test method Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910002706 AlOOH Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- PPWPWBNSKBDSPK-UHFFFAOYSA-N [B].[C] Chemical compound [B].[C] PPWPWBNSKBDSPK-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 239000011247 coating layer Substances 0.000 description 1
- 239000000567 combustion gas Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007739 conversion coating Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000018044 dehydration Effects 0.000 description 1
- 238000006297 dehydration reaction Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005474 detonation Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005496 eutectics Effects 0.000 description 1
- 239000002360 explosive Substances 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000000446 fuel Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000012447 hatching Effects 0.000 description 1
- 238000007731 hot pressing Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000001151 other effect Effects 0.000 description 1
- 238000010587 phase diagram Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 238000011165 process development Methods 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000001878 scanning electron micrograph Methods 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 230000004580 weight loss Effects 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/04—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
- C23C28/044—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/914—Differential etching apparatus including particular materials of construction
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Drying Of Semiconductors (AREA)
- Coating By Spraying Or Casting (AREA)
- Carbon And Carbon Compounds (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
Abstract
めの耐性を有する材料。 【解決手段】 プラズマリアクタ内の壁面その他の部品
は少なくとも、ボロンカーバイド(好ましくはB4C)
で構成される、プラズマに面する表面部を有している。
ここでボロンカーバイドは、バルク材料であってもよ
く、あるいは裸の部材にコーティングした表面層であっ
てもよい。ボロンカーバイドは熱スプレーないし溶射に
よるものが有利である。
Description
マリアクタ及びその動作に関する。具体的には、エッチ
ングリアクタ内でプラズマに対面するチャンバ部品の組
成、特にボロンカーバイド製のものに関する。
現像された半導体集積回路を有するシリコンウエハ上に
おいて表面形状をエッチングするために用いられる好ま
しいプロセスである。代表的には、1つ以上の平坦な層
を基板の所定の場所に堆積し、フォトレジストマスク又
はハードマスクの層をこの平坦な層の上に堆積し、パタ
ーニングを行ってアパーチャを残し、この部分が平坦層
の中での露出部分となる。エッチングリアクタに供給さ
れたエッチングガスを励起してプラズマ状態を作り、こ
れが平坦層のマスクから露出している部分に作用して、
この露出部分が除去される。このプラズマエッチングプ
ロセスは、サイズの小さな表面形状の画成に非常に有効
で、しかも有害な粒子の発生が少ないことが証明されて
きた。
ング、酸化物エッチング、メタルエッチングに分けるこ
とができる。このそれぞれについて、好ましい化学系が
用いられ、また、独自の問題点を有している。しかし、
多くの問題点はこれらのエッチングに共通であり、それ
ぞれのエッチング専用に用いるためのエッチングチャン
バ同士は、相互に類似している傾向がある。
には、層間誘電体(インターレイヤーダイエレクトリッ
ク)の上に堆積させたアルミニウムないしアルミニウム
アロイの層に相互間接続ないしインターコネクト(及び
これに付随するコンタクト又はバイア)を形成する工程
が挙げられる。略平坦なアルミニウム層を層間誘電体の
上及びコンタクトホール又はバイアホールの中に堆積さ
せた後、フォトマスクを堆積し、アルミニウム層の上に
輪郭を形成する。次いで、プラズマエッチングチャンバ
内にエッチングガスを導入し、これを励起してプラズマ
状態とする。塩素をベースとする化学系がアルミニウム
のエッチングに有効であることは、以前から知られてい
る。例えば、Xiaobing らの米国特許第5,387,5
56号を参照することができる。塩化水素ガス(HC
l)は、典型的な塩素ベースのエッチャントである。し
かし今では、HClが最適なアルミニウムエッチャント
であるとは認識されていない。
化物(Al2O3)の層や、エッチングしようとする金属
アルミニウムの上に残留物を形成する関連する材料の層
を高い速度で形成する。アルミナは非常に安定な物質で
あり、HClをもってしても還元による破壊に耐性を有
している。この理由から、アルミニウムやアルミニウム
アロイのエッチングにはBCl3がしばしば用いられる
(HClやCl2と共に用いられることもしばしばであ
る)。Wang らの米国特許第5,219,485号で
は、シリサイド(珪化物)のエッチングによる残留物を
防止するため、これと同様の化学系を珪化物のエッチン
グに用いている。
ミニウム製であることが多く(例えば、アロイA160
61−T6製)、このため、BCl3のごとき強力なエ
ッチャントを用いれば問題が生じてしまう。また、起こ
り得る問題として、本体がアルミニウムであるチャンバ
をアルミニウムエッチングに用いた場合、基板のアルミ
ニウム部分のエッチングとチャンバ本体のエッチングを
バランスさせる必要がある事が挙げられる。アルミニウ
ムチャンバがエッチングされればアルミニウムベースの
粒子を発生させ、これがウエハ上に落ちて損傷を与え集
積回路の収率を低下させるという事に較べれば、アルミ
ニウムチャンバが物理的に完全であるという事はさほど
重要ではない。即ち、アルミニウムエッチングに用いる
ためのプラズマリアクタ内のチャンバ壁は、ムクのアル
ミニウムである必要はない。
のプラズマリアクタの壁を耐エッチング性のコーティン
グ材でコーティングすることが知られている。米国特許
第5,268,20号において、Steiger はこのような
アプローチについて記載しており、そこでは、導電性の
水素含有コート層の保護コーティングをアルミニウム壁
に堆積している。これとは別の更に代表的なアプローチ
としては、アルミニウム本体をアルミナ表層でコーティ
ングすることが挙げられる。このアルミナ表層コーティ
ングは通常、アルミニウム層を陽極酸化して上に層を形
成することにより行われる。ムクのアルミニウムは、高
い速度で自然酸化物Al2O3を厚さ約2.5nmに形成
する。しかし、そこから酸化物の厚さを増やそうとして
も、アルミニウム酸化物が堅固であるためすぐに阻害さ
れてしまう。アルミニウム本体の電解陽極酸化を行え
ば、容易にアルミナの厚さを25〜75μmまで増やす
ことができる。アルミニウムやアルミニウムベースのア
ロイの陽極酸化(anodization)は周知である。代表的に
は、アルミニウム本体を電解液(例えばH2SO4 15
vol.%溶液)に浸漬し、アルミニウム本体を陽極と
して電源の一方の端子に接続し、他方の端子には電解液
に浸漬した陰極を接続する。そして、DC電流を印加し
て、アルミニウムを電解的に陽極酸化する。陽極酸化部
分の最初の数十ナノメートルの層は比較的高密度なバリ
アを呈している。しかし、陽極酸化部分の厚みが増すに
つれて、比較的ポーラスな物質が生成する。陽極酸化浴
の温度を下げれば、ポアのサイズを小さくすることがで
きるが、これでは厚い陽極酸化部分が必然的に、自然酸
化物や初期のバリア層のもつ強固さを失うこととなる。
タ、特にメタルエッチリアクタに使用する目的で開発さ
れてきた。その中でも重要な目的は、陽極酸化チャンバ
壁のエッチングを、アルミニウムのラインのエッチング
から生じるアルミナベースの残留物よりも小さくするこ
とであった。メタルエッチリアクタで陽極酸化アルミニ
ウムのエッチングを行うことは特別な問題であり、何故
なら、陽極酸化アルミニウムとは本質的にアルミナであ
り、アルミナを有効に除去するには、BCl3を用いる
べきだからである。
発熱反応であり、
り、ここでの値は100℃におけるものである。
ウムは比較的軽くポーラスであった。これよりも密度の
高いアルミナ層の方が、エッチングしようとしているア
ルミニウム上の残留物よりもおそらくエッチングに対す
る耐性が高く、また更に、真空チャンバの内側での使用
を困難にするアルミナ中への吸水を低減する。陽極酸化
層の品質の向上のため、数多くの試みがなされてきた。
この試みの1つに、高温の脱イオン(DI)水を陽極酸
化プロセスに用い、以下の反応で生成する ベーマイト
(ベーム石:boehmite、AlOOH)の層で陽極酸化層
をシール事が挙げられ、
存在下でも化学的に安定であり、
対して安定ではない。この反応は陽極酸化アルミニウム
のポアを充填するために有用ではあるが、陽極酸化後に
高温の脱イオン水でシールをすることは、ここでの要求
には不充分である。
リアクタを導入するに際し、耐腐食性コーティングの要
請が近年高まっている。高密度プラズマ(HDP:high
density plasma)リアクタが、数多くの用途に対して
開発されてきた。一般に、高密度プラズマとは、イオン
化プラズマ密度が1011cm-3以上のものとして定義さ
れる。HDP(高密度プラズマ:high density plasm
a)メタルエッチリアクタの例としては、アプライドマ
テリアルズ社のデカップルドプラズマソース(DPS)
メタルエッチチャンバ(Decoupled Plasma Source Metal
Etch Chamber)が挙げられる。米国特許出願第08/2
96,043号において、Tepman らは、DPSリアク
タチャンバの初期の態様を記載している。これに対応す
る欧州特許出願が、欧州特許出願第698,915−A
1として公開されている。ここで、市販品のDPSチャ
ンバは、これとは構造上多少の変更がなされている。
断面図に例示される。上側にある主となる処理コンパー
トメント10は、カーブしたセラミックドーム12と、
このセラミックドーム12にシールを与える上ハウジン
グと、垂直方向に移動して、内向きに伸びる上ハウジン
グ14の環状シェルフ18の中と係合しシールすること
ができる可動ペデスタル壁16とによって、仕切られて
いる。上ハウジング14は、下ハウジング20の上に載
ってこれをシールし、また、ベローズ22が、下ハウジ
ング20と、ペデスタル壁16から下向きに伸びるステ
ム24とをシールする。ドーム12の中央に電極19が
配置されてもよい。下コンパートメント26はおもに、
下ハウジング20の壁と、環状シェルフ18下エッジと
により画成される。プラズマ処理中は、可動ペデスタル
壁16は、上ハウジング14の環状シェルフ18と係合
してシールを与えることにより、下コンパートメント2
2から上コンパートメント10をシールする。
ルアクチュエータ28により、ペデスタル壁16を移動
させて、環状シェルフ18と係合離散ができるようにな
っている。バーティカルアクチュエータ28がペデスタ
ル壁16を下げウエハ30をその上面で受容するポジシ
ョンまで下げれば、ロボットブレード(図示せず)によ
り、下ハウジング20のロードロックスリット32及び
スリットバルブ(図示せず)を介してウエハ30を下コ
ンパートメントの中に搬送することができる。ペデスタ
ル壁16は、典型的には、電気信号を印加して静電引力
を作用させることでウエハ30を選択的に保持する静電
チャックを有している。ペデスタル壁16の上にウエハ
が載置された後、バーティカルアクチュエータ28がペ
デスタル壁16を持ち上げて、上コンパートメント10
をシールしウエハを上コンパートメント10の中に配置
させるようにする。
スタック40に接続するターボポート38を有してい
る。ポンプスタック40の底部に接続する真空ポンプシ
ステム42により、上コンパートメント10にポンピン
グを行い、また、下コンパートメント26が上コンパー
トメント10へ開いているときは下コンパートメントに
もポンピングを行う。ポンプスタック40の上で上ハウ
ジング14に固定されているポペット弁44により、上
コンパートメント10を真空ポンプシステム42から選
択的に遮断することが可能である。
的なものはBCl3を含有しており、これが、上ハウジ
ング14の頂部近くに進入するガスオリフィス46のそ
れぞれの半径方向内側の端部に固定される複数(典型的
には4本)のガスノズル(図示せず)を介して、シール
状態の上コンパートメント10の中に注入される。曲面
ドーム12の周りに巻かれている誘導コイル48にRF
電力を印加して、上コンパートメント10内に処理ガス
の高密度プラズマを発生させる。また、ペデスタル壁1
6にもRF電力を与え、また場合により曲面ドーム12
に固定したカウンター電極にも与え、プラズマにバイア
スを与え所望のウエハエッチング効果が得られるように
する。
図2を参照すれば、上ハウジング14は、大型で複雑な
形状の部品であり、比較的難しい部分を含んでいる。こ
れがアルミニウムアロイでできていれば、複雑な形状で
あっても製造コストを低く抑えることができ、また、陽
極酸化はウェットプロセスであることからこれを比較的
容易に行うことができる。
ば、陽極酸化アルミニウムのチャンバ壁に付随する問題
が強調されることとなる。チャンバ壁の外周帯のガスジ
ェットの周囲及びターボポート38の上部において、比
較的高いアルミナエッチレイトが観測された。この地帯
でアルミナエッチングが強く生じれば、通常の陽極酸化
であれば、1週間ほどの定常的な使用でスポット的に陽
極酸化部分が浸食されてなくなる。この時点で、チャン
バの主要な部分を交換する必要が生じる。
PSチャンバ内において、ドーム12の内側の様々な場
所や、ターボポート38の近くのチャンバ壁14の上
や、ペデスタル16の上の窒化アルミニウムのダミーウ
エハ30の上(これは図示せず)に陽極酸化アルミニウ
ム製のクーポン49を置き、これらの腐食速度を定量的
に測定する試験を行った。チャンバの操業は、標準的な
コマーシャルベースのレシピに従って行ったが、これ
は、360分間BCl3、Cl2及びArを供給し、この
間の中で240分間RFをオンにした。ここに表示した
数字は、時間当たりの陽極酸化物の腐食速度である。最
も腐食が激しく生じたのは、チャンバ壁の上部でターボ
ポート38に隣接したところであり、その地点で測定さ
れた陽極酸化物腐食速度は、1時間当たり3.3μmで
あり、許容されない高い速度であった。
ウムのエッチレイトを低減するには、エッチング処理ガ
スに窒素が含まれるようにすればよいことが見出され
た。高密度プラズマリアクタ内に、Cl2が約90sc
cm、BCl3が約75sccm、Arが約40scc
mのアクティブコンポーネントを有するガスを流入させ
る一連の試験を行った。窒素を全く用いない場合は、陽
極酸化物のエッチレイトの測定結果は、0.87〜1.
4μm/hrであった。しかし、N2を5〜20scc
m添加した場合、腐食速度は76〜130nm/hrに
下がった。
スに窒素を添加することは、2つの理由から好ましくな
い。エッチングの目的以外で何かガスを添加すれば、エ
ッチングに対するプロセスの最適化が複雑になる。コマ
ーシャルベースで良好なプロセスとするためには、エッ
チングの均一性及び再現性を確保するため圧力、温度、
ガス流量等に対して広いプロセス範囲(プロセスウィン
ドウ)が必要である。ガス系を複雑にすれば、ほぼ必然
的にプロセスウィンドウが狭くなる。更に、窒素は塩素
ベースのエッチングプロセスにおいてチャンバ壁に堆積
物を蓄積させることが観測されている。この蓄積物は定
期的に、手作業のクリーニングにより除去しなければな
らないものである。このようなクリーニングを行えばス
ループットを下げ、操作時間が余計にかかり、またこれ
によりチャンバ内に粒子が持ち込まれることもある。
ンバの腐食は、古くからの問題であり、改良材料や新規
材料に対して多くの開発努力がなされてきた。しかし、
従来技術で用いることができる材料では、高密度のBC
l3とCl2のプラズマの環境においては、許容できない
高い腐食速度がみられる。陽極酸化物の試験について上
述した条件と同じ条件の下で、種々の材料のクーポンに
対して試験を行った。その結果を、下記の表1に示す
が、ここでは標準サイズのクーポンに対して重量のロス
を測定した。
タルエッチャーに対して適当ではないことを示してい
る。
は、プラズマリアクタ内の壁面その他の部品のための耐
性を有する材料を見出すことにある。
の他の部品は少なくとも、ボロンカーバイド(好ましく
はB4C)で構成される、プラズマに面する表面部を有
している。ここでボロンカーバイドは、バルク材料であ
ってもよく、あるいは裸の部材にコーティングした表面
層であってもよい。ボロンカーバイドは熱スプレーない
し溶射(thermal spray)によるものが有利である。
ャとして三塩化硼素(boron trichloride)を用いる場合
のプラズマエッチングリアクタの壁にボロンカーバイド
は特に有用であり、プラズマ密度が高くなるほど、この
有用性は高まる。
存するため、いろいろな場所で測定を行うべきである。
も激しく腐食する場所では、ボロンカーバイドのコーテ
ィングとバルク部分を選んで用いてもよい。
ルミニウム(bare aluminum)の表面にボロンカーバイド
を溶射することが好ましい。しかし、下地のアルミニウ
ムを陽極酸化の前に荒れ加工(roughening)した場合は、
ボロンカーバイドを陽極酸化層の上に有効にスプレーす
ることができる。陽極酸化の部分がスプレーコーティン
グのために取り除かれる場合は、陽極酸化に先立ち遷移
部分を取り巻くアルミニウム下地の帯を荒れ加工して、
ムクのアルミニウムの上だけでなく陽極酸化部の狭い取
り巻き部分の上にも、ボロンカーバイドをスプレーでき
るようにすることが好ましい。
(特にB4C)が高密度BCl3プラズマに耐性を有する
ことを見出した。ボロンカーバイドは、半導体産業以外
においてはよく知られた材料である。Engineering Prop
erties of Carbides", Engineered Materials Handboo
k, vol.4, "Ceramics and Glasses" (Materials Inform
ation Society, 1991)の804〜807頁、843〜8
44頁において、Shaffer は、この材料の概略を述べて
いる。この材料は、研磨材や、核反応器において熱中性
子の減速材として用いられている。ストイキオメトリッ
クなB4Cは、炭素の質量分率が21.72%である。S
haffer によれば、市場に出ているボロンカーバイドの
ほとんどがB4Cとグラファイトカーボンのコンポジッ
ト(複合材料)である。B4Cがボロンリッチな方にな
った場合に、ストイキオメトリックなB13C2(炭素1
4.6wt%)が確認された。カーボンリッチの側で
は、ストイキオメトリックなものは確認されなかった。
硼素−炭素の相の線図によれば、炭素30wt%の場合
に共晶点(eutectics)は約2160℃であり、炭素2w
t%では約1990℃である。これらの温度の低い方よ
り低いところでは液相は存在せず、また、B4Cの融点
は2450℃で与えられる。これらの様々な組成によれ
ば、ボロンカーバイドの濃度における興味の対象となる
範囲は炭素14〜30%に拡がり、また、更に興味の高
い範囲は18〜25%であることが示される。B4Cの
熱膨張係数は、約5.54x10-6/℃である。その熱
伝導率は、半導体プロセスで適用される温度では28〜
80W/m゜Kであり、室温での電気抵抗率の範囲は
0.1〜10ohm−cmである。このように電気抵抗
率が低いため、エッチングプロセスでの要求に応じて、
ボロンカーバイド製のチャンバ壁を接地しあるいはこれ
にバイアスを与えることが可能となる。
ドであり、またB4Cは斜方面体結晶構造(rhombohedral
crystallographic structure)を形成する。その他、T
i、Sr、Nb、Ta、Mo、W、Fe、Co及びNi
を備える一般的なカーバイドは侵入型(interstitial)
の、カーバイドである。共有結合性のカーバイドは、熱
安定性と化学的安定性に大変優れ、また非常に硬い。
のは少なくとも3つの形態があり、すなわち焼結品ない
しホットプレス品、溶射品、CVD(chemical vapor de
position)品及びコンバージョンコーティングがある。
で、これら2つのプロセスはまとめて説明することにす
る。例えばTani への米国特許第5,081,077号
や前出の Shaffer 、その他ここに引用している文献を
参照できる。焼結により、ボロンカーバイドの粉体を固
形化して1つの物体を形成するのであり、粉体の組成に
より焼結体の組成が決まる。B4C粉体は、米国カリフ
ォルニア州サンタフェのハードフェースアロイズ社(Har
dface Alloys Inc.)より入手可能である。改良タイプの
B4C粉体の純度は、不純物の最大レベルで珪素200
0ppm、鉄500ppm、アルミニウム270pp
m、マグネシウム48ppmといった値で特性が与えら
れる純度レベルを有している。カスタムメードの成形B
4C焼結品の供給者としては、米国カリフォルニア州オ
レンジ郡のセラダイン社(CeradyneInc.)が挙げられる。
を始めとして、複雑な形状のものを形成することができ
る。しかし、B4Cの焼結は加圧下で行う必要があるこ
とに鑑みれば、複雑さの低い形状のものを形成し、加工
の公差に適合するようにこの焼結体を機械加工すること
が好ましい。図1、2の上ハウジング14では、アルミ
ニウム陽極酸化のひどい腐食にさらされるのは一部分だ
けであることがわかっている。このため、上ハウジング
14においてターボポート38の上方の部分はスペーサ
ーリング50(図4に斜視図を図示)として別個に形成
することが好ましく、このスペーサーリングを、縦に切
り取ったような上ハウジング14とセラミックドーム1
2との間に配置し、これら三者の間には適切な真空シー
ルが設置される。必要に応じて、ガスオリフィス52を
リング50に形成してもよいが、これらの配置を移して
も、プロセスに影響を与えないかあるいは腐食が陽極酸
化した上ハウジングに移らない場合には、機械加工容易
なアルミニウム製の上ハウジング14にこれらを移すこ
とが好ましい。
図1のチャンバ固有の物体として得られていた。これは
ノズル穴を有しておらず、ダイヤモンドチップツールで
環状の端面の1つにOリンググルーブが形成されてい
た。
は、予め所望の形状に成型した基板の上にボロンカーバ
イドの比較的薄い層を溶射(熱スプレー)する方法であ
る。
e and Engineering of Thermal Spray Coatings (Wile
y, 1995) の28〜52頁に記載されるように総括的な
語であり、様々なプロセスが含まれる。これらの方法の
多くは、所望の最終組成を有する粉体(ここではボロン
カーバイド、好ましくは高純度B4C)を用いるもので
ある。
ム溶射)では、可燃ガスに粉体を混入し、これをコーテ
ィングしようとする基板の方に向けて、高温のガスの火
炎の中に高速で噴出させる。粉体は溶融状態で基板に到
達する。高速酸素燃料スプレー(high-velocity oxy-fue
l spraying)では、粉体を燃料流の中に混入させる。
の電極の間を高速で通過する不活性ガス流に粉体を混入
させる。非常に高い有効温度下で電極にバイアスを与
え、ガスのプラズマ(ガス放電)を形成する。プラズマ
で加熱されたガス及びこれに同伴する粉体は、コーティ
ングしようとする基板の方に進む。真空プラズマスプレ
ーでは、真空中を基板の方に向かって進むプラズマビー
ムに粉体を混入させる。プラズマスプレーの供給は、米
国オハイオ州デイトンのAPSマテリアルズ社(APS Mat
erials, Inc.)で受けることができる。
プレーでは、粉体と燃焼ガスをガンバレルと類似のバレ
ルの閉端に供給し、スパークプラグによりガスに点火
し、バレルの開端から基板に向けて、爆発したガスを排
出してこれに同伴する溶融粉体を噴出させる。デトーネ
ーションガススプレーは、米国インディアナ州インディ
アナポリスのプラクセアエスティー社(Praxair S.T., I
nc.)から商品名 D-gun coating として入手可能であ
る。
形することが可能であり、その場合には、上述のプロセ
スと同様に、およそ任意の組成の粉体を上述の高温ガス
流の中で溶融点よりも高くなるように加熱する。得られ
た高速ガス流及びこれに同伴する溶融粉体材料が、コー
ティングしようとする基板に衝突する。溶融粉体は基板
と接触して固化し、この粉体材料のコーティングを形成
する。
可能である。しかし、これらにおいては一般に、サイズ
が少なくともハンドガンと同等である比較的大型のスプ
レーガンを必要とし、また、スプレーの角度については
コーティング面にほぼ垂直にすることが必要であり、あ
るいは、プロセスによっては視射角よりも大きな角度と
することが必要である。そのため、中程度のサイズで形
状の複雑な部品のコーティングでは問題が生じていた。
例えば、図1、2のターボポート38や上ハウジング1
4内のポンプスタック40の内側のコーティングは、非
常に困難である。
されるのはターボポートの上方の環状帯のみであること
を本発明者らは見出した。この発見に基づき、陽極酸化
アルミニウムとボロンカーバイドを組み合わせたインナ
ーコーティングを有するアルミニウム製上ハウジングを
試作し試験を行った。図3に例示されるように、チャン
バ内部の腐食やその他の影響を測定するために広い間隔
で配置した試験片から得られた重要なデータによれば、
本発明の試験手順の有用性が示された。
が図5に例示されるが、これは、上リムにOリンググル
ーブ53が機械加工されている。上ハウジングは、この
上リムを除いて全ての側面が厚さ約75μmの標準的な
陽極酸化層54で陽極酸化され陽極酸化後に脱イオン水
でシールがなされている。上リムの上については、Oリ
ンググルーブ53の形成の際、陽極酸化部が機械加工に
より取り去られている。また、一方の側部では陽極酸化
部が取り去られてニッケルプレーティング55で置き換
えられ、チャンバ部品間の電気的接触を与えている。
ルミニウムは溶射ボロンカーバイドに対しての良好なベ
ースは与えず、スムーズな陽極酸化アルミニウムの上の
陽極酸化部からはボロンカーバイドが容易に剥がれてし
まう。アルミナ(酸化アルミニウム)は非常に硬い材料
であり高速のスプレー材料の接着に抵抗すると考えられ
ている。アルミニウムは軟らかくボロンカーバイドが容
易に接着できるため、この上にボロンカーバイドをスプ
レーすることが好ましい。従って、激しい腐食にさらさ
れる上ハウジング14の環状帯56をマスクして隠し、
グリットブラストにより帯56の中の陽極酸化層54を
取り去る。そして、環状帯54及びその少し外側に向け
てB4Cを溶射し、上ハウジング14のアルミニウムの
上にB4C層58を形成する。溶射コーティングは厚さ
5〜10mil(125〜250μm)に形成され、こ
れは下記のような低い腐食速度から鑑みて適切であるよ
うに思われる。アルミニウムはグリットブラストと溶射
の間にさらされて、速やかに自然酸化物層を形成する
が、溶射層54に対する良好なベースを与えることが示
された。
行った。試験片の1つの走査電子顕微鏡(SEM)の顕
微鏡写真を図6に示すが、これは、アルミニウムアロイ
A16061−T6の基板にB4Cを厚さ約400μm
でプラズマスプレーしたものである。コーティング後、
試験片を切断し、露出したエッジを研磨した。左側には
アルミニウム基板が見えており、その表面粗さは、グリ
ットブラストにより50〜70μmであった。荒れ加工
を必要とせずに、アルミニウム上への溶射コーティング
の付着性を向上させると考えられる。一般に、荒さはボ
ロンカーバイドコーティングの厚みよりも小さくあるべ
きである。右側の材料は、サンプルの切断に用いた装着
材料である。このボロンカーバイドでは、アルミニウム
に穴を開けているのが示され、これは、研磨のプロセス
においてボロンカーバイドから粒子が剥がれ落ちたため
に生じたものであるが、空洞化は比較的スムーズな自由
表面の方にに向かって低下している。ムクのアルミニウ
ムの上にプラズマスプレーしたB4Cの表面剥離試験
(ピールテスト)では、剥離強度が1000psi(7
x106Nt/m2)と強いことが示された。
4C層58のテール60では、更に深刻な問題が生じる
可能性がある。B4Cは陽極酸化アルミニウムから剥離
しやすいことがわかっているため、テール60が剥離し
ないかどうか定かではない。剥離が少しでも生じればB
Cl3がB4Cをアンダーカットし、その結果ムクのアル
ミニウムが攻撃を受けてしまう。
ち、チャンバ壁14の露出帯56の想定される端部を囲
む帯62の中を荒れ加工する。荒れ加工された帯62
は、陽極酸化層54と露出帯56の間の想定される境界
で、ボロンカーバイドがムクのアルミニウムの上にスプ
レーされるだろう場所にわたって伸びている。これは、
ボロンカーバイドのテール60に適合するように、陽極
酸化部54の残されたままの領域の下にある大部分を含
めるべきである。また、ガスノズル穴52のそれぞれの
周りの小さな環状帯を荒れ加工し、B4Cをノズル穴5
2の周りと内側にスプレーする。荒れ加工は、約100
〜150マイクロインチ(2.5〜3.8μm)の表面
仕上げRaとなるよう、グリットブラストを用いて行わ
れるが、この荒れ度は100〜300マイクロインチ
(2.5〜7.6μm)といったもっと広い範囲でも有
効である。次いで、荒れ加工したアルミニウムを厚さ約
60〜75μmで陽極酸化した際、陽極酸化の表面もこ
れに対応して荒れている。荒れ加工した陽極酸化物の上
のスプレーによるB4Cコーティングの剥離強度は上昇
したことが、実験により確かめられた。ムクの荒れ加工
したアルミニウムのコーティングについての上述の結果
によれば、荒れ加工したアルミニウムの上に有限の厚さ
の一体の陽極酸化層を形成することができれば、更に荒
れ度が大きくても有効であることが示された。
斜視図に示される一般的な構造で作製された。点ハッチ
ングの領域58はB4Cスプレーコーティングの領域を
示している。
イドをスプレーコーティングすることの実現性を例証す
るため、試験片を作製した。図7は、左側の荒れ加工し
たアルミニウムアロイ基板を示しており、基板上の陽極
酸化物は約25μmであった。基板の荒れは、陽極酸化
の間に広がっている。次いで、B4C層を厚さ225μ
mで陽極酸化物の上にプラズマスプレーした。この表面
は、陽極酸化物のそれと較べて比較的スムーズである。
ここで、一番右側の物質は、サンプル載置のためだけの
ものである。図7の顕微鏡写真では見えないが、陽極酸
化物に隣接する部分で大きな空洞化が生じている。しか
し、図7の顕微鏡写真の5倍の倍率の図8の顕微鏡写真
で示されるように、空洞化はB4Cの上面に向かって低
下する。
取り除かず、チャンバ壁のうちB4Cをスプレーしよう
とする部分を陽極酸化に先立ち荒れ加工する。得られた
溶射B4Cコーティングは陽極酸化物に適切に接着した
ことが観測された。
のない場所に選択的に堆積させることは特にコスト面で
有効である。比較的安価な溶射であっても、従来のスプ
レーガンで容易に行える比較的小さな面積にのみ行う必
要がある。
をアルミニウムの上に堆積させたことはない。
の方法は、化学気相堆積(CVD)によりボロンカーバ
イドを堆積させる方法である。熱堆積(サーマルデポジ
ション)によるB4C膜の堆積は、Stinton らにより "A
dvanced Ceramics by Chemical Vapor Deposition Tech
niques", American Ceramics Society Bulletin Vol.6
7, no.2, 1988の350〜55頁に説明されている。前
駆体ガスはBCl3、CH4及びH2であり、熱堆積温度
は1200〜1400℃である。熱堆積CVDのサプラ
イヤーは、米国カリフォルニア州ロサンゼルスのウルト
ラメット社(Ultramet Inc.)を挙げることができる。ボ
ロンカーバイドは、他の様々な材料と同様に、プラズマ
励起CVDプロセスで堆積させることが可能である。ボ
ロンカーバイドのPECVD(プラズマ励起CVD)に
対する前駆体としては、B2H6とCH4が知られてい
る。プラズマCVDを用いることにより、溶融点が更に
低い基板を用いることが可能となる。B4Cに対する前
駆体としてBCl3を用いるていることは、B4CがBC
l3にエッチングされないだろうことを示している。
ンの上で熱成長させた。この1つのSEM顕微鏡写真を
図9に示す。左側にグラファイト基板がある。B4C
は、厚さ約180μmにCVD堆積させた。右側の物質
は、載置のみのためのものである。この顕微鏡写真によ
れば、グラファイト基板が比較的スムーズであるのに対
し、CVDボロンカーバイドの表面は高さ約30μmの
凹凸を有している。CVDB4C膜を600グリットの
シリコンカーバイドポリシングペーパーで研磨すること
により、非常にスムーズな面を得ることができること
を、本発明者らは見出した。このように、プラズマリア
クタの内部のB4C層は、ルーティン的なチャンバクリ
ーニングにより容易に拭い去ることができる。CVDボ
ロンカーバイドについて更に多くのプロセス開発を行っ
て、このでこぼこを低減又は排除できるようにすること
が期待されている。
法は、表面の改質(surface conversion)であり、ここで
は、下地の基板を気体又は液体の反応物と反応させて、
基板の上にボロンカーバイドのコーティングを生成す
る。例えば、B2O3をグラファイトと反応させて、ボロ
ンカーバイドを生成する。このコーティングプロセスの
一般的なタイプの一例が、米国特許第5,250,32
4号に開示される。改質コーティングの供給は、日本国
東京の日立化成から受けることができる。
ーポン(試験片)を作製し、これらを同じBCl3、C
l2及びArの高密度プラズマに4時間曝露した。ここ
には、チャンバコーティングに対するデータも含まれ
る。これらサンプルでの最大腐食速度を表2に示す。
が非常に低いことがわかる。本発明者らの実験では、
0.025μm/hrよりも低い腐食速度は測定不能で
あった。厚さ250μmのB4Cスプレーコーティング
に対してこのような腐食速度であれば、最短の穿孔寿命
は操業10,000時間となる。
思われる代替可能な材料としては、窒化珪素(シリコン
ナイトライド)、特にSi3N4が挙げられる。初期のク
ーポン腐食試験では、BCl3高密度プラズマ内でこれ
ら2つの物質はほぼ同じエッチング速度であったことが
示された。窒化珪素は、バルクの形態とコーティングの
形態の両方で入手可能である。バルクの窒化珪素は、焼
結で形成することが可能である。窒化珪素の膜は、半導
体集積回路において広く用いられており、窒化珪素のた
めの熱CVDやプラズマ励起CVDは開発が十分に進ん
だ技術である。あるいは、窒化珪素膜は、前述の如きプ
ロセスにより溶射することもできる。このように、窒化
珪素はここに記載する全ての用途に対してボロンカーバ
イドに十分に代替し得るものである。窒化珪素がボロン
カーバイドと較べて不利な点は、アルミナと較べて電気
抵抗率が非常に高いことである。従って、Si3N4のチ
ャンバ壁は、電気的に制御することができない。
て考慮すべき重要な事は、水の吸収量である。半導体処
理に用いるプラズマリアクタは、清浄性を維持すること
が必要であるため、定期的にウェットクリーニングを行
う必要がある。クリーニングプロセスの間に過剰な量の
水を壁が吸収した場合は、ポンプ排気により所望の層に
対する真空状態に戻すには数時間を要するだろう。焼結
クーポンとプラズマスプレークーポンに対して一連の実
験を行った。これらクーポンを1時間熱湯で煮沸し、1
30℃の空気中で3時間加熱し、形成されやすい白い堆
積物を拭き取って取り除いた。この試験手順の前後での
重量を比較し、表面積で規格化した。本発明のサンプル
では、0.0009〜0.0018g/cm2の範囲の
吸水が示され、これは大変良好であると考えられる。操
作上、ウェットクリーニング後でもB4Cコーティング
チャンバはポンプ排気により迅速に高真空とすることが
できたことが見出された。
るエッチングプロセスを提供することについて、甚大な
開発が行われてきた。陽極酸化表面をボロンカーバイド
に置き換えてもプロセスに最小限の影響しか与えない場
合では、本発明のボロンカーバイドリアクタの受け入れ
が大きく進むことであろう。この理由で、従来技術の陽
極酸化チャンバとプラズマスプレーB4Cで壁を覆った
リングを有するチャンバの両方で、標準的なメタルエッ
チングレシピを用いて行った。このエッチングレシピに
は、チャンバ圧力約12トールでBCl3、Cl2及びA
rを用いることが含まれる。誘導結合プラズマ電源電力
は約1500ワットであり、145〜200WのRF電
力でペデスタルにバイアスを与えた。ボロンカーバイド
チャンバは、アルミニウムエッチレイトがわずかに低
く、酸化物エッチレイトがわずかに高かった。エッチン
グ均一性は、ボロンカーバイドチャンバの方が多少高か
った。曝露したアルミニウムラインの縦プロファイルと
スムーズさは、これら2つのリアクタ差はなかった。ア
ルミニウムとフォトレジストの間の選択性は、約5%下
がった。両方のリアクタとも、残留物のないアルミニウ
ムエッチングが実現された。粒子試験によれば、ボロン
カーバイドコーティングは粒子数を実質的に増加させな
いことが示された。ウエハのメタルコンタミネーション
は許容されるものである。
にコーティングしたチャンバを用いて、同様の処理の比
較試験を行った。ここでも、最小限の差しか観測されな
かった。
は標準的なメタルエッチングプロセスに対して最小限の
影響しか与えないことがわかる。
のアルミニウムチャンバを用いていたが、本発明はこれ
に限定されることはない。ここでのアルミニウムベース
の材料とは、陽極酸化によりアルミナを生成することが
できるアルミニウムコーティング材料を含むことを意味
するものである。アルミニウムベースの材料は、アルミ
ニウムアロイだけでなくほぼ純粋なアルミニウムをも含
むものである。アルミニウムアロイは、アルミニウム原
子が少なくとも90wt%に銅や珪素等の成分を含む材
料を含むものと理解される。
別の材料でボロンカーバイドをコーティングしてもよ
い。グラファイトは基板として既に説明した。
るが、その他のプラズマプロセスが持ち込むチャンバの
問題は、本発明により有利に対処できるものである。
してきたが、プラズマリアクタ内の他の部品、例えば静
電チャック、ウエハクランプ、プラズマフォーカスリン
グ、プロセスガス用のノズルやシャワーヘッド等につい
て、本発明の利益を享受することが可能である。また、
本発明では、プラズマソースとメインの処理チャンバへ
の導管を遠隔とすることも可能である。遠隔のプラズマ
ソースは、メインチャンバに処理ガスを導入するに先立
ち、この処理ガスの少なくとも一つの成分を励起してプ
ラズマにする。
でフレキシビリティが高く、プラズマプロセスに最小限
の影響しか与えない方法を用いることにより、コストの
問題を大幅に低減する。プラズマの腐食性に対して、チ
ャンバ壁やチャンバ内の部品は比較的長い寿命が確保さ
れる。
断面図である。
り、ここでは、図1は1−1線に沿ったものであること
を示す。
ポンの配置を示す図である。
ボロンカーバイド部材の斜視図である。
た部材の斜視図である。
射したボロンカーバイドの顕微鏡写真である。
上に溶射した顕微鏡写真である。
上に溶射した顕微鏡写真である。
ーバイドの顕微鏡写真である。
ム、14…上ハウジング、16…ペデスタル壁、18…
シェルフ、20…下ハウジング、22…ベローズ、24
…ステム、26…下コンパートメント、38…ターボポ
ート、50…スペーサーリング、52…ガスオリフィ
ス、53…Oリンググルーブ、54…陽極酸化コーティ
ング、55…ニッケルプレーティング、58…B4C
層。
Claims (44)
- 【請求項1】 コンポジット構造体であって、 アルミニウムベースの基板と、 前記基板の上にコーティングされたボロンカーバイドの
層とを有する構造体。 - 【請求項2】 前記層が、B4Cを備える請求項1に記
載の構造体。 - 【請求項3】 前記層が、B4Cの粒子を備える請求項
1に記載の構造体。 - 【請求項4】 前記層が、B4CとB13C3との間の組成
を有する請求項1に記載の構造体。 - 【請求項5】 前記層が、硼素に対して14〜30%の
炭素を備える請求項1に記載の構造体。 - 【請求項6】 前記層が、硼素に対して14〜30%の
炭素を備える請求項5に記載の構造体。 - 【請求項7】 前記基板と前記ボロンカーバイドの層と
の間に陽極酸化物の層を更に備える請求項1に記載の構
造体。 - 【請求項8】 前記陽極酸化物層の下の前記基板が荒れ
加工されている請求項7に記載の構造体。 - 【請求項9】 前記基板の少なくとも一部の上に陽極酸
化物の層を更に備える請求項1に記載の構造体。 - 【請求項10】 前記陽極酸化物層が前記基板の第1の
部分の上のみにあり、前記ボロンカーバイド層が前記基
板の第2の部分の上にある請求項9に記載の構造体。 - 【請求項11】 前記ボロンカーバイド層が、前記基板
の前記第2の部分の上と、前記陽極酸化物層のうち前記
基板の前記第2の部分に隣接する部分の両方の上にある
請求項10に記載の構造体。 - 【請求項12】 前記基板の、前記第1の部分と前記第
2の部分の間の遷移部を含むの部分が、荒れ加工されて
いる請求項11に記載の構造体。 - 【請求項13】 プラズマリアクタ内に含まれ、ボロン
カーバイドの表面部を備える部品。 - 【請求項14】 前記部品が、基板と、前記ボロンカー
バイドでコーティングされた表面とを備える請求項13
に記載の部品。 - 【請求項15】 前記部品が、B4Cを備える請求項1
4に記載の部品。 - 【請求項16】 前記基板が、アルミニウムを備える請
求項14に記載の部品。 - 【請求項17】 前記基板が、グラファイトを備える請
求項14に記載の部品。 - 【請求項18】 前記部品が、焼結ボロンカーバイドを
備える請求項14に記載の部品。 - 【請求項19】 前記部品が、バルクのボロンカーバイ
ド部材を備える請求項14に記載の部品。 - 【請求項20】プロセスガス用の流入口を少なくとも1
つ備え、該プロセスガスを励起してプラズマとするため
のエネルギーを受容することができる真空チャンバと、 該プラズマに面し、ボロンカーバイドを備える、前記チ
ャンバ内部の部品とを備えるプラズマチャンバ。 - 【請求項21】 前記部品が、B4Cを備える表面部分
を備える請求項20に記載のプラズマチャンバ。 - 【請求項22】 前記真空チャンバが、ボロンカーバイ
ドの前記部品を備える請求項20に記載のプラズマチャ
ンバ。 - 【請求項23】 前記部品が、基板と、その上にコーテ
ィングされ前記ボロンカーバイドを備える表面とを備え
る請求項20に記載のプラズマチャンバ。 - 【請求項24】 前記部品が、バルクのボロンカーバイ
ド部材を備える請求項20に記載のプラズマチャンバ。 - 【請求項25】 前記バルクボロンカーバイド部材が、
焼結ボロンカーバイドを備える請求項24に記載のプラ
ズマチャンバ。 - 【請求項26】 該プラズマによる処理のために前記真
空チャンバの中に選択的に挿入される基板を支持するた
めの基板支持体を更に備える請求項20に記載のプラズ
マチャンバ。 - 【請求項27】 アルミニウムベース部材のコーティン
グを形成する方法であって、アルミニウムを備える基板
の上に、ボロンカーバイドを備える層を堆積させるステ
ップを備える方法。 - 【請求項28】 前記基板の材料が、アルミニウムとア
ルミニウムアロイとから成る群より選択される請求項2
7に記載の方法。 - 【請求項29】 前記堆積のステップが、前記基板の上
に前記層を溶射により形成する工程を備える請求項27
に記載の方法。 - 【請求項30】 前記方法が、化学気相堆積を含む請求
項27に記載の方法。 - 【請求項31】 前記方法が、表面改質を含む請求項2
7に記載の方法。 - 【請求項32】 前記表面を空く2.5μmの荒さに荒
れ加工するステップを更に備える請求項27に記載の方
法。 - 【請求項33】 前記基板と前記層の間にアルミニウム
自然酸化物のみが介在する請求項27に記載の方法。 - 【請求項34】 前記基板を陽極酸化して陽極酸化物層
を形成するステップを更に備え、前記堆積のステップで
はボロンカーバイドを備えた層を前記陽極酸化物層の上
に堆積させる請求項27に記載の方法。 - 【請求項35】 前記陽極酸化のステップを行う前に、
前記基板の荒れ加工を行うステップを更に備える請求項
34に記載の方法。 - 【請求項36】 アルミニウムベースの基板の上に層を
形成するための方法であって、 アルミニウムベースの部材の少なくとも第1の部分を荒
れ加工するステップと、 前記アルミニウムベース部材を陽極酸化して陽極酸化層
を形成するステップと、 ボロンカーバイドを備える層を堆積させるステップとを
備える方法。 - 【請求項37】 前記部材のうちで前記第1の部分に隣
接する第2の部分から、前記陽極酸化物を除去するステ
ップを更に備え、荒れ加工された前記第1の部分は、前
記陽極酸化物のうち前記除去のステップにより残された
部分の下まで伸びる請求項36に記載の方法。 - 【請求項38】ボロンカーバイドを備える内面を有する
プラズマ反応チャンバを与えるステップと、 前記プラズマ反応チャンバ内で処理すべきワークピース
を載置するステップと、 前記プラズマ反応チャンバ内に処理ガスを注入するステ
ップと、 前記プラズマ反応チャンバに電気エネルギーを結合させ
て前記処理ガスからプラズマを生成し、前記ワークピー
スを処理するステップとを備えるプラズマ処理の方法。 - 【請求項39】 前記処理ガスが、塩素を備える請求項
38に記載の方法。 - 【請求項40】 前記処理ガスが、三塩化硼素を備える
請求項39に記載の方法。 - 【請求項41】 前記チャンバの前記内面が、該プラズ
マに面し、且つ、B4Cを備える請求項38に記載の方
法。 - 【請求項42】プロセスガス用の流入口を少なくとも1
つ備え、該プロセスガスを励起してプラズマとするため
のエネルギーを受容することができる真空チャンバと、 該プラズマに面し、窒化珪素を備える、前記チャンバ内
部でチャンバ自身の一部を成す部品とを備えるプラズマ
チャンバ。 - 【請求項43】 前記部品が、基板と、前記窒化珪素の
表面コーティングとを備える請求項42に記載のプラズ
マチャンバ。 - 【請求項44】前記部品が、前記窒化珪素のバルク部分
を備える請求項42に記載のプラズマチャンバ。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/770,092 US6120640A (en) | 1996-12-19 | 1996-12-19 | Boron carbide parts and coatings in a plasma reactor |
US08/770092 | 1996-12-19 |
Publications (1)
Publication Number | Publication Date |
---|---|
JPH10251871A true JPH10251871A (ja) | 1998-09-22 |
Family
ID=25087446
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP9334916A Pending JPH10251871A (ja) | 1996-12-19 | 1997-11-19 | プラズマリアクタ用ボロンカーバイド部品 |
Country Status (6)
Country | Link |
---|---|
US (2) | US6120640A (ja) |
EP (1) | EP0849767A3 (ja) |
JP (1) | JPH10251871A (ja) |
KR (1) | KR100588265B1 (ja) |
SG (1) | SG65699A1 (ja) |
TW (1) | TW373228B (ja) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6444304B1 (en) | 1998-10-09 | 2002-09-03 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Anodic oxide layer and ceramic coating for aluminum alloy excellent in resistance to gas and plasma corrosion |
JP2002249864A (ja) * | 2000-04-18 | 2002-09-06 | Ngk Insulators Ltd | 耐ハロゲンガスプラズマ用部材およびその製造方法 |
JP2003503597A (ja) * | 1999-06-30 | 2003-01-28 | ラム リサーチ コーポレーション | 半導体処理装置の耐腐食性部材およびその製造方法 |
JP2003513434A (ja) * | 1999-08-16 | 2003-04-08 | アプライド マテリアルズ インコーポレイテッド | プラズマリアクターにおけるダイヤモンドがコーティングされたパーツ |
JP2004523649A (ja) * | 2000-12-29 | 2004-08-05 | ラム リサーチ コーポレーション | 半導体処理装置の窒化ホウ素又はイットリア複合材料の構成部品及びその製造方法 |
US6884516B2 (en) | 1999-12-10 | 2005-04-26 | Tocalo Co., Ltd. | Internal member for plasma-treating vessel and method of producing the same |
DE112006002987T5 (de) | 2005-11-17 | 2008-10-02 | Kabushiki Kaisha Kobe Seiko Sho | Aluminiumlegierungselement mit hervorragender Korrosionsbeständigkeit |
JP2010199596A (ja) * | 2000-12-29 | 2010-09-09 | Lam Res Corp | 低汚染プラズマチャンバ構成部品とその製造方法 |
US8877002B2 (en) | 2002-11-28 | 2014-11-04 | Tokyo Electron Limited | Internal member of a plasma processing vessel |
US8980045B2 (en) | 2007-05-30 | 2015-03-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US9068273B2 (en) | 2002-11-25 | 2015-06-30 | Quantum Global Technologies LLC | Electrochemical removal of tantalum-containing materials |
US9481608B2 (en) | 2005-07-13 | 2016-11-01 | Applied Materials, Inc. | Surface annealing of components for substrate processing chambers |
KR20180028949A (ko) * | 2016-09-09 | 2018-03-19 | 도쿄엘렉트론가부시키가이샤 | 플라스마 에칭 방법 |
Families Citing this family (227)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4187819B2 (ja) * | 1997-03-14 | 2008-11-26 | シャープ株式会社 | 薄膜装置の製造方法 |
US6123791A (en) | 1998-07-29 | 2000-09-26 | Applied Materials, Inc. | Ceramic composition for an apparatus and method for processing a substrate |
US6440220B1 (en) * | 1998-10-23 | 2002-08-27 | Goodrich Corporation | Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation |
US6368410B1 (en) * | 1999-06-28 | 2002-04-09 | General Electric Company | Semiconductor processing article |
TW514996B (en) * | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6391146B1 (en) | 2000-04-11 | 2002-05-21 | Applied Materials, Inc. | Erosion resistant gas energizer |
EP1313890B1 (en) * | 2000-04-06 | 2006-10-11 | ASM America, Inc. | Barrier coating for vitreous materials |
US6495002B1 (en) | 2000-04-07 | 2002-12-17 | Hy-Tech Research Corporation | Method and apparatus for depositing ceramic films by vacuum arc deposition |
WO2001082338A1 (de) * | 2000-04-20 | 2001-11-01 | Linde Ag | Verfahren und vorrichtung zum transport von wafern |
US6779481B2 (en) * | 2000-04-27 | 2004-08-24 | Tokyo Electron Limited | Electrical coupling between chamber parts in electronic device processing equipment |
US6699375B1 (en) | 2000-06-29 | 2004-03-02 | Applied Materials, Inc. | Method of extending process kit consumable recycling life |
US6890861B1 (en) * | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US7011039B1 (en) * | 2000-07-07 | 2006-03-14 | Applied Materials, Inc. | Multi-purpose processing chamber with removable chamber liner |
US6533910B2 (en) * | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US7670688B2 (en) * | 2001-06-25 | 2010-03-02 | Applied Materials, Inc. | Erosion-resistant components for plasma process chambers |
US6777045B2 (en) * | 2001-06-27 | 2004-08-17 | Applied Materials Inc. | Chamber components having textured surfaces and method of manufacture |
US20030047464A1 (en) * | 2001-07-27 | 2003-03-13 | Applied Materials, Inc. | Electrochemically roughened aluminum semiconductor processing apparatus surfaces |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
JP2003224077A (ja) * | 2002-01-30 | 2003-08-08 | Tokyo Electron Ltd | プラズマ処理装置、電極部材、バッフル板の製造方法、処理装置、および、表面処理方法 |
US20030198749A1 (en) * | 2002-04-17 | 2003-10-23 | Applied Materials, Inc. | Coated silicon carbide cermet used in a plasma reactor |
US6825051B2 (en) * | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
US7601225B2 (en) * | 2002-06-17 | 2009-10-13 | Asm International N.V. | System for controlling the sublimation of reactants |
US6767844B2 (en) * | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7147749B2 (en) | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7204912B2 (en) | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7137353B2 (en) | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
KR100847082B1 (ko) * | 2002-10-31 | 2008-07-18 | 토소가부시키가이샤 | 도상돌기 수식부품 및 그 제조방법과 이를 이용한 장치 |
US6808600B2 (en) * | 2002-11-08 | 2004-10-26 | Kimberly-Clark Worldwide, Inc. | Method for enhancing the softness of paper-based products |
US7815995B2 (en) * | 2003-03-03 | 2010-10-19 | Kimberly-Clark Worldwide, Inc. | Textured fabrics applied with a treatment composition |
KR100918528B1 (ko) | 2003-03-31 | 2009-09-21 | 도쿄엘렉트론가부시키가이샤 | 처리부재 상에 인접한 코팅을 결합시키는 방법 |
JP4532479B2 (ja) | 2003-03-31 | 2010-08-25 | 東京エレクトロン株式会社 | 処理部材のためのバリア層およびそれと同じものを形成する方法。 |
US20060105182A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Erosion resistant textured chamber surface |
US7364642B2 (en) * | 2003-08-18 | 2008-04-29 | Kimberly-Clark Worldwide, Inc. | Recycling of latex-containing broke |
US6974781B2 (en) * | 2003-10-20 | 2005-12-13 | Asm International N.V. | Reactor precoating for reduced stress and uniform CVD |
US7910218B2 (en) * | 2003-10-22 | 2011-03-22 | Applied Materials, Inc. | Cleaning and refurbishing chamber components having metal coatings |
US20050178331A1 (en) * | 2004-02-13 | 2005-08-18 | Fourtner Lawrence C. | Electrode assembly and method for producing an electrode plate |
US20050199183A1 (en) * | 2004-03-09 | 2005-09-15 | Masatsugu Arai | Plasma processing apparatus |
US20050238807A1 (en) * | 2004-04-27 | 2005-10-27 | Applied Materials, Inc. | Refurbishment of a coated chamber component |
US7670436B2 (en) | 2004-11-03 | 2010-03-02 | Applied Materials, Inc. | Support ring assembly |
JP4666575B2 (ja) * | 2004-11-08 | 2011-04-06 | 東京エレクトロン株式会社 | セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材 |
US7579067B2 (en) * | 2004-11-24 | 2009-08-25 | Applied Materials, Inc. | Process chamber component with layered coating and method |
US7552521B2 (en) | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US20060172065A1 (en) * | 2005-02-01 | 2006-08-03 | Carlotto John A | Vacuum deposition of coating materials on powders |
KR101021459B1 (ko) * | 2005-08-22 | 2011-03-15 | 도카로 가부시키가이샤 | 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법 |
US20090130436A1 (en) * | 2005-08-22 | 2009-05-21 | Yoshio Harada | Spray coating member having excellent heat emmision property and so on and method for producing the same |
JP4571561B2 (ja) * | 2005-09-08 | 2010-10-27 | トーカロ株式会社 | 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法 |
US7762114B2 (en) | 2005-09-09 | 2010-07-27 | Applied Materials, Inc. | Flow-formed chamber component having a textured surface |
US9127362B2 (en) | 2005-10-31 | 2015-09-08 | Applied Materials, Inc. | Process kit and target for substrate processing chamber |
US8647484B2 (en) | 2005-11-25 | 2014-02-11 | Applied Materials, Inc. | Target for sputtering chamber |
US20070215278A1 (en) * | 2006-03-06 | 2007-09-20 | Muneo Furuse | Plasma etching apparatus and method for forming inner wall of plasma processing chamber |
US7850864B2 (en) * | 2006-03-20 | 2010-12-14 | Tokyo Electron Limited | Plasma treating apparatus and plasma treating method |
JP4643478B2 (ja) * | 2006-03-20 | 2011-03-02 | トーカロ株式会社 | 半導体加工装置用セラミック被覆部材の製造方法 |
US7648782B2 (en) * | 2006-03-20 | 2010-01-19 | Tokyo Electron Limited | Ceramic coating member for semiconductor processing apparatus |
US20070283884A1 (en) * | 2006-05-30 | 2007-12-13 | Applied Materials, Inc. | Ring assembly for substrate processing chamber |
US7514125B2 (en) * | 2006-06-23 | 2009-04-07 | Applied Materials, Inc. | Methods to improve the in-film defectivity of PECVD amorphous carbon films |
CN100459032C (zh) * | 2006-09-30 | 2009-02-04 | 中芯国际集成电路制造(上海)有限公司 | 减少反应室颗粒的工艺方法 |
US7981262B2 (en) | 2007-01-29 | 2011-07-19 | Applied Materials, Inc. | Process kit for substrate processing chamber |
US20080196661A1 (en) * | 2007-02-20 | 2008-08-21 | Brian West | Plasma sprayed deposition ring isolator |
US7874726B2 (en) * | 2007-05-24 | 2011-01-25 | Asm America, Inc. | Thermocouple |
US8034410B2 (en) | 2007-07-17 | 2011-10-11 | Asm International N.V. | Protective inserts to line holes in parts for semiconductor process equipment |
US20090052498A1 (en) * | 2007-08-24 | 2009-02-26 | Asm America, Inc. | Thermocouple |
US7807222B2 (en) * | 2007-09-17 | 2010-10-05 | Asm International N.V. | Semiconductor processing parts having apertures with deposited coatings and methods for forming the same |
US7935618B2 (en) * | 2007-09-26 | 2011-05-03 | Micron Technology, Inc. | Sputtering-less ultra-low energy ion implantation |
CN101889329B (zh) * | 2007-10-31 | 2012-07-04 | 朗姆研究公司 | 长寿命可消耗氮化硅-二氧化硅等离子处理部件 |
US7993057B2 (en) * | 2007-12-20 | 2011-08-09 | Asm America, Inc. | Redundant temperature sensor for semiconductor processing chambers |
US7946762B2 (en) * | 2008-06-17 | 2011-05-24 | Asm America, Inc. | Thermocouple |
US8343583B2 (en) * | 2008-07-10 | 2013-01-01 | Asm International N.V. | Method for vaporizing non-gaseous precursor in a fluidized bed |
US8262287B2 (en) | 2008-12-08 | 2012-09-11 | Asm America, Inc. | Thermocouple |
US8382370B2 (en) * | 2009-05-06 | 2013-02-26 | Asm America, Inc. | Thermocouple assembly with guarded thermocouple junction |
US8100583B2 (en) * | 2009-05-06 | 2012-01-24 | Asm America, Inc. | Thermocouple |
US9297705B2 (en) * | 2009-05-06 | 2016-03-29 | Asm America, Inc. | Smart temperature measuring device |
JP5415853B2 (ja) | 2009-07-10 | 2014-02-12 | 東京エレクトロン株式会社 | 表面処理方法 |
JP2011225949A (ja) * | 2010-04-21 | 2011-11-10 | Ibiden Co Ltd | 炭素部品および炭素部品の製造方法 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8770926B2 (en) * | 2010-10-25 | 2014-07-08 | United Technologies Corporation | Rough dense ceramic sealing surface in turbomachines |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9054148B2 (en) * | 2011-08-26 | 2015-06-09 | Lam Research Corporation | Method for performing hot water seal on electrostatic chuck |
US8592783B2 (en) | 2011-09-26 | 2013-11-26 | Varian Semiconductor Equipment Associates, Inc. | Titanium diboride coating for plasma processing apparatus |
US8598021B2 (en) | 2011-09-29 | 2013-12-03 | Varian Semiconductor Equipment Associates, Inc. | Method for junction avoidance on edge of workpieces |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
WO2013065666A1 (ja) * | 2011-10-31 | 2013-05-10 | 京セラ株式会社 | ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法 |
US9484233B2 (en) | 2012-04-13 | 2016-11-01 | Novellus Systems, Inc. | Carousel reactor for multi-station, sequential processing systems |
US9394615B2 (en) * | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US10541183B2 (en) | 2012-07-19 | 2020-01-21 | Texas Instruments Incorporated | Spectral reflectometry window heater |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
EP2953928B1 (de) | 2013-02-08 | 2017-08-09 | Covestro Deutschland AG | Verfahren zur abtrennung eines durch phosgenierung eines primären amins in der gasphase hergestellten isocyanats aus dem gasförmigen rohprodukt der phosgenierung |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
USD702188S1 (en) | 2013-03-08 | 2014-04-08 | Asm Ip Holding B.V. | Thermocouple |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9123661B2 (en) | 2013-08-07 | 2015-09-01 | Lam Research Corporation | Silicon containing confinement ring for plasma processing apparatus and method of forming thereof |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
WO2015117991A1 (de) * | 2014-02-06 | 2015-08-13 | Kgt Graphit Technologie Gmbh | Schutzschicht für pecvd-boote aus graphit |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP6714978B2 (ja) * | 2014-07-10 | 2020-07-01 | 東京エレクトロン株式会社 | プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
KR101465640B1 (ko) * | 2014-08-08 | 2014-11-28 | 주식회사 펨빅스 | 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품 |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) * | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US20160237570A1 (en) * | 2015-02-13 | 2016-08-18 | Applied Materials, Inc. | Gas delivery apparatus for process equipment |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US20170335459A1 (en) * | 2016-05-17 | 2017-11-23 | Applied Materials, Inc. | Non-shadow frame plasma processing chamber |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
KR20180093814A (ko) * | 2017-02-14 | 2018-08-22 | 에스케이씨솔믹스 주식회사 | 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102104158B1 (ko) * | 2017-04-19 | 2020-04-23 | 에스케이씨솔믹스 주식회사 | 반응 결합 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법 |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US20200062654A1 (en) * | 2018-08-13 | 2020-02-27 | Skc Solmics Co., Ltd. | Boron carbide sintered body and etcher including the same |
KR20200019069A (ko) | 2018-08-13 | 2020-02-21 | 에스케이씨솔믹스 주식회사 | 식각장치용 링형부품 및 이를 이용한 기판의 식각방법 |
US20200051793A1 (en) * | 2018-08-13 | 2020-02-13 | Skc Solmics Co., Ltd. | Ring-shaped element for etcher and method for etching substrate using the same |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102557094B1 (ko) * | 2020-02-12 | 2023-07-20 | 에스케이엔펄스 주식회사 | 세라믹 부품 및 이를 포함하는 플라즈마 식각장치 |
KR102266986B1 (ko) | 2020-02-12 | 2021-06-21 | 에스케이씨솔믹스 주식회사 | 포커스링, 포커스링의 제조방법 및 반도체소자의 제조방법 |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1317617A (en) * | 1969-07-02 | 1973-05-23 | Nat Res Corp | Protection of structural parts from abrasion |
US3988217A (en) * | 1971-10-22 | 1976-10-26 | Riken Lightmetal Industry Co., Ltd. | Process for producing a protective film on an aluminum surface |
GB1498179A (en) * | 1974-08-07 | 1978-01-18 | Kodak Ltd | Electrolytic graining of aluminium |
US3929591A (en) * | 1974-08-26 | 1975-12-30 | Polychrome Corp | Novel lithographic plate and method |
US3935080A (en) * | 1974-10-02 | 1976-01-27 | Polychrome Corporation | Method of producing an aluminum base sheet for a printing plate |
FI793353A (fi) * | 1979-02-21 | 1980-08-22 | Carborundum Co | Neutron absorberande element och foerfarande foer dess framstaellning |
JPS5834385A (ja) * | 1981-08-25 | 1983-02-28 | 住友電気工業株式会社 | 高温プラズマ容器内壁の被覆方法 |
US4716083A (en) * | 1983-09-23 | 1987-12-29 | Ovonic Synthetic Materials Company | Disordered coating |
US4693989A (en) * | 1984-06-28 | 1987-09-15 | Eltech Systems Corporation | Preparation and sintering of refractory metal borides, carbides and nitrides of high purity |
US5219485A (en) * | 1985-10-11 | 1993-06-15 | Applied Materials, Inc. | Materials and methods for etching silicides, polycrystalline silicon and polycides |
JPS63147882A (ja) * | 1986-12-10 | 1988-06-20 | 株式会社豊田中央研究所 | 表面処理方法 |
JPS63203098A (ja) * | 1987-02-19 | 1988-08-22 | Mitsubishi Electric Corp | スピ−カ用振動板の製造方法 |
US4735633A (en) * | 1987-06-23 | 1988-04-05 | Chiu Kin Chung R | Method and system for vapor extraction from gases |
US5149386A (en) * | 1987-08-10 | 1992-09-22 | Alcan International Limited | Tamper-evident structures |
US4870030A (en) * | 1987-09-24 | 1989-09-26 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer |
US5081077A (en) * | 1987-10-29 | 1992-01-14 | Kabushiki Kaisha Toyota Chuo Kenkyusho | Process for producing sintered body of metal boride and raw material composition therefor |
JPH01208446A (ja) * | 1988-02-17 | 1989-08-22 | Hitachi Zosen Corp | 中性子吸収性アルミニウム材料 |
US5156720A (en) * | 1989-02-02 | 1992-10-20 | Alcan International Limited | Process for producing released vapor deposited films and product produced thereby |
US5089746A (en) * | 1989-02-14 | 1992-02-18 | Varian Associates, Inc. | Production of ion beams by chemically enhanced sputtering of solids |
JPH02298024A (ja) * | 1989-05-12 | 1990-12-10 | Tadahiro Omi | リアクティブイオンエッチング装置 |
US5141656A (en) * | 1990-03-26 | 1992-08-25 | Rountree Philip L | Process for coating machine parts and coated machine parts produced thereby |
US5268200A (en) * | 1990-05-21 | 1993-12-07 | Applied Materials, Inc. | Method of forming plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion |
US5452177A (en) * | 1990-06-08 | 1995-09-19 | Varian Associates, Inc. | Electrostatic wafer clamp |
US5250324A (en) * | 1990-06-25 | 1993-10-05 | Lanxide Technology Company, L.P. | Method for forming a surface coating using powdered solid oxidants and parent metals |
US5714243A (en) * | 1990-12-10 | 1998-02-03 | Xerox Corporation | Dielectric image receiving member |
EP0491521B1 (en) * | 1990-12-15 | 1997-03-12 | Fujitsu Limited | Process for producing diamond film |
US5104514A (en) * | 1991-05-16 | 1992-04-14 | The United States Of America As Represented By The Secretary Of The Navy | Protective coating system for aluminum |
US5477975A (en) * | 1993-10-15 | 1995-12-26 | Applied Materials Inc | Plasma etch apparatus with heated scavenging surfaces |
US5315473A (en) * | 1992-01-21 | 1994-05-24 | Applied Materials, Inc. | Isolated electrostatic chuck and excitation method |
US5271967A (en) * | 1992-08-21 | 1993-12-21 | General Motors Corporation | Method and apparatus for application of thermal spray coatings to engine blocks |
JPH0689880A (ja) * | 1992-09-08 | 1994-03-29 | Tokyo Electron Ltd | エッチング装置 |
US5429870A (en) * | 1992-12-17 | 1995-07-04 | United Technologies Corporation | Boron carbide coated refractory fibers |
US5635254A (en) * | 1993-01-12 | 1997-06-03 | Martin Marietta Energy Systems, Inc. | Plasma spraying method for forming diamond and diamond-like coatings |
US5387556A (en) * | 1993-02-24 | 1995-02-07 | Applied Materials, Inc. | Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2 |
US5494522A (en) * | 1993-03-17 | 1996-02-27 | Tokyo Electron Limited | Plasma process system and method |
US5421401A (en) * | 1994-01-25 | 1995-06-06 | Applied Materials, Inc. | Compound clamp ring for semiconductor wafers |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5685914A (en) * | 1994-04-05 | 1997-11-11 | Applied Materials, Inc. | Focus ring for semiconductor wafer processing in a plasma reactor |
US5705080A (en) * | 1994-07-06 | 1998-01-06 | Applied Materials, Inc. | Plasma-inert cover and plasma cleaning process |
US5730801A (en) * | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
DE4435221A1 (de) * | 1994-09-30 | 1996-04-04 | Hoechst Ag | Verfahren zum mechanischen Aufrauhen der Oberfläche eines Druckplattenträgers und Bürstenwalze zur Durchführung des Verfahrens |
US5626670A (en) * | 1994-10-03 | 1997-05-06 | American Research Corporation Of Virginia | Method for producing low thermal budget ferroelectric thin films for integrated device structures using laser-crystallization of spin-on sol-gel films |
DE19542410A1 (de) * | 1994-11-14 | 1996-05-15 | Furukawa Electric Co Ltd | Materialien, die zur schnellen Entwicklung einer natürlichen Patina fähig sind, und Verfahren zu deren Herstellung |
JP2944904B2 (ja) * | 1994-12-27 | 1999-09-06 | 川崎製鉄株式会社 | 高温鋼材搬送用ロール |
JPH0987072A (ja) * | 1995-07-18 | 1997-03-31 | Hitachi Chem Co Ltd | 炭化硼素複合炭素材料、その製造法及びプラズマ対向材 |
US5838530A (en) * | 1996-07-22 | 1998-11-17 | Zhang; Guobiao | Applications of protective ceramics |
-
1996
- 1996-12-19 US US08/770,092 patent/US6120640A/en not_active Expired - Lifetime
-
1997
- 1997-10-13 TW TW086114934A patent/TW373228B/zh active
- 1997-10-14 EP EP97308123A patent/EP0849767A3/en not_active Withdrawn
- 1997-10-24 KR KR1019970054579A patent/KR100588265B1/ko not_active IP Right Cessation
- 1997-11-17 SG SG1997004073A patent/SG65699A1/en unknown
- 1997-11-19 JP JP9334916A patent/JPH10251871A/ja active Pending
-
2000
- 2000-01-21 US US09/489,356 patent/US6808747B1/en not_active Expired - Fee Related
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6444304B1 (en) | 1998-10-09 | 2002-09-03 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Anodic oxide layer and ceramic coating for aluminum alloy excellent in resistance to gas and plasma corrosion |
JP2003503597A (ja) * | 1999-06-30 | 2003-01-28 | ラム リサーチ コーポレーション | 半導体処理装置の耐腐食性部材およびその製造方法 |
JP2003513434A (ja) * | 1999-08-16 | 2003-04-08 | アプライド マテリアルズ インコーポレイテッド | プラズマリアクターにおけるダイヤモンドがコーティングされたパーツ |
US7364798B2 (en) | 1999-12-10 | 2008-04-29 | Tocalo Co., Ltd. | Internal member for plasma-treating vessel and method of producing the same |
US6884516B2 (en) | 1999-12-10 | 2005-04-26 | Tocalo Co., Ltd. | Internal member for plasma-treating vessel and method of producing the same |
JP2002249864A (ja) * | 2000-04-18 | 2002-09-06 | Ngk Insulators Ltd | 耐ハロゲンガスプラズマ用部材およびその製造方法 |
JP2004523649A (ja) * | 2000-12-29 | 2004-08-05 | ラム リサーチ コーポレーション | 半導体処理装置の窒化ホウ素又はイットリア複合材料の構成部品及びその製造方法 |
JP2010199596A (ja) * | 2000-12-29 | 2010-09-09 | Lam Res Corp | 低汚染プラズマチャンバ構成部品とその製造方法 |
US9068273B2 (en) | 2002-11-25 | 2015-06-30 | Quantum Global Technologies LLC | Electrochemical removal of tantalum-containing materials |
US8877002B2 (en) | 2002-11-28 | 2014-11-04 | Tokyo Electron Limited | Internal member of a plasma processing vessel |
US9481608B2 (en) | 2005-07-13 | 2016-11-01 | Applied Materials, Inc. | Surface annealing of components for substrate processing chambers |
DE112006002987T5 (de) | 2005-11-17 | 2008-10-02 | Kabushiki Kaisha Kobe Seiko Sho | Aluminiumlegierungselement mit hervorragender Korrosionsbeständigkeit |
US8980045B2 (en) | 2007-05-30 | 2015-03-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
KR20180028949A (ko) * | 2016-09-09 | 2018-03-19 | 도쿄엘렉트론가부시키가이샤 | 플라스마 에칭 방법 |
Also Published As
Publication number | Publication date |
---|---|
EP0849767A2 (en) | 1998-06-24 |
US6808747B1 (en) | 2004-10-26 |
EP0849767A3 (en) | 2001-03-21 |
TW373228B (en) | 1999-11-01 |
US6120640A (en) | 2000-09-19 |
SG65699A1 (en) | 1999-06-22 |
KR19980063542A (ko) | 1998-10-07 |
KR100588265B1 (ko) | 2006-08-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH10251871A (ja) | プラズマリアクタ用ボロンカーバイド部品 | |
KR102142040B1 (ko) | 염소 및 불소 플라즈마 내식성을 가진 코팅된 반도체 처리 부재 및 그 복합 산화물 코팅 | |
EP1518255B1 (en) | Thermal sprayed yttria-containing coating for plasma reactor | |
JP4358509B2 (ja) | 反応室壁上のダイヤモンド被膜及びその製造方法 | |
US8282987B2 (en) | Aluminum-plated components of semiconductor material and methods of manufacturing the components | |
JP4608159B2 (ja) | 半導体処理装置の耐腐食性部材およびその製造方法 | |
JP4996868B2 (ja) | プラズマ処理装置およびプラズマ処理方法 | |
US6613442B2 (en) | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof | |
JP4331479B2 (ja) | 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法 | |
JP2004525517A (ja) | 半導体処理装置内の酸化セリウムを含有するセラミック構成部品及び被膜 | |
TW201209957A (en) | Substrate supports for semiconductor applications | |
CN112553592B (zh) | 一种利用ald工艺对静电吸盘进行处理的方法 | |
US20230051800A1 (en) | Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20041116 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20060914 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20060919 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20061219 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20061222 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070306 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070410 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20070911 |