TWI389248B - 用於電漿腔室部件的抗電漿塗層 - Google Patents
用於電漿腔室部件的抗電漿塗層 Download PDFInfo
- Publication number
- TWI389248B TWI389248B TW098138157A TW98138157A TWI389248B TW I389248 B TWI389248 B TW I389248B TW 098138157 A TW098138157 A TW 098138157A TW 98138157 A TW98138157 A TW 98138157A TW I389248 B TWI389248 B TW I389248B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- coating
- substrate
- resistant coating
- component
- Prior art date
Links
- 238000000576 coating method Methods 0.000 title claims description 199
- 239000011248 coating agent Substances 0.000 claims description 185
- 239000000758 substrate Substances 0.000 claims description 89
- 238000000034 method Methods 0.000 claims description 81
- 238000012545 processing Methods 0.000 claims description 42
- 238000000151 deposition Methods 0.000 claims description 41
- 239000002245 particle Substances 0.000 claims description 38
- 230000008569 process Effects 0.000 claims description 32
- 239000007789 gas Substances 0.000 claims description 25
- 230000008021 deposition Effects 0.000 claims description 24
- 238000000869 ion-assisted deposition Methods 0.000 claims description 24
- 150000002500 ions Chemical class 0.000 claims description 23
- 239000000919 ceramic Substances 0.000 claims description 19
- 239000013078 crystal Substances 0.000 claims description 12
- 239000002105 nanoparticle Substances 0.000 claims description 12
- 238000005137 deposition process Methods 0.000 claims description 11
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 8
- 229910052746 lanthanum Inorganic materials 0.000 claims description 8
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 claims description 8
- 150000004767 nitrides Chemical class 0.000 claims description 8
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 8
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 claims description 8
- 229910052782 aluminium Inorganic materials 0.000 claims description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 6
- 229910052747 lanthanoid Inorganic materials 0.000 claims description 6
- 150000002602 lanthanoids Chemical class 0.000 claims description 6
- 229910052760 oxygen Inorganic materials 0.000 claims description 6
- 239000001301 oxygen Substances 0.000 claims description 6
- 229910052736 halogen Inorganic materials 0.000 claims description 5
- 150000002367 halogens Chemical class 0.000 claims description 5
- 229910052684 Cerium Inorganic materials 0.000 claims description 4
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims description 4
- 238000001704 evaporation Methods 0.000 claims description 4
- 230000008020 evaporation Effects 0.000 claims description 4
- 229910000838 Al alloy Inorganic materials 0.000 claims description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 3
- 150000004820 halides Chemical class 0.000 claims description 3
- 238000007654 immersion Methods 0.000 claims description 3
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 3
- 229910052707 ruthenium Inorganic materials 0.000 claims description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims description 2
- 239000010453 quartz Substances 0.000 claims description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 2
- 229910052762 osmium Inorganic materials 0.000 claims 2
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 claims 2
- 229910052741 iridium Inorganic materials 0.000 claims 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims 1
- 238000005240 physical vapour deposition Methods 0.000 claims 1
- 239000000463 material Substances 0.000 description 30
- 239000010410 layer Substances 0.000 description 26
- 230000003628 erosive effect Effects 0.000 description 13
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 13
- 239000007921 spray Substances 0.000 description 10
- 239000000203 mixture Substances 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000011109 contamination Methods 0.000 description 8
- 238000005507 spraying Methods 0.000 description 7
- 229910052727 yttrium Inorganic materials 0.000 description 7
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 230000015556 catabolic process Effects 0.000 description 6
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 5
- 238000010849 ion bombardment Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- -1 lanthanum (Er) Chemical class 0.000 description 4
- 239000002994 raw material Substances 0.000 description 4
- 229910052712 strontium Inorganic materials 0.000 description 4
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 4
- 238000011282 treatment Methods 0.000 description 4
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 3
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 3
- 239000008199 coating composition Substances 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 230000003746 surface roughness Effects 0.000 description 3
- 238000007751 thermal spraying Methods 0.000 description 3
- 229910017077 AlFx Inorganic materials 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- 238000002441 X-ray diffraction Methods 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 239000000443 aerosol Substances 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium dioxide Chemical compound O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 238000007750 plasma spraying Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229940105963 yttrium fluoride Drugs 0.000 description 2
- RBORBHYCVONNJH-UHFFFAOYSA-K yttrium(iii) fluoride Chemical compound F[Y](F)F RBORBHYCVONNJH-UHFFFAOYSA-K 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 230000006750 UV protection Effects 0.000 description 1
- NDYYRETYXBJDGQ-UHFFFAOYSA-N [O-2].[Ce+3].[O-2].[Ce+3] Chemical compound [O-2].[Ce+3].[O-2].[Ce+3] NDYYRETYXBJDGQ-UHFFFAOYSA-N 0.000 description 1
- 230000001464 adherent effect Effects 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000007743 anodising Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 210000002304 esc Anatomy 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 125000003983 fluorenyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3CC12)* 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229940119177 germanium dioxide Drugs 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000007733 ion plating Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000008447 perception Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- YRQNNUGOBNRKKW-UHFFFAOYSA-K trifluororuthenium Chemical compound F[Ru](F)F YRQNNUGOBNRKKW-UHFFFAOYSA-K 0.000 description 1
- 238000010396 two-hybrid screening Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/31504—Composite [nonstructural laminate]
- Y10T428/31678—Of metal
Description
本發明實施例係有關於電漿處理設備之領域,並且更明確地說,係有關於用於電漿處理腔室部件的抗電漿塗層。
在元件製造期間,例如光電和積體電路,係利用真空電漿處理腔室來進行電漿處理。製程氣體係經通入該處理腔室,同時施加一電場至該等製程氣體,以產生該等製程氣體的電漿。為降低操作成本,藉由將該等部件設計為可抗電漿來延長一電漿處理腔室內暴露在該處理電漿中的部件之壽命。如在此所使用者,“抗電漿”一詞表示當暴露在該電漿處理腔室內所產生的電漿處理條件下時對侵蝕和腐蝕的抗性。目前抗電漿部件係由塊材或藉由在一基材上熱噴塗一保護塗層來形成。
第1圖示出運用一電漿的習知熱噴塗法100,通常稱為電漿噴塗。該原料101,常為粉末、液體或線料形態,係經通入一高溫電漿炬105內。一饋入氣體120(例如氬氣、氮氣、氫氣、氦氣)通過一陰極122周圍朝向一陽極噴嘴123流動。一電漿係利用一高壓放電來啟動,其造成局部離子化並在該陰極122和該陽極噴嘴123之間形成一電弧的導電路徑,因而形成該饋入氣體120的電漿放電。該電漿以一電漿炬105的型態離開該陽極噴嘴123,其並不帶電流(即中性電漿)。該電漿炬的溫度係在10,000K等級,將該原料101融化或軟化為微滴107,並將其朝該基材110推進。
融化的微滴107平鋪在衝擊的基材110上,並快速硬化而形成一塗層115,其係由鬆餅狀薄層組成,通常稱為“薄片(splats)”。因為原料粒子的尺寸通常從數微米(μm)至大於100μm,一薄層常擁有約1μm的厚度以及從數微米至大於100μm的橫向尺寸。在個別薄層之間,存在有微小縫隙,例如孔隙、裂縫以及不完全接合的區域。
本發明實施例包含抗電漿塗層材料、抗電漿塗層以及在硬體部件上形成此種塗層的方法。在特定實施例中,該等硬體部件係在該電漿腔室執行處理期間暴露在電漿中的電漿腔室部件。在一此種實施例中,該電漿腔室部件係一靜電夾盤(ESC),而該抗電漿塗層係形成在該靜電夾盤表面上,例如在電漿處理期間一電漿腔室內設置有一工作件在其上的圓盤表面上。
在一實施例中,一抗電漿塗層包含非其上沈積該塗層的基材原生的陶瓷,並且該抗電漿塗層係以使其擁有低於1%的孔隙度的方式形成。發現此低孔隙度顯著增加該塗層的電漿侵蝕抗性,並在該部件的使用壽命期間減少該電漿腔室內的微粒污染。在另一實施例中,一抗電漿塗層表面具有低於1μm的算術平均粗糙度(Ra
)。與習知技藝相反,發現具有此低表面粗糙度的塗層,尤其是應用在ESC上時,顯著減少微粒污染。在另一特定實施例中,一抗電漿塗層係以使其擁有至少1000V/mil的崩潰電壓的方式形成,其顯著高於習知塗層。
在一實施例中,一抗電漿塗層係以使其含有釔(Y)、銥(Ir)、銠(Rh)或鑭系元素,例如鉺(Er),的氧化物、氮化物、硼化物、碳化物、或鹵化物之至少一者的方式形成。在某些實施例中,該抗電漿塗層係以使其成為具有微細晶粒結構之非晶或多晶的方式形成。特定多晶實施例擁有一晶體織構,其具備非隨機、“較佳地”出平面(out-of-plane)的成長位向。在一此種實施例中,該較佳地出平面成長使密度最高的晶面定位在塗層表面以面向該電漿。發現原子沿著較佳晶體位向堆疊可改善該塗層的電漿抗性。某些實施例更包含一或一些沈積在該基材和該抗電漿塗層之間的中間層。該(等)中間層可包含不存在於該抗電漿塗層內的元素之氧化物,或是不存在於該抗電漿塗層內的元素之氧化物或氮化物或碳化物的組合物,例如二氧化矽和碳化矽,以提供為例如漏電流之特定效能特性設計的混成塗層。
實施例更包含在一電漿腔室部件上形成一抗電漿塗層的方法,在形成該塗層的同時或緊接在該塗層形成後該抗電漿塗層暴露在高能粒子中的情況下。該等高能粒子包含離子、中子原子、自由基、和奈米尺寸粒子,其係來自粒子產生源,例如該等電漿反應性氣體,或來自提供該等沈積材料的材料源。提供此種情況的範例製程包含離子輔助沈積(IAD)、離子化金屬電漿(IMP)活化反應性蒸鍍(ARE)或電漿浸沒離子製程(PIIP)。特定沈積方法實施例含有鹵素或氧氣的至少一者做為反應性氣體物種,並且可更包含在該部件基材上沈積該抗電漿塗層的同時電氣偏壓該基材。其他實施例包含利用奈米粒子質流沈積製程或利用溶膠-凝膠沈積製程在該部件上形成一抗電漿塗層,以得到在此所述的塗層組合物、結構及電氣特性。
本說明書通篇所提及之“一實施例”意指結合該實施例所述之一特定特徵、結構、材料、或特性係包含在本發明至少一個實施例中。因此,在本說明書通篇多處出現的句子“在一實施例中”並不必定指本發明的相同實施例。在下面的敘述中,提出眾多具體細節,例如製造條件及材料,以提供對本發明的完整了解。但是,特定實施例可在無一或多個該等具體細節下實施,或合併其他已知方法、材料及設備實施。此外,所述之該等特定特徵、結構、材料、或特性可在一或多個實施例中以任何適當方式組合。也應了解具體實施例可在不互斥的前提下組合。該等附圖係說明性表示,並且不必定按照比例繪製。
在此所使用之“上方”、“下方”、“之間”、及“上”等詞表示一個構件關於其他構件的相對位置。如此,例如,設置在另一個構件上方或下方的一個構件可與另一構件直接接觸,或者可有一或多個居中構件。此外,設置在構件之間的一個構件可與該兩個構件直接接觸,或者可有一或多個居中構件。相反的,在一第二構件“上”之一第一構件係與該第二構件接觸。此外,提供一個構件關於其他構件的相對位置,假設操作係在不考慮基材的絕對位向下關於一基材執行。
本發明實施例包含抗電漿塗層材料、抗電漿塗層以及在硬體部件上形成此種塗層的方法。在特定實施例中,該等硬體部件係在該電漿腔室執行的電漿處理期間暴露在電漿中的電漿腔室部件,做為一電漿處理腔室的範例,第2圖示出一電漿蝕刻系統200的剖面圖。該電漿蝕刻系統200包含一製程腔室205。一工作件210係透過一開口215載入並緊夾在一陰極220上。在特定實施例中,該陰極220以一靜電力(例如靜電夾盤或ESC)抓持該工作件210。在進一步實施例中,該陰極220包含複數個區域,每一區皆可獨立控制在一溫度設定值下,例如具有鄰近該工作件210中心的第一溫度區222以及鄰近該工作件210周邊的第二溫度區221。製程氣體係從氣體源245、246、247和248透過各自的質流控制器249供應至該製程腔室205內部。該製程腔室205係透過經由一排氣閥251連接的高流量真空幫浦堆疊255排空至,例如介於5毫托耳和500毫托耳之間。
施加射頻功率時,一電漿形成在一腔室處理區內該工作件210上方。一偏壓功率射頻產生器225係連接至該陰極220,以提供偏壓功率並進一步能量化該電漿。在某些實施例中,該電漿蝕刻系統200包含一頻帶不同的第三偏壓功率射頻產生器226,其連同該偏壓功率射頻產生器225與一射頻匹配227連接。一電源射頻產生器230係透過一匹配(未示出)連接至一電漿產生構件235,其可以是相對於該陰極220的陽極,以提供高頻電源以能量化該電漿。該電源射頻產生器230通常擁有高於該偏壓功率射頻產生器225的頻率,例如介於100和180MHz之間。偏壓功率影響該工作件210上的偏壓、控制該工作件210的離子轟擊,而電源相對地獨立於該工作件210上的偏壓影響該電漿密度。
該電漿蝕刻系統200係由一控制器270電腦控制,以控制該低頻偏壓功率、高頻電源、蝕刻氣流、製程壓力和陰極溫度,以及其他製程參數。通常,一控制器270包含一中央處理單元(CPU) 272,與一記憶體273和輸入/輸出(I/O)電路274交流,除了其他常見部件之外。軟體指令,由該CPU 272執行,使該電漿蝕刻系統200,例如,載入該工作件210至一電漿蝕刻腔室內、通入一蝕刻氣體混合物至該製程腔室205以及蝕刻該工作件210。
一電漿處理系統,例如電漿蝕刻系統200,的至少一個部件包含一抗電漿塗層,如第3A或4A圖示出者。任何構成該製程腔室205的部件均可塗覆此種抗電漿塗層。範例腔室部件包含製程套件、聚焦環、噴頭及上蓋。在該陰極220係ESC的特定實施例中,該ESC表面,例如處理期間上設該工作件210的圓盤表面,或該ESC的周邊表面,係塗覆一抗電漿塗層,如第3A或4A圖示出者。
第3A圖示出包含一抗電漿塗層315的電漿腔室部件300的一部分之剖面圖。該電漿腔室部件300包含一基材310,其具有一外基材表面311,該抗電漿塗層315係沈積在其上方,以提供將在一工作件的電漿處理期間暴露在一電漿中的外塗層表面316。該基材310可以是任何習知材料,例如鋁或鋁合金、石英、陶瓷、複合材料、或諸如此類。該基材310可更包含一整合表面塗層(未示出)。一整合表面塗層與該基材310形成單一且連續的結構。一整合表面塗層通常係利用下方部件材料的至少一部份從該基材310原位形成。例如,就一鋁基材310而言,可“成長”鋁氧化物(Al-O)或鋁氮化物(Al-N)的整合表面塗層。一整合表面塗層係藉由,例如,陽極處理該基材310由該基材310形成。根據本發明實施例的抗電漿塗層在該抗電漿塗層為非原生這方面與整合表面塗層做出區隔。例如,在該基材310為一鋁合金的實施例中,該抗電漿塗層實質上無鋁。
在本發明實施例中,形成在該基材310上方的抗電漿塗層315係一非熱噴塗塗層。發現電漿噴塗塗層會污染在一電漿腔室內處理的基材。例如,在其部件擁有一電漿噴塗氧化釔(Y2
O3
)塗層的腔室內電漿處理後發現基材上有釔(Y)污染。在研究此現象期間,發現電漿噴塗塗層在遍及整個塗層厚度上擁有高的裂縫及縫隙密度,這是第1圖所示之薄層的作用。通常電漿噴塗塗層擁有3%或更高的孔隙度。電漿噴塗塗層表面也典型地粗糙,一般具有5微米(μm)等級的算術平均粗糙度(Ra
)。因為裂縫、縫隙和微粒在電漿暴露期間受到不平均侵蝕,一電漿噴塗塗覆部件會發展出具有直徑大至25μm的粒子之粗糙電漿蝕刻表面。此種大表面粒子的形成可能是源自沿著晶粒或粒子邊界的優先侵蝕,在該處該薄膜應力相對高而該接合強度則相對低。在電漿蝕刻塗層上發現的粗糙尖峰表示此種粒子最終斷裂並潛在地污染在該腔室內處理的基材。基材污染、電漿製程漂移和部件表面退化因此與存在一電漿噴塗塗層中的該等裂縫、縫隙、粗糙表面及大型微粒做聯結。尤其是,對於由陶瓷塊材(例如塊狀氧化釔)形成的製程腔室部件的類似評估也確認眾多直徑25μm或更大的縫隙。因此,陶瓷塊材在電漿抗性上與電漿噴塗種類相比提供非常少的改善。
為減少污染及粒子形成,該抗電漿塗層315的實施例係經形成為擁有低孔隙度和低表面粗糙度。在一實施例中,該抗電漿塗層315擁有低於約1%的孔隙度。孔隙度表達該塗層總體積內開放空間的百分比,具有較低孔隙度是一塗層密度較高的表示。在進一步實施例中,孔隙度基本上是0%,提供一特定薄膜部件最大密度。此種低塗層孔隙度在之前無法以習知塗佈沈積方法達成,例如電漿噴塗,其具有壓應力甚至更大的孔隙度遠高於1%的塗層。
在另一實施例中,就厚度為30μm或更厚的塗層而言,該外塗層表面316擁有低於約1μm的Ra
值。在某些實施例中,該外塗層表面316擁有低於0.25μm的Ra
值,並且可低至0.025μm。做為比較,電漿噴塗塗層表面通常擁有至少5μm的Ra
值,並且常蓄意粗糙化至擁有10μm至25μm的Ra
值。與電漿製程副產物會較佳地附著在粗糙腔室表面上(減少污染)的認知相反,大規模的製造研究揭露一較平滑的外塗層表面316可改善製程潔淨度。雖然未與理論結合,但推論一較平滑的表面可改善原位腔室清潔(ICC)製程的效力,減少濕式清潔的需要。在某些實施例中,也可以使外基材表面311相對平滑的方式來為該非電漿噴塗塗層製備基材310。例如,可製備該外基材表面311使其擁有低於約4μm的Ra
,這可在加工過的部件表面實現。該低粗糙度外基材表面311也與電漿噴塗方法相反,其中為了改善該噴塗塗層的附著力,一基材表面通常會被粗糙化至擁有至少4μm的表面Ra
。在某些實施例中,也可製備該基材310使該外基材表面311擁有預期Ra
(例如≧0.4μm)。因為該抗電漿塗層可均勻分佈在該外基材表面311上方,在沈積抗電漿塗層315後該塗層表面316維持該外基材表面311的原始表面Ra
。可基於該電漿腔室部件300的預期效能來判定預期Ra
,例如ESC的吸附和去吸附功能。
在本發明之一實施例中,該抗電漿塗層315係一陶瓷,包含如下主要成分:鈧(Sc)、釔(Y)、銥(Ir)、銠(Rh)、鑭系元素(例如鑭(La)、鈰(Ce)、銪(Eu)、鏑(Dy)、或鉺(Er))、或鉿(Hf)的氧化物、氮化物、硼化物、碳化物、或氟化物。一範例塗層組合物包含氧化釔做為主要成分(即“氧化釔基”)。另一範例塗層組合物包含氧化鉺作為主要成分(即“氧化鉺基”)。除了該主要成分,該抗電漿塗層315可更包含較少量的其他陶瓷,例如碳化矽(SiC)和氧化鋯(ZrO2
),以形成,例如,一基質/溶質或一超晶格。一範例氧化釔基組合物含有0.5-1.1原子百分比的碳、58-60原子百分比的氧、0-0.5原子百分比的氟、以及39-40原子百分比的釔。
在本發明之一實施例中,該抗電漿塗層315擁有一非晶微結構。第3B圖示出位於一鋁基基材310上的氟化釔基抗電漿塗層315之x光繞射(XRD)數據。如所示,僅可辨識來自該基材310的鋁峰。一非晶微結構會是有利的,因為減少可歸因於晶粒邊界處之優先蝕刻的部件表面粗糙度和污染。該非晶微結構的影響在第3C圖中更明顯,其中電漿噴塗氟化釔基塗層(“PS YF3
”)的侵蝕率約是根據本發明實施例之非晶氟化釔基塗層(“YF3
/Al-N”)的兩倍。
在另一實施例中,該抗電漿塗層315擁有一微細的晶粒結晶微結構。在一範例實施例中,該晶粒尺寸不大於0.5微米。在一進一步實施例中,該抗電漿塗層315擁有織質化結晶微結構,其具有非隨機結晶位向。該非隨機結晶位向可擁有較佳的出平面成長位向。在第3圖所示實施例中,該出平面成長位向係沿著y軸。在一此種實施例中,該較佳的出平面成長使密度最高的晶面定位在該外塗層表面316上以面向電漿。在此種實施例中,該抗電漿塗層315擁有一緊密結構,在該塗層形成期間具有沿著該密實晶面的位向堆疊的原子。例如,就一典型的面心立方(FCC)結晶結構而言,該(111)平面係經定位為出平面(y軸),而使該(111)平面形成該外塗層表面316。以此方式,該塗層材料的最高密度面會在電漿腔室使用期間暴露在電漿中。第3D圖示出一範例織質化氧化釔基抗電漿塗層的XRD數據。如所示,該(222)尖峰是顯著的,表示該緊密的原子堆疊平面(111)在使用期間會面向該電漿。第3E圖示出織質對於電漿侵蝕率的影響。如所示,一電漿噴塗氧化釔處理(“PS Y2
O3
”)擁有比該織質化處理(“IAD Y2
O3
”)高三倍以上的侵蝕率,其中“IAD”表示該薄膜係利用離子輔助沈積法形成,如在此間別處更詳細討論者。
在一實施例中,該抗電漿塗層315有相當高的電阻、低且穩定的漏電流以及相當高的崩潰電壓(VBD
)。此種性質對ESC應用而言是有利的。發現習知塗覆Al-N或Al-O的ESC表面在電漿處理腔室使用時會在分鐘等級的時間段內承受漏電流量的劇烈波動。對於此現象的研究將增加的ESC漏電流歸因於紫外線暴露。紫外線放射通常存在於所有電漿處理中。明確地說,發現該ESC在原位腔室清潔(ICC)程序期間的紫外線暴露(當該ESC通常無夾持一工作件以進行電漿處理時)大幅度增加ESC的漏電流。因為ICC一般是在接連的工作件電漿處理之間執行,在一工作件開始處理期間(緊接在ICC之後)ESC漏電流傾向於高,並且隨著工作件處理時間降低,直到移除該工作件並重複ICC為止。此ESC漏電流的變異會造成吸附和去吸附問題,最終需要更換昂貴的部件。
在該電漿腔室部件300是ESC的特定實施例中,該抗電漿塗層315減輕ESC漏電流的波動。明確地說,發現釔基塗層避免可歸因於紫外線暴露的漏電流漂移。除了減少漏電流變異,該抗電漿塗層315可提供就ESC應用而言特別有利的其他特性,例如具有實質上比一電漿噴塗塗層可能達到者更低的Ra
之能力。第3F圖示出一範例釔基ESC塗層(“PRD-Y2
O3
”)實施例,與一Al-N陶瓷對照組(“陶瓷基材”)做比較。如所示,該對照組的漏電流約比該“PRD-Y2
O3
”塗層高一個數量級。“PRD”表示該薄膜係利用電漿反應性沈積形成,如在此間別處更詳細討論者。
在進一步實施例中,該抗電漿塗層513擁有至少1000V/mil(thou)的崩潰電壓。在特定實施例中,該崩潰電壓係大於3500V/mil(thou)。做為比較,習知電漿噴塗氧化釔塗層通常擁有約750V/mil(thou)的VBD
。在此所揭示實施例的較高崩潰電壓對ESC部件而言也會是有利的。此外,該抗電漿塗層315的平滑度,如前所述般,有利地提供低ESC氦氣漏率。此外,該抗電漿塗層315減少AlFx在電漿暴露時形成在ESC表面上。減少AlFx的形成改善ESC的使用壽命,並降低電漿處理期間設置在ESC上之工作件的微粒污染。在進一步實施例中,可施加該抗電漿塗層315,如在此所述般,以在電漿暴露一段時間後翻新ESC。
第4A圖示出含有一混成塗層413的電漿腔室部件400的一部分之剖面圖。一混成塗層包含至少兩種不同的材料層。該等不同的塗層可實質上不含有該等塗層形成在其上之基材的基礎材料,或是其中之一或兩者均與該基材有同樣的化學成分,但是該等塗層擁有與該基材者不同的結晶結構。在任一情況中,該等混成塗層提供與該基材不同的效能特性。如第4A圖所示,該抗電漿塗層315係沈積在一(或一些)中間層412上,以形成該混成塗層413。該混成塗層413內的多個層容許該(等)中間層412提供一或多種優勢特性(例如高傳導性、高阻抗、紫外線保護等),而該抗電漿塗層315提供電漿抗性。或者,該混成塗層413的多個層容許該(等)中間層412提供具有一第一成分的抗電漿塗層,而該抗電漿塗層315提供具有一第二成分的抗電漿塗層(例如,一氧化釔基塗層在一氟化釔基中間層上)。
出自該抗電漿塗層315所述之任何金屬及其氧化物、氮化物、硼化物、氟化物及碳化物均可用於該(等)中間層412,取決於預期功能。也可使用其他非抗電漿材料。例如,在一實施例中,該混成塗層413包含中間層412,其係不存在於該抗電漿塗層內的元素之氧化物。在一實施例中,其中該腔室部件是ESC,該中間層412是二氧化矽,以減少工作件吸附期間的漏電流。如第3F圖所示,具有氧化釔基層位於二氧化矽層上方(“PRD H-Y2
O3
”)之範例混成塗層的陶瓷基材在所有圖示出之處理中呈現最高阻抗及最低漏電流。關於一非混成塗層之二氧化矽中間層的添加(“PRD-Y2
O3
”)說明利用一混成塗層來減少漏電流是有可能的。在一特定實施例中,該氧化釔-二氧化矽混成塗層提供0.1μA的漏電流,其中基材在20托耳的背側氦氣壓力以及施加500伏特下被吸附。
該混成塗層413的該等層可以是各種厚度,基於該中間層412的功能角色。例如,當該部件是ESC而該中間層412係用來降低漏電流時,可將一中間二氧化矽層,例如,沈積至該抗電漿塗層315厚度的四分之一和兩倍之間。在使用20μm氧化釔基抗電漿塗層的兩個範例實施例中,一混成塗層包含一5μm的中間二氧化矽層,而一第二混成塗層包含一10μm的中間二氧化矽層。發現具有較厚的二氧化矽層之實施例的漏電流顯著較低。
在第3E圖中可看出一混成塗層結構對於侵蝕率的影響。如所示,兩種混成塗層處理(“IAD H-1 Y2
O3
”和“IAD H-2 Y2
O3
”)的侵蝕率均顯著低於對照組處理(“PS Y2
O3
”和“塊材Y2
O3
”),並且可與非混成塗層處理(“IAD Y2
O3
”)相比。因此,可在具有該混成塗層413的ESC上,如第4A圖所示,實現電漿抗性及改善的功能(例如降低漏電流)兩者。一混成塗層結構也可改善該抗電漿塗層315的附著力。發現相對於單一層氧化釔基塗層,二氧化矽/氧化釔混成塗層具有較少的剝離。
具備所述抗電漿塗層實施例的組合物、表面型態、微結構和電氣性質,現在將討論形成此種塗層的方法。在一實施例中,用來沈積該抗電漿塗層315的方法使用高能粒子相互作用來提供此間先前描述之一或多種表面型態、微結構及電氣性質。該等高能粒子可包含離子、中子原子、自由基、和奈米尺寸粒子,其係來自粒子產生源,例如該等電漿反應性氣體,或來自提供該等沈積材料的材料源。該等高能粒子比習知熱噴塗法所產生的任何粒子都小,並且在特定實施例中,該等高能粒子主要是離子。就使用該混成塗層413的實施例而言,該(等)中間層412和該抗電漿塗層315兩者均可在高能粒子存在下進行沈積。使用此種沈積方法會是有利的,因為其較接近該抗電漿塗層在部件於一電漿腔室內使用期間會承受的情況。在高能粒子相互作用存在下沈積的抗電漿塗層可利用對於存在一電漿處理腔室內之類似情況更具抗性的方法形成。
第5A圖示出可應用在使用高能粒子的種種沈積方法上之沈積機制。如所示,該抗電漿塗層315係藉由沈積材料502在高能粒子503存在下積聚而形成。該等沈積材料包含原子、離子、自由基、或其混合物。該等高能粒子503會在其形成時衝擊並緊壓該抗電漿塗層315。該等高能粒子503也可以取決於結晶位向及/或微結構或表面形態中的局部不一致性之速率在其形成時濺射該抗電漿塗層315,以提供在此間別處所述的性質。應了解電漿噴塗或任何其他熱噴塗均無法提供此種製程條件。
在一實施例中,使用離子輔助沈積(IAD)來形成該抗電漿塗層315,如此間別處所述般。第5B圖示出一IAD沈積設備的概要圖。如所示,一材料源550提供一沈積材料502流,而一高能離子源555提供一高能離子503流,兩者皆在IAD製程期間衝擊在該基材310上。IAD可使用一或多種電漿或離子束來提供材料及高能離子源。也可在該抗電漿塗層的沈積期間提供反應物種。在一實施例中,該等高能離子503包含非反應物種(例如氬)或反應物種(例如氧)的至少一種。在進一步實施例中,也可在形成一抗電漿塗層期間通入例如一氧化碳及鹵素(氯、氟、溴等)的反應物種,以進一步增強選擇性除去與該抗電漿塗層的鍵結最弱之沈積材料的傾向。
利用IAD製程,可用該高能離子源555獨立於其他沈積參數控制該等高能離子503。根據該高能離子流的能量、密度和入射角,可操控該塗層的組合物、結構、結晶位向及晶粒尺寸。離子轟擊可提供獨特的製程優勢,例如但不限於,清潔基材310表面、將該等高能粒子植入該基材310內(如第5A圖所示)以及調整原子鍵結。在沈積該塗層時,可調整離子轟擊程度以提供具有在此間別處所述之優勢性質的抗電漿塗層。
在其他實施例中,利用電漿反應性沈積(PRD)來形成該抗電漿塗層315,如此間別處所述般。此種方法與IAD法類似,因為也使用高能離子或粒子,但是該高能離子源並不如IAD法般與沈積材料源或電漿源不同。轉而調整製程參數以平衡高能粒子(離子)的產生以及材料粒子(中性)。例如,可充分能量化該等電漿源產生的離子以提供能夠產生先前在此間他處所述之優勢抗電漿塗層性質之轟擊。在一此種實施例中,使用造成相對高的基材偏壓(例如100伏特或更高)之製程參數來塗佈基材,以在形成期間提供塗層適當的高能粒子轟擊。在某些PRD實施例中,選擇該基材材料以使一抗電漿塗層擁有有利的小晶粒尺寸。例如,一實施例使用一Al-N基材表面,因此成核速率很高,而縮小該抗電漿塗層的晶粒尺寸。在某些PRD實施例中,該等基材材料係浸沒在該電漿中,以利抗電漿塗層的非單方向(non-line-of-sight)成長。所形成的抗電漿塗層於是覆蓋所有基材表面。可利用一實質上等向的沈積製程來形成此種塗層,其在複雜幾何形狀上提供均勻的塗層厚度。第4B圖更示出利用IAD法(“IADH-Y2
O3
”)和PRD法(“PRDH-Y2
O3
”)兩者所沈積的二氧化矽/氧化釔混成塗層的侵蝕率間的比較。
範例IAD方法包含合併離子轟擊的沈積製程,例如在離子轟擊存在下之蒸鍍(例如活化反應性蒸鍍(ARE))以及濺鍍,以形成在此所述之抗電漿塗層。可在反應性氣體物種的存在下執行任何IAD方法,例如氧氣、氮氣、鹵素等。該反應性氣體物種讓陶瓷(例如氧化物)可從一金屬材料源(靶材)或有機金屬氣體物種形成。
範例PRD方法包含沈積製程,例如基於電漿之濺鍍及電漿輔助化學氣相沈積(PECVD),其係經調整以提供足以提供具有此間別處所述性質的塗層之粒子轟擊程度。電漿輔助蒸鍍、離子電鍍(ion plating)、離子化金屬電漿(IMP)或電漿浸沒離子製程(PIIP)。該PIIP技術,例如,使用射頻(RF)感應電漿源來提供一非單方向沈積製程,其在基材上產生堅硬且附著的塗層。在PIIP沈積中,可在抓持該基材的台座上施加一直流脈衝負偏壓,因此可從該電漿吸引正離子以轟擊一抗電漿塗層,在其於該基材上累積或成長時。任何這些方法均可在一反應性氣體物種存在下執行,例如氧氣、氮氣、鹵素等。該反應性氣體物種讓陶瓷(例如氧化物)可從一金屬材料源(靶材)或有機金屬氣體物種形成。
第6A圖之方法600示出一範例IAD或PRD方法。如所示,方法600以供應將塗佈的基材之操作605開始。該基材可以是任何先前就基材310所述者及諸如此類。在操作610,將該基材置入一真空腔室內。在操作615及620,將該基材分別暴露在一沈積材料流中以及暴露在一高能粒子流中。在特定IAD和PRD實施例中,該基材係在暴露在該高能離子流中的同時暴露在該沈積材料流中(即並存或同步)。在其他IAD實施例中,該基材係交替暴露在該沈積材料流和該高能離子流中(即依次的沈積/轟擊循環)。在另一實施例中,一PRD製程的製程條件可在該等狀態之間循環,以交替支援沈積和轟擊。在塗佈該基材後,方法600以將該已塗佈基材從該沈積腔室移出之操作630結束。在一進一步實施例中(未示出),接著可在沈積該抗電漿塗層後將該已塗佈的基材暴露在進一步處理中,例如熱退火或離子佈植。
在另一實施例中,使用一奈米粒子質流沈積法來形成先前在此間他處所述之任何抗電漿塗層。此種方法之一範例是氣膠沈積法(AD)。奈米粒子質流沈積至少在沈積至基材上的粒子尺寸方面與熱噴塗製程不同。例如,一特定氣膠沈積製程使用直徑在1奈米-1微米範圍內的粒子。奈米粒子質流沈積在所沈積的粒子係處於低溫下(未融化或軟化)這方面與熱噴塗法進一步做出區隔。第5C圖示出一範例AD設備500,包含與一真空幫浦560連接的沈積腔室550。提供一氣源565至一氣膠腔室561內的陶瓷功率566,以提供奈米粒子575至該基材310,做為通過一噴嘴567的氣膠。可操作該AD設備500以執行該奈米粒子質流沈積法600,如第6B圖所示。該奈米粒子質流沈積法650以供應一基材之操作651開始,例如基材310或諸如此類。在操作655,將該沈積腔室泵吸至適合的真空水準,並在操作675將基材暴露在一奈米粒子流中。在操作680,將該已塗佈的基材移出。
應了解上面描述旨在說明,而非限制。在閱讀並了解上面描述後,許多其他實施例對熟知技藝者而言會是顯而易見的。例如,可用其他沈積法,像溶膠-凝膠技術,來提供具有先前在此間他處所述性質的抗電漿塗層。雖然已參考特定範例實施例描述本發明,但可理解本發明並不受限於所述實施例,而可以落在附屬申請專利範圍的精神及範圍內的變異和更動來實施。據此,應將說明書及圖式視為說明意義而非限制意義。因此,本發明範圍應參考附屬專利範圍,連同此申請專利範圍所賦予的完整等效物範圍做判定。
100...習知熱噴塗法
101...原料
105...電漿炬
107...微滴
110...基材
115...塗層
120...饋入氣體
122...陰極
123...陽極噴嘴
200...電漿蝕刻系統
205...製程腔室
210...工作件
215...開口
220...陰極
221...第二溫度區
222...第一溫度區
225、226...偏壓功率射頻產生器
230...電源射頻產生器
235...電漿產生構件
245、246、247、248...氣體源
249...質流控制
251...排氣閥
255...真空幫浦堆疊
270...控制器
272...中央處理單元
273...記憶體
274...輸入/輸出電路
300、400...電漿腔室部件
310...基材
311...外基材表面
315...抗電漿塗層
316...外塗層表面
412...中間層
413...混成塗層
500...AD設備
502...沈積材料
503...高能粒子
550...材料源
555...高能離子源
560...真空幫浦
561...氣膠腔室
566...陶瓷功率
567...噴嘴
575...奈米粒子
600...方法
605-630...操作
本發明實施例係利用範例闡釋,而非限制,在附圖的圖式中,其中:
第1圖示出一種施加一塗層至一部件的習知設備;
第2圖示出可在其內運用根據本發明之一實施例之具有抗電漿塗層的部件之電漿處理腔室;
第3A圖示出根據本發明之一實施例形成在一部件表面上的抗電漿塗層的剖面圖;
第3B及3C圖分別示出根據本發明之一實施例之抗電漿塗層的表面型態及抗侵蝕性;
第3D及3E圖示出根據本發明之另一實施例之抗電漿塗層的表面型態及抗侵蝕性;
第3F圖示出根據第3A至3E圖所示實施例之抗電漿塗層的電阻特性;
第4A圖示出根據本發明之一實施例形成在一部件表面上的混成抗電漿塗層的剖面圖;
第4B圖示出利用特定方法形成的抗電漿塗層之抗侵蝕性,根據本發明之一實施例;
第5A圖示出一抗電漿塗層的沈積機制,根據本發明之一實施例;
第5B圖示出在一部件上沈積一抗電漿塗層的設備,根據本發明之一實施例;
第5C圖示出在一部件上沈積一抗電漿塗層的設備,根據本發明之一實施例;以及
第6A-6B圖示出在一部件上沈積一抗電漿塗層的方法之流程圖,根據本發明實施例。
600...方法
605-630...操作
Claims (24)
- 一種電漿處理腔室部件,其至少包含:一基材:以及一抗電漿塗層,該抗電漿塗層設置在該基材的至少一部分上方,其中該抗電漿塗層包含一非基材原生之陶瓷並具有低於1%的孔隙度,其中該陶瓷之主要成分是氧化釔(Y2 O3 ),及其中該陶瓷是具有一晶體織構之多晶,該晶體織構具備較佳地出平面(out-of-plane)的成長位向而在該抗電漿塗層之一外表面上呈現氧化釔之(111)平面。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中上述之抗電漿塗層孔隙度為0%。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中上述之抗電漿塗層之外表面具有低於1μm(微米)的算術平均粗糙度(Ra )。
- 如申請專利範圍第3項所述之電漿處理腔室部件,其中上述之部件係一靜電夾盤部件,並且其中該抗電漿塗層擁有至少1000 V/mil(伏特/密耳)的崩潰電壓。
- 如申請專利範圍第4項所述之電漿處理腔室部件,其中上述之陶瓷更包含如下之至少一者:一種選自釔、銥、 銠、和鑭系元素所組成的族群之元素的氧化物、氮化物、硼化物、碳化物、或鹵化物。
- 如申請專利範圍第5項所述之電漿處理腔室部件,其中該電漿處理腔室部件更包含一設置在該基材和該抗電漿塗層之間的中間層,其中該中間層包含用於該主要成分而選自釔、銥、銠、和鑭系元素所組成的族群之元素以外的元素之氧化物、氮化物或碳化物。
- 如申請專利範圍第6項所述之電漿處理腔室部件,其中上述之中間層包含二氧化矽。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中上述之基材係一陶瓷或鋁合金,並且該抗電漿塗層實質上無鋁,或者其中該基材係石英並且該抗電漿塗層實質上無矽。
- 一種形成如申請專利範圍第1項所述之電漿腔室部件之方法,該方法至少包含:接收該基材;以及在該基材上方形成該抗電漿塗層,在該抗電漿塗層形成時將該抗電漿塗層暴露在直徑小於約1微米的高能粒子中的情況下。
- 如申請專利範圍第9項所述之方法,其中上述之形成該抗電漿塗層更包含沈積如下之至少一者:一種選自釔、銥、銠、和鑭系元素所組成的族群之元素的氧化物、氮化物、硼化物、碳化物、或氟化物。
- 如申請專利範圍第9項所述之方法,其中上述之高能粒子是帶電的,並且該沈積方法包含鹵素或氧氣的至少一種做為一反應性氣體物種。
- 如申請專利範圍第9項所述之方法,其中上述之抗電漿塗層係利用離子輔助沈積法(IAD)或電漿反應性沈積法(PRD)沈積。
- 如申請專利範圍第9項所述之方法,其中上述之基材在該抗電漿塗層沈積在該部件基材上方的同時受電偏壓。
- 如申請專利範圍第13項所述之方法,其中上述之抗電漿塗層係利用電漿增強CVD、電漿增強蒸鍍或物理氣相沈積製程、或電漿浸沒離子製程(PIIP)進行沈積。
- 如申請專利範圍第9項所述之方法,其中上述之部件係一靜電夾盤,並且該方法更包含:在沈積該抗電漿塗層之前先在該靜電夾盤基材上沈 積一中間層,其中該中間層包含不存在於該抗電漿塗層中的元素之氧化物。
- 如申請專利範圍第15項所述之方法,其中上述之中間層包含二氧化矽。
- 如申請專利範圍第9項所述之方法,其中上述之抗電漿塗層在沈積該抗電漿塗層之後進行離子佈植。
- 一種形成如申請專利範圍第1項所述之電漿腔室部件之方法,該方法至少包含:接收該基材;以及利用一奈米粒子質流沈積製程在該基材上形成該抗電漿塗層。
- 如申請專利範圍第18項所述之方法,其中上述之奈米粒子係尺寸小於1微米的陶瓷粒子,並包含如下之至少一者:一種選自釔、銥、銠、和鑭系元素所組成的族群之元素的氧化物、氮化物、硼化物、碳化物、或鹵化物。
- 如申請專利範圍第18項所述之方法,其中上述之部件係一靜電夾盤,並且該方法更包含:在沈積該抗電漿塗層之前先在該靜電夾盤基材上沈 積一中間層,其中該中間層包含不存在於該抗電漿塗層中的元素之氧化物。
- 如申請專利範圍第20項所述之方法,其中上述之中間層包含二氧化矽。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中上面形成有該抗電漿塗層之該基材的表面具有介於0.4微米與4微米之間的算術平均粗糙度(Ra ),及其中該抗電漿塗層之表面維持該基材表面之表面Ra 。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中該部件係一靜電夾盤。
- 如申請專利範圍第1項所述之電漿處理腔室部件,其中該部件選自以下所組成的族群:製程套件、聚焦環、噴頭及上蓋。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/268,196 US8206829B2 (en) | 2008-11-10 | 2008-11-10 | Plasma resistant coatings for plasma chamber components |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201030891A TW201030891A (en) | 2010-08-16 |
TWI389248B true TWI389248B (zh) | 2013-03-11 |
Family
ID=42153555
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098138157A TWI389248B (zh) | 2008-11-10 | 2009-11-10 | 用於電漿腔室部件的抗電漿塗層 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8206829B2 (zh) |
JP (2) | JP6278584B2 (zh) |
KR (1) | KR101309716B1 (zh) |
CN (1) | CN102210196B (zh) |
TW (1) | TWI389248B (zh) |
WO (1) | WO2010054112A2 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI704843B (zh) * | 2018-04-03 | 2020-09-11 | 日商京瓷股份有限公司 | 電漿處理裝置用構件及具備其之電漿處理裝置 |
TWI714965B (zh) * | 2018-02-15 | 2021-01-01 | 日商京瓷股份有限公司 | 電漿處理裝置用構件及具備其之電漿處理裝置 |
Families Citing this family (177)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102008014800B3 (de) * | 2008-03-18 | 2009-08-20 | Federal-Mogul Burscheid Gmbh | Verfahren und Vorrichtung zur Herstellung eines dispersionsgehärteten Gegenstandes, der Carbid-Nanopartikel enthält |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
CN102691045A (zh) * | 2011-03-23 | 2012-09-26 | 鸿富锦精密工业(深圳)有限公司 | 铝或铝合金的壳体及其制造方法 |
US20130000545A1 (en) * | 2011-06-28 | 2013-01-03 | Nitride Solutions Inc. | Device and method for producing bulk single crystals |
US9238863B2 (en) | 2012-02-03 | 2016-01-19 | Tocalo Co., Ltd. | Method for blackening white fluoride spray coating, and fluoride spray coating covered member having a blackened layer on its surface |
US9034199B2 (en) | 2012-02-21 | 2015-05-19 | Applied Materials, Inc. | Ceramic article with reduced surface defect density and process for producing a ceramic article |
US9212099B2 (en) | 2012-02-22 | 2015-12-15 | Applied Materials, Inc. | Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics |
US9090046B2 (en) | 2012-04-16 | 2015-07-28 | Applied Materials, Inc. | Ceramic coated article and process for applying ceramic coating |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
CN103474579B (zh) * | 2012-06-06 | 2016-12-28 | 第一毛织株式会社 | 阻障堆栈和它的制造方法 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9604249B2 (en) | 2012-07-26 | 2017-03-28 | Applied Materials, Inc. | Innovative top-coat approach for advanced device on-wafer particle performance |
US9343289B2 (en) | 2012-07-27 | 2016-05-17 | Applied Materials, Inc. | Chemistry compatible coating material for advanced device on-wafer particle performance |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN103794445B (zh) * | 2012-10-29 | 2016-03-16 | 中微半导体设备(上海)有限公司 | 用于等离子体处理腔室的静电夹盘组件及制造方法 |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
US9358702B2 (en) | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9669653B2 (en) | 2013-03-14 | 2017-06-06 | Applied Materials, Inc. | Electrostatic chuck refurbishment |
US9887121B2 (en) | 2013-04-26 | 2018-02-06 | Applied Materials, Inc. | Protective cover for electrostatic chuck |
US9666466B2 (en) | 2013-05-07 | 2017-05-30 | Applied Materials, Inc. | Electrostatic chuck having thermally isolated zones with minimal crosstalk |
US9708713B2 (en) | 2013-05-24 | 2017-07-18 | Applied Materials, Inc. | Aerosol deposition coating for semiconductor chamber components |
US9865434B2 (en) | 2013-06-05 | 2018-01-09 | Applied Materials, Inc. | Rare-earth oxide based erosion resistant coatings for semiconductor application |
CN104241069B (zh) * | 2013-06-13 | 2016-11-23 | 中微半导体设备(上海)有限公司 | 等离子体装置内具有氧化钇包覆层的部件及其制造方法 |
US9850568B2 (en) | 2013-06-20 | 2017-12-26 | Applied Materials, Inc. | Plasma erosion resistant rare-earth oxide based thin film coatings |
US9711334B2 (en) | 2013-07-19 | 2017-07-18 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based thin film coatings on process rings |
US9583369B2 (en) * | 2013-07-20 | 2017-02-28 | Applied Materials, Inc. | Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles |
CN104347389B (zh) * | 2013-07-23 | 2017-07-21 | 中微半导体设备(上海)有限公司 | 等离子体刻蚀方法 |
US10468235B2 (en) | 2013-09-18 | 2019-11-05 | Applied Materials, Inc. | Plasma spray coating enhancement using plasma flame heat treatment |
US9440886B2 (en) | 2013-11-12 | 2016-09-13 | Applied Materials, Inc. | Rare-earth oxide based monolithic chamber material |
CN104701125A (zh) * | 2013-12-05 | 2015-06-10 | 中微半导体设备(上海)有限公司 | 气体分布板 |
US9725799B2 (en) | 2013-12-06 | 2017-08-08 | Applied Materials, Inc. | Ion beam sputtering with ion assisted deposition for coatings on chamber components |
JP2017512375A (ja) * | 2014-01-31 | 2017-05-18 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | チャンバコーティング |
US20150311043A1 (en) * | 2014-04-25 | 2015-10-29 | Applied Materials, Inc. | Chamber component with fluorinated thin film coating |
US9869013B2 (en) | 2014-04-25 | 2018-01-16 | Applied Materials, Inc. | Ion assisted deposition top coat of rare-earth oxide |
US9976211B2 (en) * | 2014-04-25 | 2018-05-22 | Applied Materials, Inc. | Plasma erosion resistant thin film coating for high temperature application |
US10730798B2 (en) | 2014-05-07 | 2020-08-04 | Applied Materials, Inc. | Slurry plasma spray of plasma resistant ceramic coating |
US10385459B2 (en) * | 2014-05-16 | 2019-08-20 | Applied Materials, Inc. | Advanced layered bulk ceramics via field assisted sintering technology |
US10196728B2 (en) | 2014-05-16 | 2019-02-05 | Applied Materials, Inc. | Plasma spray coating design using phase and stress control |
CN105088141A (zh) * | 2014-05-23 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法 |
US9613819B2 (en) * | 2014-06-06 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process chamber, method of preparing a process chamber, and method of operating a process chamber |
US11302520B2 (en) * | 2014-06-28 | 2022-04-12 | Applied Materials, Inc. | Chamber apparatus for chemical etching of dielectric materials |
US9460898B2 (en) | 2014-08-08 | 2016-10-04 | Applied Materials, Inc. | Plasma generation chamber with smooth plasma resistant coating |
KR101465640B1 (ko) * | 2014-08-08 | 2014-11-28 | 주식회사 펨빅스 | 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품 |
CN105428195B (zh) * | 2014-09-17 | 2018-07-17 | 东京毅力科创株式会社 | 等离子体处理装置用的部件和部件的制造方法 |
JP2016065302A (ja) * | 2014-09-17 | 2016-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置用の部品、及び部品の製造方法 |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US20160358749A1 (en) * | 2015-06-04 | 2016-12-08 | Lam Research Corporation | Plasma etching device with plasma etch resistant coating |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10020218B2 (en) | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
US11326253B2 (en) * | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9850573B1 (en) * | 2016-06-23 | 2017-12-26 | Applied Materials, Inc. | Non-line of sight deposition of erbium based plasma resistant ceramic coating |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
JP6315151B1 (ja) * | 2016-07-14 | 2018-04-25 | 信越化学工業株式会社 | サスペンションプラズマ溶射用スラリー、及び希土類酸フッ化物溶射膜の形成方法 |
US20180016678A1 (en) | 2016-07-15 | 2018-01-18 | Applied Materials, Inc. | Multi-layer coating with diffusion barrier layer and erosion resistant layer |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
CN210156345U (zh) * | 2016-09-13 | 2020-03-17 | 应用材料公司 | 用于处理腔室的腔室部件、用于处理腔室的屏蔽的设计膜以及处理腔室 |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
JP6984126B2 (ja) * | 2016-12-27 | 2021-12-17 | 東京エレクトロン株式会社 | ガス供給装置、プラズマ処理装置及びガス供給装置の製造方法 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
KR20180080429A (ko) * | 2017-01-04 | 2018-07-12 | 한국세라믹기술원 | 세라믹 부재의 재사용을 위한 내플라즈마 하드코팅 조성물 및 이를 이용한 세라믹 부재의 재생방법 |
KR101877017B1 (ko) * | 2017-01-09 | 2018-07-12 | 한국과학기술연구원 | 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법 |
US10186400B2 (en) | 2017-01-20 | 2019-01-22 | Applied Materials, Inc. | Multi-layer plasma resistant coating by atomic layer deposition |
CN108346611B (zh) * | 2017-01-24 | 2021-05-18 | 中微半导体设备(上海)股份有限公司 | 静电吸盘及其制作方法与等离子体处理装置 |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10975469B2 (en) | 2017-03-17 | 2021-04-13 | Applied Materials, Inc. | Plasma resistant coating of porous body by atomic layer deposition |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
WO2019026818A1 (ja) * | 2017-07-31 | 2019-02-07 | 株式会社 東芝 | 部品および半導体製造装置 |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
WO2019066113A1 (ko) * | 2017-09-29 | 2019-04-04 | 한국기계연구원 | 플렉서블 활성종 발생기 및 이의 용도 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US11279656B2 (en) | 2017-10-27 | 2022-03-22 | Applied Materials, Inc. | Nanopowders, nanoceramic materials and methods of making and use thereof |
KR102080153B1 (ko) * | 2017-11-29 | 2020-02-24 | 주식회사 싸이노스 | 습식 코팅재 조성물, 플라즈마 내성 코팅층의 제조방법, 플라즈마 공정챔버 부품 및 그 제조방법 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
EP3728692A4 (en) | 2017-12-18 | 2021-09-15 | Entegris, Inc. | CHEMICAL-RESISTANT MULTI-LAYER PAINTING APPLIED BY ATOMIC DEPOSITION |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US11047035B2 (en) | 2018-02-23 | 2021-06-29 | Applied Materials, Inc. | Protective yttria coating for semiconductor equipment parts |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
JP2019151879A (ja) * | 2018-03-01 | 2019-09-12 | 株式会社アルバック | 成膜装置 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US20190304756A1 (en) * | 2018-04-03 | 2019-10-03 | Applied Materials, Inc. | Semiconductor chamber coatings and processes |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10443126B1 (en) | 2018-04-06 | 2019-10-15 | Applied Materials, Inc. | Zone-controlled rare-earth oxide ALD and CVD coatings |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR20210008931A (ko) * | 2018-06-14 | 2021-01-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 보호 코팅을 갖는 프로세스 챔버 프로세스 키트 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US11667575B2 (en) | 2018-07-18 | 2023-06-06 | Applied Materials, Inc. | Erosion resistant metal oxide coatings |
WO2020023174A1 (en) * | 2018-07-23 | 2020-01-30 | Applied Materials, Inc. | Pre-conditioned chamber components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
TWI680895B (zh) | 2018-11-09 | 2020-01-01 | 財團法人資訊工業策進會 | 自動煞車系統與方法 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11180847B2 (en) | 2018-12-06 | 2021-11-23 | Applied Materials, Inc. | Atomic layer deposition coatings for high temperature ceramic components |
JP2020105590A (ja) * | 2018-12-27 | 2020-07-09 | キオクシア株式会社 | 基板処理装置および基板処理方法 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10858741B2 (en) | 2019-03-11 | 2020-12-08 | Applied Materials, Inc. | Plasma resistant multi-layer architecture for high aspect ratio parts |
JPWO2020208801A1 (ja) * | 2019-04-12 | 2021-05-06 | 株式会社日立ハイテク | プラズマ処理装置およびプラズマ処理装置の内部部材ならびに当該内部部材の製造方法 |
US11948779B2 (en) | 2019-04-26 | 2024-04-02 | Kyocera Corporation | Component for plasma processing apparatus and plasma processing apparatus |
KR102108419B1 (ko) * | 2019-05-28 | 2020-05-07 | 주식회사 제스코 | 정전척 제조 방법 및 정전척 재생 방법 |
TWI772910B (zh) * | 2019-09-30 | 2022-08-01 | 日商京瓷股份有限公司 | 電漿處理裝置用部材及具備其之電漿處理裝置 |
JP7357513B2 (ja) * | 2019-11-12 | 2023-10-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN112908822B (zh) * | 2019-12-04 | 2024-04-05 | 中微半导体设备(上海)股份有限公司 | 形成耐等离子体涂层的方法、零部件和等离子体处理装置 |
KR102225604B1 (ko) * | 2019-12-18 | 2021-03-10 | 피에스케이 주식회사 | 기판 처리 장치 |
KR102161704B1 (ko) * | 2020-01-21 | 2020-10-06 | 한국과학기술연구원 | 부품 불화 장치 및 방법 |
US20230220531A1 (en) | 2020-03-06 | 2023-07-13 | Tocalo Co., Ltd. | New tungsten-based thermal spray coating and material for thermal spraying to obtain it |
JP7411463B2 (ja) | 2020-03-17 | 2024-01-11 | 東京エレクトロン株式会社 | 検査方法及び検査装置 |
CN113539771B (zh) * | 2020-04-16 | 2024-04-12 | 中微半导体设备(上海)股份有限公司 | 零部件、其表面形成涂层的方法和等离子体反应装置 |
US11881385B2 (en) * | 2020-04-24 | 2024-01-23 | Applied Materials, Inc. | Methods and apparatus for reducing defects in preclean chambers |
US20230207278A1 (en) * | 2020-05-28 | 2023-06-29 | Lam Research Corporation | Atomic layer deposition coated powder coating for processing chamber components |
CN114068276A (zh) * | 2020-08-05 | 2022-02-18 | 中微半导体设备(上海)股份有限公司 | 半导体零部件、等离子体反应装置和涂层形成方法 |
KR102608654B1 (ko) * | 2020-08-11 | 2023-12-04 | 한솔아이원스 주식회사 | 내플라즈마 유리 및 그 제조 방법 |
JP2023551725A (ja) | 2020-12-02 | 2023-12-12 | エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーン | 静電チャック用の改善されたプラズマ耐性コーティング |
US20220181124A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Erosion resistant metal fluoride coatings, methods of preparation and methods of use thereof |
CN114649180A (zh) * | 2020-12-21 | 2022-06-21 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置零部件的处理方法、零部件及处理装置 |
KR102603741B1 (ko) | 2021-10-21 | 2023-11-17 | 주식회사 원익큐엔씨 | 불화 대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품 |
KR20230102468A (ko) | 2021-12-30 | 2023-07-07 | 주식회사 원익큐엔씨 | 오염입자 발생 저감을 극대화 하는 반도체 장비 불화대상물의 불화 가공 방법 및 이에 의해 불화 가공된 부품 |
KR102522277B1 (ko) | 2022-03-24 | 2023-04-17 | 주식회사 펨빅스 | 내플라즈마 2층 코팅막 구조물 및 이의 제조 방법 |
JP2023170163A (ja) * | 2022-05-18 | 2023-12-01 | 株式会社フェローテックマテリアルテクノロジーズ | ウエハ支持体 |
Family Cites Families (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5294489A (en) * | 1992-04-02 | 1994-03-15 | General Electric Company | Protective coating with reactive interlayer on reinforcement in silicon carbide composite |
DE69433836D1 (de) | 1993-12-28 | 2004-07-15 | Applied Materials Inc | Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten |
JPH08146208A (ja) * | 1994-11-25 | 1996-06-07 | Matsushita Electric Works Ltd | 反射鏡およびその製造方法 |
US5540959A (en) | 1995-02-21 | 1996-07-30 | Howard J. Greenwald | Process for preparing a coated substrate |
GB9617267D0 (en) * | 1996-08-16 | 1996-09-25 | Rolls Royce Plc | A metallic article having a thermal barrier coating and a method of application thereof |
US5869141A (en) | 1996-11-04 | 1999-02-09 | The Boeing Company | Surface pretreatment for sol coating of metals |
GB9717245D0 (en) * | 1997-08-15 | 1997-10-22 | Rolls Royce Plc | A metallic article having a thermal barrier coaring and a method of application thereof |
JPH1161404A (ja) * | 1997-08-21 | 1999-03-05 | Hitachi Ltd | 静電吸着装置及びその製造方法並びにそれを用いた加工装置 |
GB9800511D0 (en) * | 1998-01-13 | 1998-03-11 | Rolls Royce Plc | A metallic article having a thermal barrier coating and a method of application thereof |
GB9811456D0 (en) * | 1998-05-29 | 1998-07-29 | Rolls Royce Plc | A metallic article having a thermal barrier coating and a method of application thereof |
JP3850605B2 (ja) * | 1999-10-29 | 2006-11-29 | 文雄 岡田 | 固相エキシマデバイス及びその製造方法 |
US6368899B1 (en) * | 2000-03-08 | 2002-04-09 | Maxwell Electronic Components Group, Inc. | Electronic device packaging |
JP2002356387A (ja) * | 2001-03-30 | 2002-12-13 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材 |
JP2002306957A (ja) | 2001-04-11 | 2002-10-22 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
US6502304B2 (en) * | 2001-05-15 | 2003-01-07 | General Electric Company | Turbine airfoil process sequencing for optimized tip performance |
US20030029563A1 (en) * | 2001-08-10 | 2003-02-13 | Applied Materials, Inc. | Corrosion resistant coating for semiconductor processing chamber |
JP2003146751A (ja) | 2001-11-20 | 2003-05-21 | Toshiba Ceramics Co Ltd | 耐プラズマ性部材及びその製造方法 |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US8067067B2 (en) | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US6776873B1 (en) | 2002-02-14 | 2004-08-17 | Jennifer Y Sun | Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers |
US6789498B2 (en) | 2002-02-27 | 2004-09-14 | Applied Materials, Inc. | Elements having erosion resistance |
US6780787B2 (en) | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
GB0206930D0 (en) * | 2002-03-23 | 2002-05-08 | Univ Durham | Method and apparatus for the formation of hydrophobic surfaces |
US7311797B2 (en) | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
US20040121146A1 (en) * | 2002-12-20 | 2004-06-24 | Xiao-Ming He | Composite barrier films and method |
US20050112289A1 (en) | 2003-03-03 | 2005-05-26 | Trickett Douglas M. | Method for coating internal surface of plasma processing chamber |
JP4031732B2 (ja) * | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US6911403B2 (en) | 2003-08-20 | 2005-06-28 | Applied Materials, Inc. | Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics |
US7220497B2 (en) | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
JP4606121B2 (ja) * | 2004-01-29 | 2011-01-05 | 京セラ株式会社 | 耐食膜積層耐食性部材およびその製造方法 |
JP2005217350A (ja) * | 2004-02-02 | 2005-08-11 | Toto Ltd | 耐プラズマ性を有する半導体製造装置用部材およびその作製方法 |
US7119032B2 (en) | 2004-08-23 | 2006-10-10 | Air Products And Chemicals, Inc. | Method to protect internal components of semiconductor processing equipment using layered superlattice materials |
JP4585260B2 (ja) * | 2004-09-30 | 2010-11-24 | 株式会社東芝 | 半導体製造装置用部品及び半導体製造装置 |
US7544398B1 (en) * | 2005-04-26 | 2009-06-09 | The Regents Of The Univesity Of California | Controlled nano-doping of ultra thin films |
US20090194233A1 (en) * | 2005-06-23 | 2009-08-06 | Tokyo Electron Limited | Component for semicondutor processing apparatus and manufacturing method thereof |
EP2071049A1 (en) * | 2005-07-29 | 2009-06-17 | Tocalo Co. Ltd. | Y2O3 Spray-coated member and production method thereof |
JP4372748B2 (ja) * | 2005-12-16 | 2009-11-25 | トーカロ株式会社 | 半導体製造装置用部材 |
JP5031259B2 (ja) * | 2006-04-27 | 2012-09-19 | 京セラ株式会社 | 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置 |
JP2007326744A (ja) * | 2006-06-08 | 2007-12-20 | Covalent Materials Corp | 耐プラズマ性セラミックス部材 |
JP5245268B2 (ja) * | 2006-06-16 | 2013-07-24 | 東京エレクトロン株式会社 | 載置台構造及び熱処理装置 |
JP4992389B2 (ja) * | 2006-11-06 | 2012-08-08 | 東京エレクトロン株式会社 | 載置装置、プラズマ処理装置及びプラズマ処理方法 |
JP2008266724A (ja) * | 2007-04-20 | 2008-11-06 | Shin Etsu Chem Co Ltd | 溶射被膜の表面処理方法及び表面処理された溶射被膜 |
US20090214825A1 (en) * | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
-
2008
- 2008-11-10 US US12/268,196 patent/US8206829B2/en active Active
-
2009
- 2009-11-05 JP JP2011535670A patent/JP6278584B2/ja active Active
- 2009-11-05 KR KR1020117013214A patent/KR101309716B1/ko active IP Right Grant
- 2009-11-05 CN CN200980144948.1A patent/CN102210196B/zh not_active Expired - Fee Related
- 2009-11-05 WO PCT/US2009/063437 patent/WO2010054112A2/en active Application Filing
- 2009-11-10 TW TW098138157A patent/TWI389248B/zh active
-
2015
- 2015-11-07 JP JP2015219004A patent/JP6711592B2/ja active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI714965B (zh) * | 2018-02-15 | 2021-01-01 | 日商京瓷股份有限公司 | 電漿處理裝置用構件及具備其之電漿處理裝置 |
TWI704843B (zh) * | 2018-04-03 | 2020-09-11 | 日商京瓷股份有限公司 | 電漿處理裝置用構件及具備其之電漿處理裝置 |
Also Published As
Publication number | Publication date |
---|---|
JP6711592B2 (ja) | 2020-06-17 |
US8206829B2 (en) | 2012-06-26 |
WO2010054112A3 (en) | 2010-07-29 |
KR20110091759A (ko) | 2011-08-12 |
CN102210196A (zh) | 2011-10-05 |
JP2016076711A (ja) | 2016-05-12 |
TW201030891A (en) | 2010-08-16 |
CN102210196B (zh) | 2014-06-25 |
WO2010054112A2 (en) | 2010-05-14 |
JP6278584B2 (ja) | 2018-02-14 |
JP2012508467A (ja) | 2012-04-05 |
US20100119843A1 (en) | 2010-05-13 |
KR101309716B1 (ko) | 2013-09-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI389248B (zh) | 用於電漿腔室部件的抗電漿塗層 | |
US20180108517A1 (en) | Coating architecture for plasma sprayed chamber components | |
CN105247662B (zh) | 抗等离子体腐蚀的稀土氧化物基薄膜涂层 | |
JP6630345B2 (ja) | 希土類酸化物のイオンアシスト蒸着トップコート | |
JP2020097522A (ja) | 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着 | |
US20130288037A1 (en) | Plasma spray coating process enhancement for critical chamber components | |
TW201544484A (zh) | 耐電漿陶瓷塗層的漿料電漿噴塗 | |
US10612121B2 (en) | Plasma resistant coating with tailorable coefficient of thermal expansion | |
TW201417211A (zh) | 用於等離子體處理腔室的靜電夾盤元件及製造方法 | |
CN111279455A (zh) | 耐等离子性涂膜的制造方法及据此形成的耐等离子性构件 | |
JP2023521164A (ja) | 酸化イットリウム系コーティング組成物 | |
US20230051800A1 (en) | Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications | |
TW202216637A (zh) | 用於半導體處理腔室之匹配化學性的元件本體及塗層 | |
TW202344316A (zh) | 用於製造設備部件之抗電漿防電弧塗層 |