KR102294960B1 - 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅 - Google Patents

플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅 Download PDF

Info

Publication number
KR102294960B1
KR102294960B1 KR1020167001522A KR20167001522A KR102294960B1 KR 102294960 B1 KR102294960 B1 KR 102294960B1 KR 1020167001522 A KR1020167001522 A KR 1020167001522A KR 20167001522 A KR20167001522 A KR 20167001522A KR 102294960 B1 KR102294960 B1 KR 102294960B1
Authority
KR
South Korea
Prior art keywords
protective layer
ceramic
thin film
passivation layer
film protective
Prior art date
Application number
KR1020167001522A
Other languages
English (en)
Other versions
KR20160022361A (ko
Inventor
제니퍼 와이. 썬
비라자 피. 카넌고
바히드 피로우즈도르
톰 초
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217026783A priority Critical patent/KR102422715B1/ko
Publication of KR20160022361A publication Critical patent/KR20160022361A/ko
Application granted granted Critical
Publication of KR102294960B1 publication Critical patent/KR102294960B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Abstract

물품은 바디, 및 바디의 적어도 하나의 표면 상의 적어도 하나의 보호층을 포함한다. 적어도 하나의 보호층은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택된 세라믹을 포함하는 대략 20 마이크론 미만의 두께를 갖는 박막이다.

Description

플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅{PLASMA EROSION RESISTANT RARE-EARTH OXIDE BASED THIN FILM COATINGS}
본 발명의 구체예들은 일반적으로 박막 플라즈마 내성 보호층을 갖는 챔버 부품들에 관한 것이다.
반도체 산업에서, 디바이스들은 점차적으로 감소되고 있는 크기를 갖는 구조를 생산하는 다수의 제작 공정들에 의해 제작된다. 일부 제작 공정들, 예를 들어 플라즈마 에치 및 플라즈마 세정 공정들은 기재를 에칭시키거나 세정하기 위해 고속 플라즈마 스트림에 기재를 노출시킨다. 플라즈마는 매우 부식성일 수 있고, 가공 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다.
본 발명은 유사한 참조기호들이 유사한 구성요소들을 지시하는 첨부된 도면에서의 도들에서, 일 예로서 예시된 것으로서, 한정적인 것으로 예시된 것은 아니다. 본 명세서에서 "일 구체예"에 대한 상이한 언급들이 반드시 동일한 구체예를 언급하는 것이 아니며 이러한 언급들은 적어도 하나를 의미한다는 것이 주지되어야 한다.
도 1은 가공 챔버의 일 구체예의 단면도를 도시한 것이다.
도 2a 내지 도 5는 하나의 표면 상에 보호층 스택들을 갖는 일 예의 물품들의 측단면도들을 도시한 것이다.
도 6은 물품 위에 하나 이상의 보호층들을 형성시키는 공정의 일 구체예를 예시한 것이다.
도 7a는 이온 보조 증착(IAD)과 같은 고에너지 입자들(energetic particles)을 사용하는 다양한 증착 기술들에 적용 가능한 증착 메카니즘을 도시한 것이다.
도 7b는 IAD 증착 장비의 개략도를 도시한 것이다.
도 8 및 도 9는 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 침식률들을 예시한 것이다.
도 10 및 도 11은 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 거칠기 프로파일들을 예시한 것이다.
본 발명의 구체예들은 물품의 하나 이상의 표면들 상에 박막 보호층을 갖는 가공 챔버를 위한 챔버 부품과 같은 물품을 제공한다. 보호층은 대략 20 마이크론 미만의 두께를 가질 수 있고, 물품의 보호를 위한 플라즈마 내부식성을 제공할 수 있다. 보호층은 이온 보조 증착(IAD) 또는 물리적 증기 증착(PAD)을 사용하여 물품 상에 형성될 수 있다. 박막 보호층은 두꺼운 막 보호층 위에 톱 코트(top coat)로서 사용될 수 있는데, 이는 예를 들어 플라즈마 분무 기술들을 사용하여 형성될 수 있다. 일부 구체예들에서, 둘 이상의 박막 보호층들을 포함하는 박막 보호층 스택이 물품 상에 형성된다. 이러한 구체예들에서, 각 박막 보호층은 IAD 또는 PVD에 의해 형성될 수 있고, 두께가 대략 20 마이크론 이하일 수 있다. 박막 보호층은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다. 박막 보호층에 의해 제공된 개선된 내침식성은 유지보수 및 제작 비용을 감소시키면서, 물품의 사용 수명을 개선시킬 수 있다.
도 1은 본 발명의 구체예들에 따라 박막 보호층으로 코팅된 하나 이상의 챔버 부품들을 갖는 반도체 가공 챔버(100)의 단면도이다. 가공 챔버(100)는 부식성 플라즈마 환경이 제공되는 공정들을 위해 사용될 수 있다. 예를 들어, 가공 챔버(100)는 플라즈마 에칭기 또는 플라즈마 에치 반응기, 플라즈마 세척기, 등을 위한 챔버일 수 있다. 박막 보호층을 포함할 수 있는 챔버 부품들의 예들은 기재 지지 어셈블리(148), 정전 척(ESC)(150), 고리(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버 벽, 베이스, 가스 분배 플레이트, 샤워헤드, 라이너, 라이너 키트, 차폐물(shield), 플라즈마 스크린, 흐름 평형기(flow equalizer), 냉각 베이스, 챔버 뷰포트(chamber viewport), 챔버 뚜껑, 등을 포함한다. 박막 보호층은 하기에 보다 상세히 기술되는데, 이는 Y3Al5O12 (YAG), Y4Al2O9 (YAM), Er2O3, Gd2O3, Er3Al5O12 (EAG), Gd3Al5O12 (GAG) 및/또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함할 수 있다. 박막 보호층은 또한, Y2O3 및 Y2O3 기반 세라믹들, Er2O3 기반 세라믹들, Gd2O3 기반 세라믹들, 및 다른 희토류 옥사이드들을 포함할 수 있다.
박막 보호층은 옥사이드 기반 세라믹들, 니트라이드 기반 세라믹들 및 카바이드 기반 세라믹들을 포함하는 상이한 세라믹들 위에 적용된 IAD 또는 PVD 코팅일 수 있다. 옥사이드 기반 세라믹들의 예는 SiO2 (석영), Al2O3, Y2O3, 등을 포함한다. 카바이드 기반 세라믹들의 예는 SiC, Si-SiC, 등을 포함한다. 니트라이드 기반 세라믹들의 예는 AlN, SiN, 등을 포함한다. IAD 또는 PVD 코팅 타겟 물질은 소성된 분말들, 예비성형된 덩어리들 (예를 들어, 그린 바디 프레싱, 핫 프레싱, 등에 의해 형성됨), 소결된 바디 (예를 들어, 50 내지 100% 밀도를 가짐), 기계처리된 바디 (예를 들어, 세라믹, 금속, 또는 금속 합금일 수 있음), 또는 사전-용융물 (100% 밀도)일 수 있다. 기재는 또한 Al, Ti, 스테인레스강, 또는 양극산화 Al과 같은 금속 기재들일 수 있다.
예시된 바와 같이, 기재 지지 어셈블리(148)는 일 구체예에 따르면, 박막 보호층(136)을 갖는다. 그러나, 상기에 나열된 것과 같은 임의의 다른 챔버 부품들이 또한 박막 보호층을 포함할 수 있는 것으로 이해되어야 한다.
일 구체예에서, 가공 챔버(100)는 내부 용적(106)을 둘러싸는 샤워헤드(130) 및 챔버 바디(102)를 포함한다. 샤워헤드는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트를 포함할 수 있다. 대안적으로, 샤워헤드(130)는 일부 구체예들에서 뚜껑 및 노즐에 의해 대체될 수 있다. 챔버 바디(102)는 알루미늄, 스테인레스강 또는 다른 적합한 물질로부터 제작될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108) 및 바닥(110)을 포함한다. 임의 샤워헤드(130)(또는 뚜껑 및/또는 노즐), 측벽들(108) 및/또는 바닥(110)은 박막 보호층을 포함할 수 있다.
외부 라이너(116)는 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하게 배치될 수 있다. 외부 라이너(116)는 박막 보호층으로 제작되고/거나 이로 코팅될 수 있다. 일 구체예에서, 외부 라이너(116)는 알루미늄 옥사이드로부터 제작된다.
배기 포트(126)는 챔버 바디(102)에서 한정될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 연결시킬 수 있다. 펌프 시스템(128)은 가공 챔버(100)의 내부 용적(106)의 압력을 배기시키고 조절하기 위해 사용되는 하나 이상의 펌프들 및 쓰로틀 밸브들을 포함할 수 있다.
샤워헤드(130)는 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 샤워헤드(130)(또는 뚜껑)는 가공 챔버(100)의 내부 용적(106)에 접근할 수 있도록 개방될 수 있고, 폐쇄되어 있는 동안 가공 챔버(100)를 위한 시일(seal)을 제공할 수 있다. 가스 판넬(158)은 공정 및/또는 세정 가스들을 샤워헤드(130) 또는 뚜껑 및 노즐을 통해 내부 용적(106)에 제공하기 위해 가공 챔버(100)에 연결될 수 있다. 샤워헤드(130)는 유전체 에치(유전체 물질의 에칭)를 위해 사용되는 가공 챔버들를 위해 사용된다. 샤워헤드(130)는 GDP(133) 전반에 걸쳐 다수의 가스 전달 홀들(132)을 갖는 가스 분배 플레이트(GDP)(133)를 포함한다. 샤워헤드(130)는 알루미늄 베이스 또는 양극 산화 알루미늄 베이스에 결합된 GDP(133)를 포함할 수 있다. GDP(133)는 Si 또는 SiC로부터 제조될 수 있거나, Y2O3, Al2O3, YAG, 등과 같은 세라믹일 수 있다.
전도체 에치(전도성 물질의 에칭)를 위해 사용되는 가공 챔버들에 대하여, 샤워헤드 보다 오히려 뚜껑이 사용될 수 있다. 뚜껑은 뚜껑의 중심 홀에 끼워지는 중심 노즐을 포함할 수 있다. 뚜껑은 세라믹, 예를 들어 Al2O3, Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다. 노즐은 또한, 세라믹, 예를 들어 Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다. 뚜껑, 샤워헤드 베이스(104), GDP(133) 및/또는 노즐은 박막 보호층으로 코팅될 수 있다.
가공 챔버(100)에서 기재들을 가공하기 위해 사용될 수 있는 가공 가스들의 예들은 할로겐-함유 가스들, 예를 들어 그중에서도, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 예를 들어 O2, 또는 N2O를 포함한다. 운반 가스들의 예는 N2, He, Ar, 및 공정 가스들에 대해 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 기재 지지 어셈블리(148)는 가공 챔버(100)의 내부 용적(106)에서 샤워헤드(130) 또는 뚜껑 아래에 배치된다. 기재 지지 어셈블리(148)는 가공 동안 기재(144)를 유지시킨다. 고리(146)(예를 들어, 단일 고리)는 정전 척(150)의 일부를 덮을 수 있고, 가공 동안 덮혀진 부분이 플라즈마에 노출되는 것을 방지할 수 있다. 고리(146)는 일 구체예에서 실리콘 또는 석영일 수 있다.
내부 라이너(118)는 기재 지지 어셈블리(148)의 주변부(periphery) 상에 코팅될 수 있다. 내부 라이너(118)는 할로겐-함유 가스 내성 물질, 예를 들어, 외부 라이너(116)에 관한여 논의된 것들일 수 있다. 일 구체예에서, 내부 라이너(118)는 외부 라이너(116)와 동일한 물질들로부터 제작될 수 있다. 추가적으로, 내부 라이너(118)는 박막 보호층으로 코팅될 수 있다.
일 구체예에서, 기재 지지 어셈블리(148)는 받침대(152)를 지지하는 마운팅 플레이트(162), 및 정전 척(150)을 포함한다. 정전 척(150)은 열전도성 베이스(164) 및 일 구체예에서 실리콘 접합부일 수 있는 접합부(138)에 의해 열전도성 베이스에 결합된 정전 퍽(166)을 추가로 포함한다. 정전 퍽(166)의 상부 표면은 예시된 구체예에서 박막 보호층(136)에 의해 덮혀진다. 일 구체예에서, 박막 보호층(136)은 정전 퍽(166)의 상부 표면 상에 배치된다. 다른 구체예에서, 박막 보호층(136)은 열전도성 베이스(164) 및 정전 퍽(166)의 외부 및 측면 주변부를 포함하는 정전 척(150)의 전체 노출 표면 상에 배치된다. 마운팅 플레이트(162)는 챔버 바디(102)의 바닥(110)에 연결되고, 유틸리티들(utility)(예를 들어, 유체들, 전선들, 센서 리드들, 등)을 열전도성 베이스(164) 및 정전 퍽(166)으로 전달하기 위한 통로들을 포함한다.
열전도성 베이스(164) 및/또는 정전 퍽(166)은 기재 지지 어셈블리(148)의 측면 온도 프로파일을 제어하기 위해 하나 이상의 임의적 엠베딩된 가열 부재들(176), 엠베딩된 단열기들(174) 및/또는 도관들(168, 170)을 포함할 수 있다. 도관들(168, 170)은 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 공급원(172)에 유체적으로 연결될 수 있다. 엠베딩된 단열기(174)는 일 구체예에서 도관들(168, 170) 사이에 배치될 수 있다. 가열기(176)는 가열기 전력 공급원(178)에 의해 조절된다. 도관들(168, 170) 및 가열기(176)는 열전도성 베이스(164)의 온도를 제어하기 위해 사용되어, 정전 퍽(166) 및 가공될 기재들(예를 들어, 웨이퍼)(144)을 가열시키고/거나 냉각시킬 수 있다. 정전 퍽(166) 및 열전도성 베이스(164)의 온도는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있고, 이는 제어기(195)를 이용하여 모니터링될 수 있다.
정전 퍽(166)은 퍽(166) 및/또는 박막 보호층(136)의 상부 표면에 형성될 수 있는, 그루브들, 메사들 및 다른 표면 피쳐들과 같은 다수의 가스 통로들을 추가로 포함할 수 있다. 가스 통로들은 퍽(166)에 천공된 홀들을 통해 He와 같은 열전달 (또는 배면) 가스의 공급원에 유체적으로 연결될 수 있다. 작동 시에, 배면 가스는 정전 퍽(166)과 기재(144) 간의 열전달을 향상시키기 위해 가스 통로들에 조절된 압력으로 제공될 수 있다.
정전 퍽(166)은 척킹 전력원(chucking power source; 182)에 의해 제어된 적어도 하나의 클램핑 전극(180)을 포함한다. 전극(180)(또는 퍽(166) 또는 베이스(164)에 배치된 다른 전극)은 가공 챔버(100) 내에 공정 가스 및/또는 다른 가스로부터 형성된 플라즈마를 유지시키기 위해 매칭 회로(188)를 통해 하나 이상의 RF 전원(184)에 추가로 연결될 수 있다. 전원들(184, 186)은 일반적으로 약 50 kHz 내지 약 3 GHz의 주파수 및 약 10,000 와트 이하의 출력을 갖는 RF 신호를 형성시킬 수 있다.
도 2 내지 도 5는 하나 이상의 박막 보호층들에 의해 덮혀진 물품들(예를 들어, 챔버 부품들)의 측단면도들을 예시한 것이다. 도 2a를 참조로 하여, 물품(200)의 베이스 또는 바디(205)의 적어도 일부는 박막 보호층(208)에 의해 코팅된다. 물품(200)은 챔버 부품, 예를 들어 기재 지지 어셈블리, 정전 척(ESC), 고리(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버벽, 베이스, 가스 분배 플레이트 또는 샤워헤드, 라이너, 라이너 키트, 차폐물, 플라즈마 스크린, 흐름 평형기, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 등일 수 있다. 물품(200)의 바디(205)는 금속, 세라믹, 금속-세라믹 복합물, 폴리머, 또는 폴리머-세라믹 복합물일 수 있다.
다양한 챔버 부품들은 상이한 물질들로 이루어진다. 예를 들어, 정전 척은 세라믹, 예를 들어 Al2O3 (알루미나), AlN (알루미늄 니트라이드), TiO (티탄 옥사이드), TiN (티탄 니트라이드) 또는 양극 산화 알루미늄 베이스에 결합된 SiC (실리콘 카바이드)로 이루어질 수 있다. Al2O3, AlN 및 양극 산화 알루미늄은 불량한 플라즈마 내침식성을 갖는다. 불소 화학물질 및/또는 환원 화학물질을 갖는 플라즈마 환경에 노출될 때, 정전 척의 정전 퍽은 분해된 웨이퍼 척킹, 증가된 He 누출율, 웨이퍼 전면 및 후면 입자 형성 및 약 50 무선주파수 시간(RFHr)의 가공 후에 온-웨이퍼 금속 오염을 나타낼 수 있다. 무선 주파수 시간은 가공의 시간이다.
전도체 에치 공정들을 위해 사용되는 플라즈마 에칭기를 위한 뚜껑은 Al2O3과 같은 소결된 세라믹일 수 있는데, Al2O3가 높은 휨 강도 및 높은 열전도도를 갖기 때문이다. 그러나, 불소 화학물질에 노출된 Al2O3은 AlF 입자들, 뿐만 아니라 웨이퍼 상의 알루미늄 금속 오염을 형성시킨다. 일부 챔버 뚜껑들은 입자 생성 및 금속 오염을 최소화하고 뚜껑의 수명을 지연시키기 위해 플라즈마 대향 측면 상에 두꺼운 막 보호층을 갖는다. 그러나, 대부분의 두꺼운 막 코팅 기술들은 긴 리드 시간(lead time)을 갖는다. 추가적으로, 대부분의 두꺼운 막 코팅 기술들에 대하여, 특별한 표면 제조는 코팅을 수용하기 위해 코팅될 물품(예를 들어, 뚜껑)을 제조하기 위해 수행된다. 이러한 긴 리드 시간 및 코팅 제조 단계들은 비용을 증가시키고 생산성을 감소시킬 수 있을 뿐만 아니라 재연마(refurbishment)를 억제할 수 있다. 추가적으로, 대부분의 두꺼운 막 코팅들은 온-웨이퍼 결함 성능을 떨어뜨릴 수 있는 고유 크랙들 및 기공들을 갖는다.
공정 키트 고리 및 단일 고리는 다른 챔버 부품들을 시일링하고/거나 보호하기 위해 사용되고, 통상적으로 석영 또는 실리콘으로부터 제작된다. 이러한 고리들은 균일한 플라즈마 밀도(및 이에 따라 균일한 에칭)를 확보하기 위해 지지 기재(예를 들어, 웨이퍼) 둘레에 배치될 수 있다. 그러나, 석영 및 실리콘은 다양한 에치 화학물질들(예를 들어, 플라즈마 에치 화학물질들) 하에서 매우 높은 침식률을 갖는다. 추가적으로, 이러한 고리들은 플라즈마 화학물질들에 노출될 때 입자 오염을 야기시킬 수 있다. 공정 키트 고리 및 단일 고리는 또한 YAG 및 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드와 같은 소결된 세라믹들로 이루어질 수 있다.
유전체 에치 공정들을 수행하기 위해 사용되는 에칭기(etcher)를 위한 샤워헤드는 통상적으로 SiC 면판(faceplate)에 결합된 양극 산화 알루미늄으로 제조된다. 이러한 샤워헤드가 불소를 포함한 플라즈마 화학물질에 노출될 때, AlF는 양극 산화 알루미늄 베이스와의 플라즈마 상호작용으로 인해 형성할 수 있다. 추가적으로, 양극 산화 알루미늄 베이스의 높은 침식률은 아싱(arcing)을 야기시키고, 궁극적으로 샤워헤드에 대한 세정 간의 중간 시간을 감소시킬 수 있다.
챔버 뷰포트(또는 종점 윈도우로서 공지됨)는 통상적으로 석영 또는 사파이어로 이루어진 투명한 부품이다. 다양한 광학 센서들은 뷰포트에 의해 보호될 수 있고, 뷰포트를 통해 광학 센서 판독을 수행할 수 있다. 추가적으로, 뷰포트는 사용자가 웨이퍼를 가공 동안 시각적으로 검사하거나 관찰할 수 있게 한다. 석영 및 사파이어 둘 모두는 불량한 플라즈마 내침식성을 갖는다. 플라즈마 화학물질이 뷰포트를 침식시키고 조면화시키기 때문에, 뷰포트의 광학적 성질들이 변한다. 예를 들어, 뷰포트는 흐려지게 될 수 있고/거나 뷰포트를 통과하는 광학 신호가 왜곡될 수 있다. 이는 정확한 판독들을 수집하는 광학 센서들의 능력을 손상시킬 수 있다. 그러나, 두꺼운 막 보호층들은 이러한 코팅들이 뷰포트를 가로막을 수 있기 때문에 뷰포트 상에 사용하기에 적절치 않을 수 있다.
실시예들에는 바로 위에 기술된 몇 가지 챔버 부품들을 제공하는데, 이의 성능은 본원의 구체예들에 기술된 바와 같이 박막 보호층의 사용에 의해 개선될 수 있다.
다시 도 2a를 참조로 하여, 물품(200)의 바디(205)는 도 2a에 예시된 메사(mesa)와 같은, 하나 이상의 표면 피쳐들을 포함할 수 있다. 정전 척에 대하여, 표면 피쳐들은 메사들, 시일링 밴드들, 가스 채널들, 헬륨 홀들, 등을 포함할 수 있다. 샤워헤드에 대하여, 표면 피쳐들은 결합선, 가스 분배를 위한 수 백개 또는 수 천개의 홀들, 가스 분배 홀들 둘레의 디보트(divot)들 또는 범프(bump)들, 등을 포함할 수 있다. 다른 챔버 부품들은 다른 표면 피쳐들을 가질 수 있다.
바디(205) 상에 형성된 박막 보호층(208)은 바디(205)의 표면 피쳐들에 정합할 수 있다. 도시된 바와 같이, 박막 보호층(208)은 바디(205)의 상부 표면의 상대적 형상을 유지한다(예를 들어, 메사의 형상들을 드러낸다). 추가적으로, 박막 코팅은 샤워헤드에서 홀들 또는 정전 척에서 He 홀들을 막지 않기 위하여 충분히 얇을 수 있다. 일 구체예에서, 박막 보호층(208)은 약 20 마이크론 미만의 두께를 갖는다. 추가 구체예에서, 박막 보호층은 약 0.5 마이크론 내지 약 7 마이크론의 두께를 갖는다.
박막 보호층(208)은 이온 보조 증착(IAD) 공정 또는 물리적 증기 증착(PVD) 공정을 이용하여 물품(200)의 바디(205) 상에 형성될 수 있는 증착된 세라믹 층이다. 수행될 수 있는 일 예 IAD 공정은 전자빔 이온 보조 증착(EB-IAD)이다. IAD 또는 PVD 증착된 박막 보호층(208)은 비교적 낮은 막 응력을 가질 수 있다(예를 들어, 플라즈마 분무 또는 스퍼터링에 의해 야기된 막 응력과 비교함). 비교적 낮은 막 응력은 바디(205)의 하부 표면을 12인치 직경을 갖는 바디에 대해 전체 바디에 걸쳐 약 50 마이크론 미만의 곡률을 갖는 매우 평평하게 되게 할 수 있다. IAD 또는 PVD 증착된 박막 보호층(208)은 추가적으로 일부 구체예들에서 1% 미만, 및 약 0.1% 미만인 공극률을 가질 수 있다. 이에 따라, IAD 또는 PVD 증착된 보호층은 조밀한 구조로서, 이는 챔버 부품 상에 적용하기 위해 성능 잇점들을 가질 수 있다. 추가적으로, IAD 또는 PVD 증착된 보호층(208)은 바디(205)의 상부 표면을 1차 조면화시키거나 다른 시간 소비 표면 제조 단계들을 수행하지 않으면서 증착될 수 있다. 바디를 조면화시키는 것이 바디(205)의 파괴 전압을 감소시킬 수 있기 때문에, 바디(205)를 1차 조면화시키지 않으면서 박막 보호층(208)을 적용하는 능력은 일부 적용들(예를 들어, 정전 척)에 대하여 유익할 수 있다.
박막 보호층(208)을 형성시키기 위해 사용될 수 있는 세라믹들의 예들은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드(Y2O3-ZrO2 고용체)을 포함한다. 다른 Er 기반 및/또는 Gd 기반 플라즈마 내성 희토류 옥사이드들은 또한 박막 보호층(208)을 형성시키기 위해 사용될 수 있다. 일 구체예에서, 박막 보호층은 35 mol% Y2O3 및 65 mol% Al2O3로 이루어진 YAG이다. 다른 구체예에서, 세라믹 코팅은 30 내지 40 mol% Y2O3 및 60 내지 70 mol% Al2O3로 이루어진 YAG일 수 있다. 일 구체예에서, 세라믹 컴파운드는 62.93 mol% Y2O3, 23.23 mol% ZrO2 및 13.94 mol% Al2O3을 포함한다. 다른 구체예에서, 세라믹 컴파운드는 50 내지 75 mol% 범위의 Y2O3, 10 내지 30 mol% 범위의 ZrO2, 및 10 내지 30 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예들에서, 세라믹 컴파운드를 위해 다른 분포들이 또한 사용될 수 있다. 임의의 상술된 세라믹들은 미량의 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 옥사이드들과 같은 다른 물질들을 포함할 수 있다.
표 1: IAD 증착된 YAG, Er2O3, EAG 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드에 대한 물질 성질들.
Figure 112016005930873-pct00001
표 1은 92% Al2O3 (알루미나)의 기재 및 92% Al2O3의 기재를 코팅하는 다양한 박막 보호층들에 대한 물질 성질들을 나타낸 것이다. 나타낸 바와 같이, 알루미나 기재는 363 볼트/mil (V/mil)의 파괴 전압을 갖는다. 반면, IAD 증착된 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드의 5 마이크론(㎛) 코팅은 2500 V의 파괴 전압을 갖는다(이는 알루미나에 대한 363 볼트/mil의 일반화된 수치 보다 훨씬 높은 것이다). IAD 증착된 YAG의 5 ㎛ 코팅은 6800 V의 파괴 전압을 갖는다. IAD 증착된 Er2O3의 5 ㎛ 코팅은 527 V의 파괴 전압을 갖는다. IAD 증착된 EAG의 5 ㎛ 코팅은 900 V의 파괴 전압을 갖는다.
알루미나의 체적 저항률은 실온에서 대략 0.01 x 1016 (0.01E16) Ω·cm이다. 세라믹 컴파운드 박막 보호층의 체적 저항률은 실온에서 약 4.1E16 Ω·cm이며, YAG 박막 보호층의 체적 저항률은 실온에서 약 11.3E16 Ω·cm이다.
알루미나의 유전율은 약 9.2이며, 세라믹 컴파운드 박막의 유전율은 약 9.83이며, YAG 박막의 유전율은 약 9.76이며, Er2O3 박막의 유전율은 약 9.67이며, EAG 박막의 유전율은 약 9.54이다. 알루미나의 손실 탄젠트는 약 5E-4이며, 세라믹 컴파운드 박막의 손실 탄젠트는 약 4E-4이며, YAG 박막의 손실 탄젠트는 약 4E-4이며, Er2O3 박막의 손실 탄젠트는 약 4E-4이며, EAG 박막의 손실 탄젠트는 약 4E-4이다. 알루미나의 열전도율은 약 18 W/m-K이며, 세라믹 컴파운드 박막의 열전도율은 약 19.9 W/m-K이며, YAG 박막의 열전도율은 약 20.1 W/m-K이며, Er2O3 박막의 열전도율은 약 19.4 W/m-K이며, EAG 박막의 열전도율은 약 19.2 W/m-K이다.
알루미나 기재는 일 구체예에서 대략 8 마이크로인치의 초기 거칠기(starting roughness)를 가질 수 있으며, 그러한 초기 거칠기는 모든 박막 보호층들에서 대략적으로 변하지 않을 수 있다. 피쳐 높이들, 예를 들어 내부 시일 밴드(inner seal band; ISB) 메사 높이들 및 외부 시일 밴드(outer seal band; OSB) 메사 높이들은 또한 나타낸 바와 같이, 임의의 박막 보호층들의 증착 결과로서 대략적으로 변하지 않을 수 있다. 알루미나 기재에 대한 박막 보호층들의 접착 강도는 세라믹 컴파운드 박막에 대해 28 메가 파스칼 (MPa)을 초과하고 YAG 박막에 대해 32 MPa를 초과할 수 있다. 접착 강도는 기재로부터 박막 보호층을 분리시키기 위해 사용되는 힘의 양을 측정함으로써 결정될 수 있다. 기밀성(Hermicity)은 박막 보호층을 사용하여 달성될 수 있는 시일링 용량(sealing capacity)을 측정한다. 나타낸 바와 같이, 초당 대략 1E-6의 입방 센티미터(cm3/s)의 He 누설률은 알루미나를 사용하여 달성될 수 있으며, 대략 1.2E-9의 He 누설률은 세라믹 컴파운드를 사용하여 달성될 수 있으며, 대략 4.4E-10의 He 누설률은 YAG를 사용하여 달성될 수 있으며, 대략 5.5E-9의 He 누설률은 Er2O3을 사용하여 달성될 수 있으며, 대략 9.5E-10의 He 누설률은 EAG를 사용하여 달성될 수 있다. 보다 낮은 He 누설률들은 개선된 시일을 지시하는 것이다. 각 예의 박막 보호층들은 Al2O3 기재 보다 낮은 He 누설률을 갖는다.
각 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드는 플라즈마 가공 동안 마모에 저항할 수 있는 높은 경도를 갖는다. 나타낸 바와 같이, 알루미나는 대략 12.14 기가 파스칼 (GPa)의 비커스 경도 (5 Kgf)를 가지며, 세라믹 컴파운드는 대략 7.825 GPa의 경도를 가지며, YAG는 대략 8.5 GPa의 경도를 가지며, Er2O3은 대략 5.009 GPa의 경도를 가지며, EAG는 대략 9.057 GPa의 경도를 갖는다. 알루미나의 측정된 마모율은 무선주파수 시간 당 대략 0.2 나노미터(nm/RFhr)이며, 세라믹 컴파운드의 마모율은 약 0.14 nm/RFhr이며, Er2O3의 마모율은 약 0.113 nm/RFhr이며, EAG의 마모율은 약 0.176 nm/RFhr이다.
Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 세라믹 컴파운드는 상기 확인된 물질 성질들 및 특징들이 일부 구체예들에서 30% 이하로 달라질 수 있도록 개질될 수 있다는 것이 주지된다. 이에 따라, 이러한 물질 성질들에 대한 기술된 수치들은 일 예의 달성 가능한 수치들로서 이해되어야 한다. 본원에 기술된 세라믹 박막 보호층들은 제공된 수치들로 한정되는 것으로서 해석되지 않아야 한다.
도 2b는 박막 보호층(258)에 의해 코팅된 바디(255)를 갖는 물품(250)의 일 구체예의 측단면도를 예시한 것이다. 도시된 바와 같이, 바디(255)에는 피쳐들이 존재하지 않을 수 있다. 일 구체예에서, 바디(255)는 박막 보호층(258)의 증착 이전에 폴리싱된다. 바디(255)에 피쳐들을 갖기 보다는, 박막 보호층(258)에 피쳐들이 형성될 수 있다. 예를 들어, 박막 보호층(258)은 마스킹되고 이후에 에칭되거나 비드 블라스팅되어 박막 보호층(258)의 마스킹되지 않은 부분들을 제거할 수 있다. 피쳐들은 또한, 기재를 마스킹하고 이후에 얇은 코팅을 적용함으로써 형성될 수 있다. 형성된 피쳐들은 메사들, 채널들, 시일 고리들, 노출된 접합선들(예를 들어, 샤워헤드의 접한선), 등을 포함할 수 있다. 추가적으로, 홀들은 예를 들어, 레이저 천공에 의해 박막 보호층에 천공될 수 있다. 피쳐들이 박막 보호층(258)에 형성되는 경우에, 박막 보호층은 바람직하게 피쳐들을 수용하기에 충분히 큰 두께를 가져야 한다. 예를 들어, 12 ㎛ 메사들이 박막 보호층에 형성되는 경우에, 박막 보호층(258)은 12 ㎛ 보다 큰 두께를 가져야 한다. 다른 구체예들에서, 일부 피쳐들은 바디(255)에 형성될 수 있으며, 다른 피쳐들은 박막 보호층(258)에 형성될 수 있다.
도 3은 두꺼운 보호층(330) 및 박막 보호층(308)을 갖는 물품(300)의 일 구체예의 측단면도를 예시한 것이다. 두꺼운 보호층은 Y3Al5O12, Y4Al2O9, Y2O3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드일 수 있다. 다른 플라즈마 내성 세라믹들이 또한 두꺼운 보호층(330)용으로 사용될 수 있다.
두꺼운 보호층(330)은 두꺼운 막 보호층일 수 있는데, 이는 바디(305) 상에 열적으로 분사(예를 들어, 플라즈마 분사)될 수 있다. 바디(305)의 상부 표면은 그 위에 두꺼운 막 보호층을 플라즈마 분사하기 전에 조면화될 수 있다. 조면화(roughening)는 예를 들어, 바디(305)를 비드 블라스팅함으로써 수행될 수 있다. 바디의 상부 표면을 조면화하는 것은 보다 양호한 접착을 위해 플라즈마 분사된 두꺼운 막 보호층과 바디(305) 간의 기계적 결합을 형성시키기 위하여 고정 포인트(anchor point)들을 제공한다. 두꺼운 막 보호층은 최대 약 200 마이크론 또는 보다 두꺼운 분사시 두께(as sprayed thickness)를 가질 수 있고, 일부 구체예들에서 대략 50 마이크론의 최종 두께로 그라인딩될 수 있다. 플라즈마 분사된 두꺼운 막 보호층은 약 2 내지 4%의 공극율을 가질 수 있다.
대안적으로, 두꺼운 보호층(330)은 바디(305)에 결합된 벌크 소결된 세라믹일 수 있다. 두꺼운 보호층(330)은 예를 들어, 대략 200 마이크론의 두께를 갖는 얇은 세라믹 웨이퍼로서 제공될 수 있다.
박막 보호층(308)은 IAD 또는 PVD를 이용하여 두꺼운 보호층(330) 위에 적용될 수 있다. 박막 보호층(308)은 톱 코트로서 작용할 수 있고, 내침식성 배리어로서 작용하고 두꺼운 보호층(330)의 노출된 표면을 시일링할 수 있다(예를 들어, 두꺼운 보호층(330)에서 고유의 표면 크랙들 및 기공들을 시일링할 수 있다).
도 4는 물품(400)의 바디(405) 위에 증착된 박막 보호층 스택(406)을 갖는 물품(400)의 일 구체예의 측단면도를 예시한 것이다. 박막 보호층 스택(406)에서 각 박막 보호층(408, 410)은 Y3Al5O12 (YAG), Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드 중 하나일 수 있다. 일 구체예에서, 동일한 세라믹 물질은 두 개의 인접한 박막 보호층들용으로 사용되지 않는다. 그러나, 다른 구체예에서, 인접한 층들은 동일한 세라믹으로 이루어질 수 있다.
도 5는 물품(500)의 바디(505) 위에 증착된 박막 보호층 스택(506)을 갖는 물품(500)의 다른 구체예의 측단면도를 예시한 것이다. 물품(500)은 박막 보호층 스택(506)이 4개의 박막 보호층들(508, 510, 515, 518)을 갖는 것을 제외하고 물품(400)과 유사하다.
박막 보호층 스택들(예를 들어, 예시된 바와 같음)은 임의 수의 박막 보호층들을 가질 수 있다. 스택에서 박막 보호층들은 모두 동일한 두께를 가질 수 있거나, 이러한 것들은 다양한 두께를 가질 수 있다. 각 박막 보호층들은 대략 20 마이크론 미만, 및 일부 구체예에서 대략 10 마이크론 미만의 두께를 가질 수 있다. 일 예에서, 제1 층(408)은 3 마이크론의 두께를 가질 수 있으며, 제2 층(410)은 3 마이크론의 두께를 가질 수 있다. 다른 예에서, 제1 층(508)은 2 마이크론의 두께를 갖는 YAG 층일 수 있으며, 제2 층(510)은 1 마이크론의 두께를 갖는 컴파운드 세라믹 층일 수 있으며, 제3 층(515)은 1 마이크론의 두께를 갖는 YAG 층일 수 있으며, 제4 층(518)은 1 마이크론의 두께를 갖는 컴파운드 세라믹 층일 수 있다.
세라믹 층들의 수 및 사용하기 위한 세라믹 층들의 조성의 선택은 요망되는 적용 및/또는 코팅될 물품의 타입을 기초로 할 수 있다. IAD 및 PVD에 의해 형성된 EAG 및 YAG 박막 보호층들은 통상적으로 비정질 구조를 갖는다. 반면, IAD 및 PVD 증착된 컴파운드 세라믹 및 Er2O3 층들은 통상적으로 결정상 또는 나노-결정상 구조를 갖는다. 결정상 및 나노-결정상 세라믹 층들은 일반적으로 비정질 세라믹 층들 보다 큰 내침식성을 나타낼 수 있다. 그러나, 일부 경우들에서, 결정상 구조 또는 나노-결정상 구조를 갖는 박막 세라믹 층들은 우발적인 수직 크랙들(대략 막 두께의 방향으로 그리고 대략 코팅된 표면에 대해 수직으로 진행하는 크랙들)을 나타낼 수 있다. 이러한 수직 크랙들은 격자 불일치에 의해 야기될 수 있고 플라즈마 화학물질에 대한 공격 포인트들일 수 있다. 물품이 가열되고 냉각될 때마다, 박막 보호층과 이로 코팅되는 기재 간의 열팽창계수들의 불일치는 박막 보호층 상에 응력을 야기시킨다. 이러한 응력은 수직 크랙들에 집중될 수 있다. 이는 결국 박막 보호층이 이러한 것이 코팅되는 기재로부터 박리되게 할 수 있다. 반면, 수직 크랙들이 존재하지 않는 경우에, 응력은 박막을 가로질러 대략 균일하게 분포된다. 이에 따라, 일 구체예에서, 박막 보호층 스택(406)에서 제1 층(408)은 비정질 세라믹, 예를 들어 YAG 또는 EAG이며, 박막 보호층 스택(406)에서 제2 층(410)은 결정상 또는 나노-결정상 세라믹, 예를 들어 세라믹 컴파운드 또는 Er2O3이다. 이러한 구체예에서, 제2 층(410)은 제1 층(408)과 비교하여 보다 큰 플라즈마 내성을 제공할 수 있다. 바디(405) 바로 위 보다는, 제1 층(408) 위에 제2 층(410)을 형성시킴으로써, 제1 층(408)은 후속 층 상에 격자 불일치를 최소화하기 위한 버퍼(buffer)로서 작용한다. 이에 따라, 제2 층(410)의 수명이 증가될 수 있다.
다른 예에서, 바디, Y3Al5O12 (YAG), Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드 각각은 상이한 열팽창계수를 가질 수 있다. 두 개의 인접한 물질들 간의 열팽창계수의 불일치가 클수록, 이러한 물질들 중 하나가 결국 크랙, 박리 또는 그밖에 다른 물질에 대한 이의 결합을 손실시킬 가능성이 더욱 커진다. 보호층 스택들(406, 506)은 인접한 층들 간의(또는 층과 바디(405, 505) 간의) 열팽창계수의 불일치를 최소화하는 방식으로 형성될 수 있다. 예를 들어, 바디(505)는 알루미나일 수 있으며, EAG는 알루미나와 가장 가깝고 이후에 YAG에 대한 열팽창계수, 이후에 컴파운드 세라믹에 대한 열팽창계수를 따르는 열팽창계수를 가질 수 있다. 이에 따라, 일 구체예에서, 제1 층(508)은 EAG일 수 있으며, 제2 층(510)은 YAG일 수 있으며, 제3 층(515)은 컴파운드 세라믹일 수 있다.
다른 예에서, 보호층 스택(506)에서의 층들은 두 개의 상이한 세라믹들의 교대하는 층들일 수 있다. 예를 들어, 제1 층(508) 및 제3 층(515)은 YAG일 수 있으며, 제2 층(510) 및 제4 층(518)은 컴파운드 세라믹일 수 있다. 이러한 교대하는 층들은 교대하는 층들에서 사용되는 하나의 물질이 비정질이며 교대하는 층들에서 사용되는 다른 물질이 결정상 또는 나노-결정상인 경우들에서 상술된 것과 유사한 장점들을 제공할 수 있다.
일부 구체예들에서, 박막 보호층 스택들(406, 506)에서 층들 중 하나 이상은 열처리를 사용하여 형성된 전이 층들이다. 바디(405, 505)가 세라믹 바디인 경우에, 고온 열처리는 박막 보호층과 바디 간의 상호확산을 촉진시키기 위해 수행될 수 있다. 추가적으로, 열처리는 인접한 박막 보호층들 간 또는 두꺼운 보호층과 박막 보호층 간의 상호확산을 촉진시키기 위해 수행될 수 있다. 특히, 전이 층은 비-다공성 층일 수 있다. 전이 층은 두 개의 세라믹들 간의 확산 접합으로서 작용할 수 있고, 인접한 세라믹들 간의 개선된 접착성을 제공할 수 있다. 이는 플라즈마 가공 동안 크래킹, 박리, 또는 스트립핑으로부터 보호층을 보호하는데 도움을 줄 수 있다.
열처리는 최대 약 1400 내지 1600℃에서 최대 약 24시간(예를 들어, 일 구체예에서 3 내지 6시간)의 시간 동안의 열처리일 수 있다. 이는 제1 박막 보호층과 인접한 세라믹 바디, 두꺼운 보호층 또는 제2 박막 보호층 중 하나 이상 사이에 상호확산 층을 형성할 수 있다. 세라믹 바디가 Al2O3이며, 보호층이 컴파운드 세라믹 Y4Al2O9 (YAM) 및 고용체 Y2- xZrxO3 (Y2O3-ZrO2 고용체)로 이루어진 경우에, Y3Al5O12 (YAG) 계면층이 형성될 것이다. 유사하게, 열처리는 Er2O3과 Al2O3 사이에 EAG의 전이 층을 형성시킬 것이다. 열처리는 또한, Y2O3과 Al2O3 사이에 YAG의 전이 층을 형성시킬 것이다. 열처리는 또한, Gd2O3과 Al2O3 사이에 GAG를 형성시킬 수 있다. Al2O3 위의 이트리아 안정화된 지르코니아(YSZ)의 열처리는 Y4Al2O9 (YAM) 및 고용체 Y2- xZrxO3의 컴파운드 세라믹의 전이 층을 형성시킬 수 있다. 다른 전이 층들이 다른 인접한 세라믹들 사이에 형성될 수 있다.
도 6은 챔버 부품과 같은 물품의 바디 위에 박막 보호층을 형성시키기 위한 공정(600)의 일 구체예를 예시한 것이다. 공정(600)의 블록(605)에서, 물품이 제공된다. 블록(610)에서, 물품 상에 두꺼운 막 보호층을 증착시키는 지의 여부가 결정된다. 두꺼운 막 보호층이 형성되어야 하는 경우에, 방법은 블록(615)로 진행한다. 그렇지 않으면, 방법은 블록(620)으로 계속된다.
블록(615)에서, 열 분사 공정(예를 들어, 플라즈마 분산 공정)은 물품 상에 두꺼운 막 보호층을 증착시키기 위해 수행된다. 열 분사 공정을 수행하기 전에, 물품의 바디는 일부 구체예들에서 조면화될 수 있다. 두꺼운 막 보호층은 임의 플라즈마 내성 세라믹일 수 있다. 두꺼운 막 보호층들의 일부 예들은 Y3Al6O12, Y4Al2O9, Y2O3, YSZ, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함한다. 두꺼운 막 보호층이 형성된 후에, 일부 적용들에 대하여, 표면 피쳐들이 두꺼운 막 보호층의 표면 상에 형성된다. 예를 들어, 물품이 ESC인 경우에, 메사들 및 He 홀들이 형성될 수 있다. 대안적인 구체예에서, 플라즈마 내성 세라믹 디스크 또는 다른 세라믹 구조물은 두꺼운 막 보호층을 분사시키기 보다는 물품의 바디에 접합될 수 있다.
블록(620)에서, IAD 또는 PVD는 물품의 바디 상에 박막 보호층을 증착시키기 위해 수행된다. 두꺼운 막 보호층이 블록(615)에서 형성되는 경우에, 박막 보호층은 두꺼운 막 보호층 위에 톱 코트로서 형성될 수 있다. 박막 보호층은 Y3Al6O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al6O12, Gd3Al6O12, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체의 세라믹 컴파운드일 수 있다. 박막 보호층의 증착율은 초당 약 1 내지 8 옹스트롱일 수 있고, 증착 파라미터들을 조정함으로써 달라질 수 있다. 박막 보호층들은 매우 정합(conforming)할 수 있고, 두께에 있어서 균일할 수 있고, 이러한 층들이 증착되는 바디/기재에 대한 양호한 접착성을 가질 수 있다.
블록(625)에서, 임의 추가 박막 보호층들을 증착시킬 지의 여부가 결정된다. 추가 박막 보호층이 증착되어야 하는 경우에, 공정은 블록(630)으로 계속된다. 블록(630)에서, 다른 박막 보호층은 제1 박막 보호층 위에 형성된다. 다른 박막 보호층은 제1 박막 보호층의 세라믹과는 다른 세라믹으로 이루어질 수 있다. 일 구체예에서, 다른 박막 보호층은 Y3Al6O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al6O12, Gd3Al6O12, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체의 세라믹 컴파운드 중 하나이다. 방법은 이후에 블록(625)로 되돌아간다. 블록(625)에서, 추가 박막 보호층들이 적용되지 않는 경우에, 공정은 종결된다. 임의 박막 보호층들이 증착된 후에, 표면 피쳐들은 박막 보호층에서 형성될 수 있다.
도 7a는 이온 보조 증착(IAD)과 같은 고에너지 입자들을 사용하는 다양한 증착 기술들에 적용 가능한 증착 메카니즘을 도시한 것이다. 예시적인 IAD 방법들은 이온 충격(ion bombardment), 예를 들어 증발(예를 들어, 활성화된 반응성 증발(activated reactive evaporation; ARE)) 및 본원에 기술된 바와 같이 플라즈마 내성 코팅들을 형성시키기 위해 이온 충격의 존재 하에 스퍼터링을 도입하는 증착 공정들을 포함한다. 임의 IAD 방법들은 반응성 가스 종들, 예를 들어 O2, N2, 할로겐들, 등의 존재 하에 수행될 수 있다.
나타낸 바와 같이, 박막 보호층(715)은 이온들과 같은 고에너지 입자들(703)의 존재 하에 증착 물질들(702)의 축적에 의해 형성된다. 증착 물질들(702)은 원자들, 이온들, 라디칼들, 또는 이들의 혼합물을 포함한다. 고에너지 입자들(503)은 층들이 형성됨에 따라 박막 보호층(715)에 영향을 미치고 치밀해질 수 있다.
일 구체예에서, IAD는 본원의 다른 곳에서 이전에 기술된 바와 같이, 박막 보호층(715)을 형성시키기 위해 사용된다. 도 7b는 IAD 증착 장비의 개략도를 도시한 것이다. 도시된 바와 같이, 물질 공급원(750)은 증착 물질(702)의 플럭스를 제공하며, 고에너지 입자 공급원(755)은 고에너지 입자들(703)의 플럭스를 제공하며, 이들 둘 모두는 IAD 공정 전반에 걸쳐 물질 공급원(750)에 영향을 미친다. 고에너지 입자 공급원(55)은 산소 또는 다른 이온 공급원일 수 있다. 고에너지 입자 공급원(755)은 또한, 다른 타입들의 고에너지 입자들, 예를 들어 입자 발생 공급원들로부터 비롯된(예를 들어, 플라즈마, 반응성 가스들, 또는 증착 물질들을 제공하는 물질 공급원으로부터 비롯된) 불활성 라디칼들, 뉴트론 원자들, 및 나노 크기의 입자들을 제공할 수 있다. 증착 물질들(702)을 제공하기 위해 사용되는 물질 공급원(예를 들어, 타겟 바디)(750)은 박막 보호층(715)을 이루는 동일한 세라믹에 해당하는 벌크 소결된 세라믹일 수 있다. 예를 들어, 물질 공급원은 벌크 소결된 세라믹 컴파운드 바디, 또는 벌크 소결된 YAG, Er2O3, Gd2O3, Er3Al5O12, 또는 Gd3Al5O12일 수 있다. IAD는 물질 및 고에너지 이온 공급원들을 제공하기 위해 하나 이상의 플라즈마들 또는 빔들을 사용할 수 있다. 반응성 종들이 또한, 플라즈마 내성 코팅의 증착 동안 제공될수 있다. 일 구체예에서, 고에너지 입자들(703)은 적어도 하나의 비-반응성 종들(예를 들어, Ar) 또는 반응성 종들(예를 들어, O)을 포함한다. 추가 구체예들에서, 반응성 종들, 예를 들어 CO 및 할로겐들(Cl, F, Br, 등)은 또한, 박막 보호층(715)에 가장 약하게 결합된 증착된 물질을 선택적으로 제거하는 경향을 추가로 증가시키기 위해 플라즈마 내성 코팅의 형성 동안 도입될 수 있다.
IAD 공정들과 관련하여, 고에너지 입자들(703)은 다른 증착 파라미터들과는 독립적으로 고에너지 이온(또는 다른 입자) 공급원(755)에 의해 조절될 수 있다. 고에너지 이온 플럭스의 에너지(예를 들어, 속도), 밀도 및 입사각에 따라, 박막 보호층의 조성, 구조, 결정상 배향 및 입자 크기가 조정될 수 있다. 조정될 수 있는 추가 파라미터들은 증착 동안 물품의 온도, 뿐만 아니라 증착 시간이다. 이온 에너지는 크게 저에너지 이온 어시스트(low energy ion assist) 및 고에너지 이온 어시스트(high energy ion assist)로 분류될 수 있다. 이온들은 저에너지 이온 어시스테 보다 고에너지 이온 어시스트에서 더욱 높은 속도로 발사된다. 일반적으로, 고에너지 이온 어시스트에서 우수한 성능이 나타났다. 증착 동안 기재(물품) 온도는 크게 저온(일 구체예에서 통상적인 실온인 대략 120 내지 150℃) 및 고온(일 구체예에서, 대략 270℃)로 나누어질 수 있다.
표 2: IAD를 사용하여 형성된 일 예의 박막 보호층들
Figure 112016005930873-pct00002
표 2는 다양한 증착 파라미터들을 갖는 IAD를 사용하여 형성된 여러 일 예의 박막 보호층들을 나타낸 것이다. 5개의 상이한 예들은 Y4Al2O9 및 Y2O3-ZrO2의 고용체의 세라믹 컴파운드로부터 형성된 박막 보호층들에 대해 나타낸 것이다. 제1 예 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착 온도, 및 초당 2 옹스트롱(Å/s)의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 예의 컴파운드 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 제1 예의 컴파운드 세라믹 박막 보호층은 또한 4.11 GPa의 경도를 가지며, 시각적 검사에서는 하부 기재에 대한 양호한 정합성(conformance), 뿐만 아니라 일부 수직 크랙들 및 일부 스파이크들을 나타내었다.
제2 예의 컴파운드 세라믹 박막 보호층은 6 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착 온도, 및 제1의 2 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 예의 컴파운드 세라믹 박막 보호층이 나노-결정상 구조(여기서 일부는 결정상이며 일부는 비정질임)를 가짐을 나타내었다. 시일로서 사용될 때, 제2 예의 컴파운드 세라믹 박막 보호층은 5E-6 cm3/s까지 진공을 유지시킬 수 있었다. 제2 예의 컴파운드 세라믹 박막 보호층의 시각적 검사는 양호한 정합성 및 제1 예의 컴파운드 세라믹 박막 보호층 보다 적은 수직 크랙들을 나타내었다.
제3 예의 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착 온도 및 1Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제3 예의 컴파운드 세라믹 박막 보호층이 나노-결정상 구조를 가짐을 나타내었다. 시일로서 사용될 때, 제3 예의 컴파운드 세라믹 박막 보호층은 6.3E-6 cm3/s까지 진공을 유지시킬 수 있었다. 제3 예의 세라믹 박막 보호층의 시각적 검사는 양호한 정합성 및 제1 예의 컴파운드 세라믹 박막 보호층 보다 적은 수직 크랙들을 나타내었다.
제4 예의 컴파운드 세라믹 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제3 예의 컴파운드 세라믹 박막 보호층이 대략 비정질 구조를 가짐을 나타내었다. 시일로서 사용될 때, 제3 예의 컴파운드 세라믹 박막 보호층은 1.2E-9 cm3/s까지 진공을 유지시킬 수 있었다. 제4 예의 컴파운드 세라믹 박막 보호층의 시각적 검사는 양호한 정합성, 매끄러운 표면 및 매우 적은 수직 크랙들을 나타내었다. 추가적으로, 제4 예의 컴파운드 세라믹 박막 보호층은 7.825 GPa의 경도를 갖는다.
제5 예의 컴파운드 박막 보호층은 실온(대략 120 내지 150℃)에서의 증착 온도를 갖는 것을 제외하고, 제4 예의 컴파운드 박막 보호층과 동일한 파라미터들을 이용하여 형성되었다. 제5 예의 컴파운드 박막 보호층은 제4 예의 컴파운드 박막 보호층과 유사한 성질들을 나타내었다.
제1 예의 YAG 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착온도, 및 2.5Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 YAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었다. 제1 YAG 박막 보호층은 또한, 5.7 GPa의 경도를 가지며, 시각적 검사는 양호한 정합성, 최소 크래킹 및 매끄러운 표면을 나타내었다.
제2 예의 YAG 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트, 270℃의 증착온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 YAG 박막 보호층이 비정질 구조를 가짐을 나타내었다. 제2 YAG 박막 보호층은 또한 8.5 GPa의 경도를 가지며, 시각적 검사는 양호한 정합성, 제1 YAG 박막에 비해 감소된 크래킹, 및 매끄러운 표면을 나타내었다.
교대하는 컴파운드 세라믹 및 YAG 층들을 갖는 일 예의 박막 보호층 스택은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착온도, 및 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 교대하는 층들이 비정질(YAG 층들에 대해) 및 결정상 또는 나노-결정상(컴파운드 세라믹 층들에 대해)임을 나타내었다. 시각적 검사는 컴파운드 세라믹 층들에 대해 감소된 수직 크랙들을 나타내었다.
제1 예의 Er2O3 박막 보호층은 5 마이크론의 두께를 가지고, 저에너지 이온 어시스트, 270℃의 증착온도, 및 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 Er2O3 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 시각적 검사는 양호한 정합성 및 수직 크래킹을 나타내었다.
제2 예의 Er2O3 박막 보호층은 5 마이크론의 두께를 가지고, 고에너지 이온 어시스트, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 4 마이크론에 대해 2Å/s의 증착률로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 Er2O3 세라믹 박막 보호층이 결정상 구조를 가짐을 나타내었다. 시각적 검사는 양호한 정합성 및 제1 Er2O3 세라믹 박막 보호층에 비해 적은 수직 크래킹을 나타내었다.
제1 예의 EAG 박막 보호층은 7.5 마이크론의 두께를 가지고, 고에너지 이온 어시스트, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 마이크론에 대해 2Å/s으로 IAD를 이용하여 형성되었다. X-선 회절에서는 제1 EAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었고, 층은 8.485 GPa의 경도를 갖는다. 시각적 검사는 양호한 정합성 및 최소 크래킹을 나타내었다.
제2 예의 EAG 박막 보호층은 7.5 마이크론의 두께를 가지고, 고에너지 이온 어시스트, 270℃의 증착 온도, 및 제1 마이크론에 대해 1Å/s의 증착률 및 후속 마이크론에 대해 2Å/s으로 IAD를 이용하여 형성되었다. X-선 회절에서는 제2 EAG 세라믹 박막 보호층이 비정질 구조를 가짐을 나타내었고, 층은 9.057 GPa의 경도를 가졌다. 시각적 검사는 양호한 정합성 및 제1 EAG 세라믹 박막 보호층에 비해 적은 크래킹을 나타내었다.
도 8 및 도 9는 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 침식률들을 예시한 것이다. 도 8은 CH4/Cl2 플라즈마 화학물질에 노출될 때 박막 보호층들의 침식률들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 Al2O3와 비교하여 훨씬 개선된 내침식성을 나타낸다. 예를 들어, 92% 순도를 갖는 알루미나는 무선주파수 시간 당 대략 18 나노미터(nm/RFHr)의 침식률을 나타내었으며, 99.8% 순도를 갖는 알루미나는 약 56 nm/RFHr의 침식률을 나타내었다. 반면, IAD 증착된 컴파운드 세라믹 박막 보호층은 약 3 nm/RFHr의 침식률을 나타내었으며, IAD 증착된 YAG 박막 보호층은 약 1 nm/RFHr의 침식률을 나타내었다.
도 9는 H2/NF3 플라즈마 화학에 노출될 때 박막 보호층들의 침식률들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 Al2O3과 비교하여 훨씬 개선된 내침식성을 나타낸다. 예를 들어, 92% 순도를 갖는 알루미나는 대략 190 nm/RFHr의 침식률을 나타내며, 99.8% 순도를 갖는 알루미나는 약 165 nm/RFHr의 침식률을 나타내었다. 반면, IAD 증착된 YAG 박막 보호층은 약 52 nm/RFHr의 침식률을 나타내었다. 유사하게, 저에너지 이온들로 IAD를 이용하여 증착된 컴파운드 세라믹 박막 보호층은 약 45 nm/RFHr의 침식률을 나타내었으며, 고에너지 이온들로 IAD를 이용하여 증착된 컴파운드 세라믹 박막 보호층은 약 35 nm/RFHr의 침식률을 나타내었다. 높은 증착 온도(예를 들어, 대략 270℃)와 함께 IAD를 이용하여 증착된 EAG 박막 보호층은 약 약 95 nm/RFHr의 침식률을 나타내었으며, 낮은 증착 온도(예를 들어, 대략 120 내지 150℃)와 함께 IAD를 이용하여 증착된 EAG 박막 보호층은 약 70 nm/RFHr의 침식률을 나타내었다. 고에너지 이온들과 함께 IAD를 이용하여 증착된 Er2O3 박막 보호층은 약 35 nm/RFHr의 침식률을 나타내었다.
도 10 및 도 11은 본 발명의 구체예들에 따라 형성된 박막 보호층들에 대한 거칠기 프로파일들을 예시한 것이다. 도 10은 100 RFHr 동안 CH4/Cl2 플라즈마 화학물질에 노출시키기 전 및 후에 도 8의 박막 보호층들의 표면 거칠기 프로파일들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 100 RFHr 동안 CH4/Cl2 플라즈마 화학물질에 노출된 후 표면 거칠기에서의 최소 변화를 나타낸다.
도 11은 35 RFHr 동안 H2/NF3 플라즈마 화학물질에 노출되기 전 및 후에 도 9의 박막 보호층들의 표면 거칠기 프로파일들을 도시한 것이다. 도시된 바와 같이, IAD 증착된 박막 보호층들은 35 RFHr 동안 H2/NF3 플라즈마 화학물질에 노출 후에 표면 거칠기의 최소 변화를 나타낸다.
상기 설명은 본 발명의 여러 구체예들의 양호한 이해를 제공하기 위하여 특정 시스템들, 부품들, 방법들의 예들, 등과 같은 다수의 특정 세부사항들을 기술한다. 그러나, 당업자에게 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항들 없이 실행될 수 있다는 것이 명백하게 될 것이다. 다른 경우들에서, 널리 공지된 부품들 또는 방법들은 상세히 기술되지 않거나 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위하여 단순한 블록 다아이그램 포맷으로 제시된다. 이에 따라, 기술된 특정 세부사항들은 단지 예시적인 것이다. 특정 실행들은 이러한 예시적인 세부사항들로부터 다양해질 수 있고, 또한 본 발명의 범위에 있는 것으로 고려될 수 있다.
본 명세서 전반에 걸쳐 "하나의 구체예" 또는 "일 구체예"에 대한 언급은, 구체예와 관련하여 기술된 특정 특성, 구조, 또는 특징이 적어도 하나의 구체예에 포함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치에서 구 "하나의 구체예에서" 또는 "일 구체예에서"의 출현은 반드시 모두 동일한 구체예를 언급하는 것은 아니다. 또한, 용어 "또는"은 배타적인 "또는"이기 보다는 포괄적인 "또는"을 의미하는 것으로 의도된다. 용어 "약" 또는 "대략"이 본원에서 사용될 때, 이는 제시된 공칭 수치가 ±30% 내에서 정확함을 의미하기 위해 의도된다.
본원의 방법들의 작동들이 실제 순서로 도시되고 기술되어 있지만, 이러한 방법의 작동들의 순서는 특정 작동들이 역순으로 수행될 수 있거나 특정 작동들이 적어도 일부, 다른 작동들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별도의 작동들의 명령 또는 하위-작동은 간헐적 및/또는 교차 방식으로 이루어질 수 있다.
상기 설명이 예시적인 것으로 의도되고 한정적인 것으로 의도되지 않는 것으로 이해될 것이다. 다수의 다른 구체예들은 상기 설명을 읽고 이해할 때에 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 청구항들이 권리를 갖는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.

Claims (15)

  1. 바디(body); 및
    바디의 하나 이상의 표면 상의 제1 보호층을 포함하는 물품으로서,
    제1 보호층이
    11.3E16 Ω·cm±30% 까지의 체적 저항률, 9.76±30% 까지의 유전율, 20.1 W/mK±10% 까지의 열전도율, 4.4E-10cm3/s±30%까지의 He 누설률, 및 8.5 GPa±30%까지의 경도를 갖는 Y3Al5O12,
    5 GPa±30%까지의 경도를 갖는 Er2O3,
    9 GPa±30%까지의 경도를 갖는 Er3Al5O12, 및
    4.1E16 Ω·cm±30% 까지의 체적 저항률, 9.83±30% 까지의 유전율, 19.9 W/mK±30% 까지의 열전도율, 1.2E-9cm3/s±30%까지의 He 누설률, 및 7.825 GPa±30%까지의 경도를 갖는 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드(ceramic compound)로 이루어진 군으로부터 선택된 제1 세라믹을 포함하는 20 마이크론±30%까지 미만의 두께를 갖는 박막인 물품.
  2. 제1항에 있어서, 제1 보호층이 Er2O3을 포함하며, 제1 보호층이 4.1E16 Ω·cm±30% 까지의 체적 저항률, 9.83±30% 까지의 유전율, 19.9 W/mK±30% 까지의 열전도율, 및 1.2E-9cm3/s±30%까지의 He 누설률을 갖는 물품.
  3. 제1항에 있어서, 제1 보호층이 Er3Al5O12를 포함하며, 제1 보호층이 9.54±30% 까지의 유전율, 19.2 W/mK±30% 까지의 열전도율, 및 9.5E-10cm3/s±30%까지의 He 누설률을 갖는 물품.
  4. 제1항에 있어서,
    바디의 하나 이상의 표면 상의 보호층 스택(protective layer stack)을 추가로 포함하며,
    보호층 스택이 적어도 제1 보호층 및 제1 보호층을 덮는 제2 보호층을 포함하며,
    제2 보호층이 20 마이크론±30%까지 미만의 두께를 가지고, 제1 세라믹과는 다른 제2 세라믹을 포함하며,
    제2 세라믹이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택되는 물품.
  5. 제4항에 있어서,
    보호층 스택에서의 제1 보호층이 비정질 구조를 가지고 Y3Al5O12, Er3Al5O12, 또는 Gd3Al5O12를 포함하며;
    보호층 스택에서의 제2 보호층이 결정상 또는 나노-결정상 구조를 가지고 Er2O3, Gd2O3, 또는 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함하는 물품.
  6. 제1 열팽창계수의 수치를 가지는 바디(body),
    바디의 하나 이상의 표면 상의 보호층 스택(protective layer stack)을 포함하며,
    보호층 스택이 적어도 제1 보호층 및 제1 보호층을 덮는 제2 보호층을 포함하며,
    제1 보호층이 제2 열팽창계수의 수치를 가지며 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택된 제1 세라믹을 포함하는 20 마이크론±30%까지 미만의 두께를 갖는 박막이고,
    제2 보호층이 제3 열팽창계수의 수치를 가지며 20 마이크론±30%까지 미만의 두께를 가지고, 제1 세라믹과는 다른 제2 세라믹을 포함하며, 제2 세라믹이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택되고,
    제2 열팽창계수의 수치가 제1 열팽창계수의 수치와 제3 열팽창계수의 수치의 사이에 있는 물품.
  7. 제1항에 있어서,
    바디의 하나 이상의 표면 상의 제2 보호층을 추가로 포함하며,
    제2 보호층이 Y3Al5O12, Y4Al2O9, Y2O3, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택된 제2 세라믹을 포함하는 100 마이크론±30%까지 초과의 두께를 갖는 두꺼운 막이며,
    제1 보호층이 제2 보호층을 덮는 물품.
  8. 물품을 제공하고;
    이온 보조 증착 (ion assisted deposition; IAD) 또는 물리적 증기 증착 (physical vapor deposition; PVD) 중 하나 이상을 수행하여 물품의 하나 이상의 표면 상에 제1 보호층을 증착시키는 것을 포함하며,
    제1 보호층이
    11.3E16 Ω·cm±30% 까지의 체적 저항률, 9.76±30% 까지의 유전율, 20.1 W/mK±10% 까지의 열전도율, 4.4E-10cm3/s±30%까지의 He 누설률, 및 8.5 GPa±30%까지의 경도를 갖는 Y3Al5O12,
    5 GPa±30%까지의 경도를 갖는 Er2O3,
    9 GPa±30%까지의 경도를 갖는 Er3Al5O12, 및
    4.1E16 Ω·cm±30% 까지의 체적 저항률, 9.83±30% 까지의 유전율, 19.9 W/mK±30% 까지의 열전도율, 1.2E-9cm3/s±30%까지의 He 누설률, 및 7.825 GPa±30%까지의 경도를 갖는 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드(ceramic compound)로 이루어진 군으로부터 선택된 제1 세라믹을 포함하는 20 마이크론±30%까지 미만의 두께를 갖는 박막인, 물품을 제조하는 방법.
  9. 제8항에 있어서, 제1 보호층이 Er2O3을 포함하며, 제1 보호층이 4.1E16 Ω·cm±30% 까지의 체적 저항률, 9.83±30% 까지의 유전율, 19.9 W/mK±30% 까지의 열전도율, 및 1.2E-9cm3/s±30%까지의 He 누설률을 갖는 방법.
  10. 제8항에 있어서, 제1 보호층이 Er3Al5O12를 포함하며, 제1 보호층이 9.54±30% 까지의 유전율, 19.2 W/mK±30% 까지의 열전도율, 및 9.5E-10cm3/s±30%까지의 He 누설률을 갖는 방법.
  11. 제8항에 있어서, IAD 또는 PVD 중 하나 이상을 수행하여 제1 보호층 상에 제2 보호층을 증착시키는 것을 추가로 포함하며,
    제2 보호층이 20 마이크론±30%까지 미만의 두께를 가지고 제1 세라믹과는 다른 제2 세라믹을 포함하며,
    제2 세라믹이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택되는 방법.
  12. 제11항에 있어서,
    보호층 스택에서의 제1 보호층이 비정질 구조를 가지고 Y3Al5O12, Er3Al5O12, 또는 Gd3Al5O12를 포함하며;
    보호층 스택에서의 제2 보호층이 결정상 또는 나노-결정상 구조를 가지고 Er2O3, Gd2O3, 또는 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함하는 방법.
  13. 이온 보조 증착(IAD) 또는 물리적 증기 증착(PVD) 중 하나 이상을 수행하여 물품의 하나 이상의 표면 상에 제1 보호층을 증착시키는 것,
    IAD 또는 PVD 중 하나 이상을 수행하여 제1 보호층 상에 제2 보호층을 증착시키는 것을 포함하며,
    제1 보호층이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택된 제1 세라믹을 포함하는 20 마이크론±30%까지 미만의 두께를 갖는 박막이고,
    제2 보호층이 20 마이크론±30%까지 미만의 두께를 가지고 제1 세라믹과는 다른 제2 세라믹을 포함하며,
    제2 세라믹이 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택되고,
    물품이 제1 열팽창계수의 수치를 가지며, 제1 보호층이 제2 열팽창계수의 수치를 가지며, 제2 보호층이 제3 열팽창계수의 수치를 가지며, 제2 열팽창계수의 수치가 제1 열팽창계수의 수치와 제3 열팽창계수의 수치 사이에 있는 방법.
  14. 제8항에 있어서,
    물품 상에 제1 보호층을 증착시키기 전에, 열 분무 공정(thermal spraying process)을 수행하여 바디의 하나 이상의 표면 상에 제2 보호층을 증착시키는 것을 추가로 포함하며,
    제2 보호층이 Y3Al5O12, Y4Al2O9, Y2O3, 및 Y4Al2O9와 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드로 이루어진 군으로부터 선택된 제2 세라믹을 포함하는 100 마이크론±30%까지 초과의 두께를 갖는 두꺼운 막이며,
    제1 보호층이 제2 보호층을 덮는 방법.
  15. 제8항에 있어서,
    물품을 마스킹(masking)하고, 하나 이상의 박막 증착을 수행하거나, IAD 또는 PVD 중 하나 이상을 수행 후 마스킹되지 않은 특정 구역들을 에칭시킴으로써 제1 박막 보호층에 피쳐(feature)들을 형성시키는 것을 추가로 포함하는 방법.
KR1020167001522A 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅 KR102294960B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217026783A KR102422715B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361837595P 2013-06-20 2013-06-20
US61/837,595 2013-06-20
US14/306,583 US9850568B2 (en) 2013-06-20 2014-06-17 Plasma erosion resistant rare-earth oxide based thin film coatings
US14/306,583 2014-06-17
PCT/US2014/043178 WO2014205212A1 (en) 2013-06-20 2014-06-19 Plasma erosion resistant rare-earth oxide based thin film coatings

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217026783A Division KR102422715B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅

Publications (2)

Publication Number Publication Date
KR20160022361A KR20160022361A (ko) 2016-02-29
KR102294960B1 true KR102294960B1 (ko) 2021-08-26

Family

ID=52105282

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167001522A KR102294960B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
KR1020217026783A KR102422715B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
KR1020227024151A KR102586972B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217026783A KR102422715B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅
KR1020227024151A KR102586972B1 (ko) 2013-06-20 2014-06-19 플라즈마 내침식성 희토류 옥사이드 기반 박막 코팅

Country Status (6)

Country Link
US (5) US9850568B2 (ko)
JP (4) JP6496308B2 (ko)
KR (3) KR102294960B1 (ko)
CN (2) CN111900084B (ko)
TW (5) TWI795981B (ko)
WO (1) WO2014205212A1 (ko)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
CN111593324A (zh) * 2015-02-13 2020-08-28 恩特格里斯公司 多孔基质过滤器及其制作方法
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
EP3423610B1 (en) * 2016-03-04 2022-05-04 Beneq OY A plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
JP2020514237A (ja) * 2017-03-21 2020-05-21 コンポーネント リ−エンジニアリング カンパニー インコーポレイテッド 高い腐食性又は浸食性産業用途に使用するためのセラミック材料アセンブリ
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
US11401611B2 (en) 2017-11-09 2022-08-02 Solution Spray Technologies, LLC Thermal barrier coatings with CMAS resistance
KR102040910B1 (ko) 2018-02-05 2019-11-27 충북대학교 산학협력단 탈부착형 모듈을 이용한 IoT 환경 구축 방법
TWI714965B (zh) * 2018-02-15 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7319425B2 (ja) * 2018-05-15 2023-08-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用部品
JP7068921B2 (ja) 2018-05-15 2022-05-17 東京エレクトロン株式会社 部品の形成方法及びプラズマ処理装置
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
WO2020231665A1 (en) * 2019-05-13 2020-11-19 Applied Materials, Inc. Titanium liner to reduce metal contamination
US11289357B2 (en) * 2019-06-27 2022-03-29 Applied Materials, Inc. Methods and apparatus for high voltage electrostatic chuck protection
WO2021002339A1 (ja) * 2019-07-03 2021-01-07 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
JP7140222B2 (ja) 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
TWI777504B (zh) * 2020-04-30 2022-09-11 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202302910A (zh) * 2020-04-30 2023-01-16 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
CN113707526A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
US11703465B2 (en) 2020-09-02 2023-07-18 Kioxia Corporation Apparatus for inspecting semiconductor device and method for inspecting semiconductor device
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI781593B (zh) * 2021-04-21 2022-10-21 翔名科技股份有限公司 耐電漿腐蝕的保護層與其形成方法
WO2023018218A1 (ko) 2021-08-13 2023-02-16 주식회사 엘지에너지솔루션 음극 활물질, 음극 슬러리, 음극 및 이차 전지
KR20240035883A (ko) * 2021-08-31 2024-03-18 교세라 가부시키가이샤 내플라즈마 적층체, 그 제조 방법, 및 플라즈마 처리 장치
KR102535560B1 (ko) * 2022-10-14 2023-05-26 주식회사 코미코 내플라즈마성 코팅막의 제조방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2004107718A (ja) * 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
JP2004290786A (ja) * 2003-03-26 2004-10-21 Denso Corp コーティング方法及びコーティング用治具
JP2011508092A (ja) * 2007-12-27 2011-03-10 ゼネラル・エレクトリック・カンパニイ Cmas浸透耐性向上のための希土類アルミン酸塩層を含む遮熱コーティング系及び被覆物品
US20110135915A1 (en) 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates

Family Cites Families (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
DE60045384D1 (de) 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
SG143965A1 (en) 2000-05-02 2008-07-29 Univ Johns Hopkins Freestanding reactive multilayer foils
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1642994B8 (en) 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
BR0211578A (pt) 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR101168422B1 (ko) 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20070037434A (ko) 2004-03-24 2007-04-04 매사추세츠 인스티튜트 오브 테크놀로지 표면 적층물을 제거하기 위한 원격 챔버 방법
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
CN101076614A (zh) 2004-07-07 2007-11-21 莫门蒂夫性能材料股份有限公司 基底上的保护涂层及其制备方法
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
WO2006137898A2 (en) 2004-10-01 2006-12-28 American Superconductor Corp. Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) * 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7790216B2 (en) * 2005-04-19 2010-09-07 Zimmer Technology, Inc. Method for producing a zirconia-layered orthopedic implant component
EP1890983B1 (en) 2005-05-31 2012-12-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
JP2009536984A (ja) 2006-05-12 2009-10-22 フンダシオン イナスメット セラミックコーティングを得る方法および得られたセラミックコーティング
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) * 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
KR20090085049A (ko) 2006-10-06 2009-08-06 아사히 테크 가부시끼가이샤 내식성 부재 및 그의 제조 방법
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
KR20090101245A (ko) 2007-01-17 2009-09-24 토토 가부시키가이샤 세라믹 부재 및 내식성 부재
BRPI0808800A2 (pt) 2007-03-12 2017-05-02 Saint-Gobain Ceram & Plastics Inc elementos de cerâmicas de alta resistência e processo para fabricação e utilização dos mesmos
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
KR100969248B1 (ko) 2008-08-04 2010-07-14 한국해양연구원 해양 생물표본 촬영장치 및 방법
JP2011530833A (ja) * 2008-08-12 2011-12-22 アプライド マテリアルズ インコーポレイテッド 静電チャックアセンブリ
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US8667583B2 (en) 2008-09-22 2014-03-04 Microsoft Corporation Collecting and analyzing malware data
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
US20100272982A1 (en) 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR101750841B1 (ko) 2009-02-05 2017-06-26 오엘리콘 멧코 아게, 볼렌 기재 표면의 코팅 또는 처리를 위한 플라즈마 코팅 시스템 및 그 방법
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
WO2010128572A1 (ja) 2009-05-08 2010-11-11 有限会社渕田ナノ技研 ジルコニア膜の成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
CN102484262B (zh) 2009-08-26 2014-09-03 丰田自动车株式会社 燃料电池系统以及燃料电池系统的运转方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
JP5819816B2 (ja) 2010-03-30 2015-11-24 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR101981766B1 (ko) 2011-06-02 2019-05-23 어플라이드 머티어리얼스, 인코포레이티드 정전기 척 aln 유전체 수리
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
EP2794956B1 (en) 2011-12-19 2018-10-17 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2004107718A (ja) * 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
JP2004290786A (ja) * 2003-03-26 2004-10-21 Denso Corp コーティング方法及びコーティング用治具
JP2011508092A (ja) * 2007-12-27 2011-03-10 ゼネラル・エレクトリック・カンパニイ Cmas浸透耐性向上のための希土類アルミン酸塩層を含む遮熱コーティング系及び被覆物品
US20110135915A1 (en) 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates

Also Published As

Publication number Publication date
US20140377504A1 (en) 2014-12-25
TWI664073B (zh) 2019-07-01
KR20160022361A (ko) 2016-02-29
CN111900084B (zh) 2022-07-26
TW202206275A (zh) 2022-02-16
WO2014205212A1 (en) 2014-12-24
US11680308B2 (en) 2023-06-20
KR20210107172A (ko) 2021-08-31
US20180087144A1 (en) 2018-03-29
CN111900084A (zh) 2020-11-06
JP2019108612A (ja) 2019-07-04
US10119188B2 (en) 2018-11-06
JP2021073372A (ja) 2021-05-13
US20180073125A1 (en) 2018-03-15
TW202138188A (zh) 2021-10-16
US20200087776A1 (en) 2020-03-19
JP7368398B2 (ja) 2023-10-24
JP2023145534A (ja) 2023-10-11
JP2016528380A (ja) 2016-09-15
US11053581B2 (en) 2021-07-06
TWI734119B (zh) 2021-07-21
TWI748928B (zh) 2021-12-01
KR102422715B1 (ko) 2022-07-18
JP6820359B2 (ja) 2021-01-27
CN105247662A (zh) 2016-01-13
TW202325541A (zh) 2023-07-01
CN105247662B (zh) 2020-08-28
US10501843B2 (en) 2019-12-10
KR20220104281A (ko) 2022-07-26
US9850568B2 (en) 2017-12-26
TW201936389A (zh) 2019-09-16
TW201504044A (zh) 2015-02-01
TWI795981B (zh) 2023-03-11
JP6496308B2 (ja) 2019-04-03
US20210317563A1 (en) 2021-10-14
KR102586972B1 (ko) 2023-10-06

Similar Documents

Publication Publication Date Title
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
KR102171714B1 (ko) 공정 고리들 상에 희토류 옥사이드 기반 박막 코팅을 위한 이온 보조 증착
KR102258598B1 (ko) 뚜껑들 및 노즐들 상에 희토류 옥사이드 기반 코팅들을 위한 이온 보조 증착
WO2015164263A1 (en) Ion assisted deposition top coat of rare-earth oxide

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant