JP7368398B2 - プラズマ耐食性希土類酸化物系薄膜コーティング - Google Patents

プラズマ耐食性希土類酸化物系薄膜コーティング Download PDF

Info

Publication number
JP7368398B2
JP7368398B2 JP2021000036A JP2021000036A JP7368398B2 JP 7368398 B2 JP7368398 B2 JP 7368398B2 JP 2021000036 A JP2021000036 A JP 2021000036A JP 2021000036 A JP2021000036 A JP 2021000036A JP 7368398 B2 JP7368398 B2 JP 7368398B2
Authority
JP
Japan
Prior art keywords
protective layer
thin film
less
ceramic
film protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021000036A
Other languages
English (en)
Other versions
JP2021073372A5 (ja
JP2021073372A (ja
Inventor
ジェニファー ワイ サン
ビラジャ ピー カヌンゴ
バヒド フィロウズドア
トム チョウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021073372A publication Critical patent/JP2021073372A/ja
Publication of JP2021073372A5 publication Critical patent/JP2021073372A5/ja
Priority to JP2023115633A priority Critical patent/JP2023145534A/ja
Application granted granted Critical
Publication of JP7368398B2 publication Critical patent/JP7368398B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Turbine Rotor Nozzle Sealing (AREA)
  • Laminated Bodies (AREA)

Description

本発明の実施形態は、概して、薄膜の耐プラズマ性保護層を有するチャンバコンポーネントに関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチング及びプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するために、プラズマの高速流に基板を曝露させる。プラズマは、非常に浸食性がある可能性があり、処理チャンバ及びプラズマに曝露される他の表面を浸食する可能性がある。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 1つの面上に保護層スタックを有する実施例の物品の断面側面図を示す。 物品上に1以上の保護層を形成するためのプロセスの一実施形態を示す。 高エネルギー粒子を利用した様々な堆積技術(例えば、イオンアシスト蒸着(IAD))に適用可能な堆積メカニズムを示す。 IAD堆積装置の概略図を示す。 本発明の実施形態に従って形成された薄膜保護層に対する浸食速度を示す。 本発明の実施形態に従って形成された薄膜保護層に対する粗さプロファイルを示す。
実施形態の詳細な説明
本発明の実施形態は、物品の1以上の表面上に薄膜保護層を有する処理チャンバ用の物品(例えば、チャンバコンポーネント)を提供する。保護層は、約20ミクロン以下の厚さを有することができ、物品を保護するためにプラズマ耐食性を提供することができる。保護層は、イオンアシスト蒸着(IAD)又は物理蒸着(PVD)を用いて物品上に形成することができる。薄膜保護層は、例えば、プラズマ溶射技術を用いて形成可能な厚膜保護層上にトップコートとして使用することができる。いくつかの実施形態では、2以上の薄膜保護層を含む薄膜保護層スタックが、物品上に形成される。このような実施形態では、各薄膜保護層は、IAD又はPVDにより形成することができ、厚さが約20ミクロン以下とすることができる。薄膜保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又は、YAlとY-ZrOの固溶体とを含むセラミックス化合物とすることができる。メンテナンス及び製造コストを低減しつつ、薄膜保護層によって提供される改善された耐食性は、物品の耐用年数を向上させることができる。
図1は、本発明の実施形態に係る薄膜保護層で被覆された1以上のチャンバコンポーネントを有する半導体処理チャンバ100の断面図である。処理チャンバ100は、内部に腐食性のプラズマ環境が提供されるプロセスのために使用することができる。例えば、処理チャンバ100は、プラズマエッチング装置又はプラズマエッチングリアクタ、プラズマ洗浄機などのためのチャンバとすることができる。薄膜保護層を含むことができるチャンバコンポーネントの例は、基板支持アセンブリ148、静電チャック(ESC)150、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、シャワーヘッド、ライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋などを含む。以下でより詳細に説明される薄膜保護層は、YAl12(YAG)、YAl(YAM)、Er、Gd、ErAl12(EAG)、GdAl12(GAG)、及び/又は、YAlとY-ZrOの固溶体とを含むセラミックス化合物を含むことができる。薄膜保護層は、Y、Y系セラミックス、Er系セラミックス、Gd系セラミックス、及び他の希土類酸化物もまた含むことができる。
薄膜保護層は、酸化物系セラミックス、窒化物系セラミックス、又は炭化物系セラミックスを含む、異なるセラミックス上に塗布されたIAD又はPVDコーティングとすることができる。酸化物系セラミックスの例は、SiO(石英)、Al、Yなどを含む。炭化物系セラミックスは、SiC、Si-SiCなどを含む。窒化物系セラミックスは、AlN、SiNなどを含む。IAD又はPVDコーティングターゲット材料は、か焼粉末、(例えば、グリーン体プレス、ホットプレスなどによって形成された)予め形成された塊、(例えば、50~100%の密度を有する)焼結体、機械加工体(例えば、セラミックス、金属、又は金属合金が可能)、又はプレメルト(100%の密度)とすることができる。基板はまた、金属基板(例えば、Al、Ti、ステンレス鋼、又は陽極酸化Al)とすることもできる。
図示されるように、基板支持アセンブリ148は、一実施形態に係る薄膜保護層136を有する。しかしながら、他のチャンバコンポーネント(例えば、上に列挙したもの)のいずれも、薄膜保護層を含むことができることを理解すべきである。
一実施形態では、処理チャンバ100は、内部容積106を囲むチャンバ本体102及びシャワーヘッド130を含む。シャワーヘッドは、シャワーヘッドベース及びシャワーヘッドガス分配プレートを含むことができる。あるいはまた、シャワーヘッド130は、いくつかの実施形態では、蓋及びノズルで置き換えてもよい。チャンバ本体102は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ本体102は、一般的に、側壁108及び底部110を含む。シャワーヘッド130(又は蓋及び/又はノズル)、側壁108、及び/又は底部110のいずれも、薄膜保護層を含むことができる。
外側ライナー116は、チャンバ本体102を保護するために、側壁108に隣接して配置することができる。外側ライナー116は、薄膜保護層で製造及び/又は被覆することができる。一実施形態では、外側ライナー116は、酸化アルミニウムから製造される。
排気口126は、チャンバ本体102内に画定されることができ、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、排気して処理チャンバ100の内部容積106の圧力を調整するために使用される1以上のポンプ及びスロットルバルブを含むことができる。
シャワーヘッド130は、チャンバ本体102の側壁108に支持されることができる。シャワーヘッド130(又は蓋)は、処理チャンバ100の内部容積106へのアクセスを可能にするために開くことができ、閉じると同時に処理チャンバ100に対するシールを提供することができる。ガスパネル158は、処理チャンバ100に結合され、これによってシャワーヘッド130又は蓋及びノズルを通して内部容積106に処理ガス及び/又は洗浄ガスを提供することができる。シャワーヘッド130は、誘電体エッチング(誘電体材料のエッチング)のために使用される処理チャンバ用に使用される。シャワーヘッド130は、GDP133を貫通して複数のガス送出孔132を有するガス分配プレート(GDP)133を含む。シャワーヘッド130は、アルミニウムベース又は陽極酸化アルミニウムベースに結合されたGDP133を含むことができる。GDP133は、Si又はSiCから作ることができ、又はセラミックス(例えば、Y、Al、YAGなど)とすることができる。
導体エッチング(導電性材料のエッチング)のために使用される処理チャンバの場合、シャワーヘッドではなく、蓋を使用することができる。蓋は、蓋の中心孔に嵌合する中央ノズルを含むことができる。蓋は、セラミックス(例えば、Al、Y、YAG、又はYAlとY-ZrOの固溶体とを含むセラミックス化合物)とすることができる。ノズルもまた、セラミックス(例えば、Y、YAG、又はYAlとY-ZrOの固溶体とを含むセラミックス化合物)とすることができる。蓋、シャワーヘッドベース104、GDP133、及び/又はノズルは、薄膜保護層で被覆することができる。
処理チャンバ100内で基板を処理するために使用することができる処理ガスの例は、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSiF)及び他のガス(例えば、O、又はNO)を含む。キャリアガスの例は、N、He、Ar、及び処理ガスに不活性な他のガス(例えば、非反応性ガス)を含む。基板支持アセンブリ148は、シャワーヘッド130又は蓋の下の処理チャンバ100の内部容積106内に配置される。基板支持アセンブリ148は、処理中に基板144を保持する。リング146(例えば、単一リング)は、静電チャック150の一部を覆うことができ、処理中に覆われた部分をプラズマへの曝露から保護することができる。リング146は、一実施形態では、シリコン又は石英とすることができる。
内側ライナー118は、基板支持アセンブリ148の周縁部上で被覆されてもよい。内側ライナー118は、ハロゲン含有ガスレジスト材料(例えば、外側ライナー116を参照して説明したもの)とすることができる。一実施形態では、内側ライナー118は、外側ライナー116と同一の材料から製造することができる。また、内側ライナー118は、薄膜保護層で被覆することができる。
一実施形態では、基板支持アセンブリ148は、台座152を支持する取付板162と、静電チャック150を含む。静電チャック150は、熱伝導性ベース164と、接着剤138(一実施形態では、シリコーン接着剤とすることができる)によって熱伝導性ベースに接合された静電パック166を更に含む。静電パック166の上面は、図示の実施形態では、薄膜保護層136によって覆われている。一実施形態では、薄膜保護層136は、静電パック166の上面上に配置される。別の一実施形態では、薄膜保護層136は、熱伝導性ベース164及び静電パック166の外側及び側部周縁部を含む静電チャック150の全露出面上に配置される。取付板162は、チャンバ本体102の底部110に結合され、ユーティリティ(例えば、流体、電力線、センサリード線など)を熱導電性ベース164及び静電パック166へルーティングするための通路を含む。
熱伝導性ベース164及び/又は静電パック166は、1以上のオプションの埋設された加熱素子176、埋設された熱絶縁体174、及び/又は導管168、170を含み、これによって支持アセンブリ148の横方向の温度プロファイルを制御することができる。導管168、170は、導管168、170を介して温度調節流体を循環させる流体源172に流体結合させることができる。埋設された熱絶縁体174は、一実施形態では、導管168、170間に配置することができる。ヒータ176は、ヒータ電源178によって調整される。導管168,170及びヒータ176は、熱伝導性ベース164の温度を制御するために利用され、これによって静電パック166及び処理される基板(例えば、ウェハ)を加熱及び/又は冷却することができる。静電パック166及び熱伝導性ベース164の温度は、コントローラ195を使用して監視することができる複数の温度センサ190、192を使用して監視することができる。
静電パック166は、複数のガス通路(例えば、溝、メサ、及びパック166及び/又は薄膜保護層136の上面内に形成可能な他の表面構造)を更に含むことができる。ガス通路は、パック166内に開けられた穴を介して熱伝達(又は裏面)ガス(例えばHe)の供給源に流体結合させることができる。稼働時には、裏面ガスは制御された圧力でガス通路内へ供給され、これによって静電パック166と基板144との間の熱伝達を向上させることができる。
静電パック166は、チャッキング電源182によって制御された少なくとも1つのクランピング電極180を含む。電極180(又はパック166又はベース164内に配置された他の電極)は、処理チャンバ100内で処理ガス及び/又は他のガスから形成されたプラズマを維持するために整合回路188を介して1以上のRF電源184、186に更に結合させることができる。電源184、186は、一般的に、約50kHz~約3GHzの周波数及び最大約10000ワットの電力を有するRF信号を生成することができる。
図2A~図5は、1以上の薄膜保護層によって覆われた物品(例えば、チャンバコンポーネント)の断面側面図を示す。図2Aを参照すると、物品200のベース又は本体205の少なくとも一部は、薄膜保護層208によって覆われている。物品200は、チャンバコンポーネント(例えば、基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート又はシャワーヘッド、ライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋など)とすることができる。物品200の本体205は、金属、セラミックス、金属-セラミックス複合材料、ポリマー、又はポリマー-セラミックス複合材料とすることができる。
種々のチャンバコンポーネントは、異なる材料から構成される。例えば、静電チャックは、セラミックス(例えば、Al(アルミナ)、AlN(窒化アルミニウム)、TiO(酸化チタン)、TiN(窒化チタン)、又は陽極酸化アルミニウムベースに結合されたSiC(炭化ケイ素))で構成することができる。Al、AlN、及び陽極酸化アルミニウムは、悪いプラズマ腐食性を有する。フッ素化学(化学物質)及び/又は還元化学を伴うプラズマ環境に曝露された場合、静電チャックの静電パックは、約50高周波時間(RF時間)の処理の後、ウェハチャッキングの劣化、He漏れ速度の増加、ウェハ正面側及び裏面側の粒子の生産、及びウェハ上の金属汚染を示す可能性がある。高周波時間は、処理の1時間である。
Alは、高い曲げ強度及び高い熱伝導率を有するので、導体エッチングプロセスに使用されるプラズマエッチング装置用の蓋は、Alなどの焼結セラミックスとすることができる。しかしながら、フッ素化学に曝露されたAlは、ウェハ上にAlF粒子並びにアルミニウム金属汚染を形成する。いくつかのチャンバ蓋は、プラズマに面する側に厚膜保護層を有し、これによって粒子生成及び金属汚染を最小限にし、蓋の寿命を延ばす。しかしながら、ほとんどの厚膜コーティング技術は、長いリードタイムを有する。また、ほとんどの厚膜コーティング技術において、コーティングを受け取るようにコーティングされる物品(例えば、蓋)を準備するために特別な表面の準備が実行される。このような長いリードタイム及びコーティングの準備工程は、コストを増加させ、生産性を低下させ、並びに改修(再生)を抑制する可能性がある。また、ほとんどの厚膜コーティングは、ウェハ上の欠陥の特性を低下させる可能性のある固有の亀裂及び孔を有する。
プロセスキットリング及び単一リングは、他のチャンバコンポーネントをシール及び/又は保護するために使用され、通常は石英又はシリコンから製造される。これらのリングは、支持される基板(例えば、ウェハ)の周りに配置され、これによって均一なプラズマ密度(したがって、均一なエッチング)を確保することができる。しかしながら、石英及びシリコンは、様々なエッチング化学(例えば、プラズマエッチング化学)の下で非常に高い浸食速度を有する。また、プラズマ化学に曝露されたとき、このようなリングは、粒子汚染を引き起こす可能性がある。プロセスキットリング及び単一リングはまた、焼結セラミックス(例えば、YAG)及び/又はYAlとY-ZrOの固溶体とを含むセラミックス化合物からなることができる。
誘電体エッチングプロセスを実行するために使用されるエッチング装置用のシャワーヘッドは、通常、SiCフェースプレートに接合された陽極酸化アルミニウムで作られる。このようなシャワーヘッドは、フッ素を含むプラズマ化学に曝露されたとき、陽極酸化アルミニウムベースとのプラズマ相互作用に起因して、AlFが形成される可能性がある。また、陽極酸化アルミニウムベースの高い浸食速度は、アーク放電をもたらし、最終的にはシャワーヘッドのためのクリーニング間の平均時間を減少させる可能性がある。
(終点窓としても知られている)チャンバのビューポートは、通常、石英又はサファイア製の透明なコンポーネントである。様々な光学センサを、ビューポートによって保護することができ、ビューポートを通して光センサの読み取りを行うことができる。また、ビューポートは、ユーザが処理中にウェハを視覚的に検査する又は見ることを可能にすることができる。石英及びサファイアの両方共、悪いプラズマ耐食性を有する。プラズマ化学は、ビューポートを浸食し、荒らすので、ビューポートの光学特性は変化する。例えば、ビューポートは曇ることがあり、及び/又はビューポートを通過した光信号は、ゆがむことがある。これは、正確な測定値を収集するための光学センサの能力を損なう可能性がある。しかしながら、厚膜保護層は、これらのコーティングが、ビューポートを閉塞する可能性があるので、ビューポート上での使用に不適切であるかもしれない。
上記に提供される例は、本明細書の実施形態に記載されるような薄膜保護層を使用することによって性能が改善可能なわずか数個のチャンバコンポーネントを記載している。
再び図2Aを参照すると、物品200の本体205は、1以上の表面構造(例えば、図2Aに図示されるメサ)を含むことができる。静電チャックの場合は、表面構造は、メサ、シーリングバンド、ガスチャネル、ヘリウム孔などを含むことができる。シャワーヘッドの場合は、表面構造は、接合ライン、ガス分配用の数百又は数千個の孔、ガス分配孔の周囲のディボット又はバンプ等を含むことができる。他のチャンバコンポーネントは、他の表面構造を有することができる。
本体205上に形成される薄膜保護層208は、本体205の表面構造に倣うことができる。図示のように、薄膜保護層208は、本体205の上面の相対的な形状を維持する(例えば、メサの形状を伝える)。また、薄膜コーティングは、シャワーヘッドの孔又は静電チャック内のHe孔を塞がないように十分薄くすることができる。一実施形態では、薄膜保護層208は、約20ミクロン未満の厚さを有する。更なる実施形態では、薄膜保護層は、約0.5ミクロン~約7ミクロンの間の厚さを有する。
薄膜保護層208は、イオンアシスト蒸着(IAD)又は物理蒸着(PVD)プロセスを用いて、物品200の本体205上に形成可能な堆積されたセラミックス層である。実施可能な一例のIADプロセスは、電子ビームイオンアシスト蒸着(EB-IAD)である。IAD又はPVD堆積された薄膜保護層208は、(例えば、プラズマ溶射やスパッタリングによって引き起こされる膜応力と比較して)比較的低い膜応力を有することができる。比較的低い膜応力は、本体205の下面を、直径12インチの本体に対して本体全域に亘って約50ミクロン未満の曲率で非常に平坦にすることができる。IAD又はPVD堆積された薄膜保護層208は、1%未満の空孔率、いくつかの実施形態では約0.1%未満の空孔率を更に有することができる。したがって、IAD又はPVD堆積された薄膜保護層は、チャンバコンポーネントへのアプリケーションに対してパフォーマンス上の利点を有することができる緻密な構造である。また、IAD又はPVD堆積された保護層208は、最初に第1本体205の上面を粗面化する、又は他の時間のかかる表面準備工程を行うことなく、堆積させることができる。本体を粗面化することは、本体205の絶縁破壊電圧を低下させる可能性があるので、最初に本体205を粗面化することなく、薄膜保護層208を塗布できることは、いくつかの用途に対して(例えば、静電チャックに対して)有益となる可能性がある。
薄膜保護層208を形成するために使用することができるセラミックスの例は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又は、YAlとY-ZrOの固溶体(Y-ZrO固溶体)とを含むセラミックス化合物を含む。他のEr系及び/又はGd系の耐プラズマ性の希土類酸化物もまた、薄膜保護層208を形成するために使用することができる。一実施形態では、薄膜保護層は、35モル%のYと、65モル%のAlで構成されるYAGである。別の一実施形態では、セラミックスコーティングは、30~40モル%のYと、60~70モル%のAlで構成されるYAGとすることができる。一実施形態では、セラミックス化合物は、62.93モル%のYと、23.23モル%のZrOと、13.94モル%のAlを含む。別の一実施形態では、セラミックス化合物は、50~75モル%の範囲内のYと、10~30モル%の範囲内のZrOと、10~30モル%の範囲内のAlを含むことができる。他の実施形態では、他の配分もまた、セラミックス化合物のために使用することができる。上述のセラミックスのいずれも、他の材料(例えば、ZrO、Al、SiO、B、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物)の微量を含んでいてもよい。
表1は、92%のAl(アルミナ)の基板に対する、及び92%のAlの基板を被覆する様々な薄膜保護層に対する材料特性を示す。図示のように、アルミナ基板は、363ボルト/ミル(V/mil)の絶縁破壊電圧を有する。対照的に、YAlとY-ZrOの固溶体とを含むIAD堆積されたセラミックス化合物の5ミクロン(μm)のコーティングは、(アルミナに対する363ボルト/ミルの正規化された値よりもはるかに大きい)2500Vの絶縁破壊電圧を有する。IAD堆積されたYAGの5μmのコーティングは、6800Vの絶縁破壊電圧を有する。IAD堆積されたErの5μmのコーティングは、527Vの絶縁破壊電圧を有する。IAD堆積されたEAGの5μmのコーティングは、900Vの絶縁破壊電圧を有する。
アルミナの体積抵抗率は、室温で約0.01×1016(0.01E16)Ω・cmである。セラミックス化合物薄膜保護層の体積抵抗率は、室温で約4.1E16Ω・cmであり、YAG薄膜保護層の体積抵抗率は、室温で約11.3E16Ω・cmである。
アルミナの誘電率は、約9.2であり、セラミックス化合物薄膜の誘電率は、約9.83であり、YAG薄膜の誘電率は、約9.76であり、Er薄膜の誘電率は、約9.67であり、EAG薄膜の誘電率は約9.54である。アルミナの損失正接は、約5E-4であり、セラミックス化合物薄膜の損失正接は、約4E-4であり、YAG薄膜の損失正接は、約4E-4であり、Er薄膜の損失正接は、約4E-4であり、EAG薄膜の損失正接は、約4E-4である。アルミナの熱伝導率は、約18W/m・Kであり、セラミックス化合物薄膜の熱伝導率は、約19.9W/m・Kであり、YAG薄膜の熱伝導率は、約20.1W/m・Kであり、Er薄膜の熱伝導率は、約19.4W/m・Kであり、EAG薄膜の熱伝導率は、約19.2W/m・Kである。
アルミナ基板は、一実施形態では約8マイクロインチの初期粗さを有することができ、その初期粗さは、薄膜保護層のすべてでほぼ変わらなくすることができる。構造の高さ(例えば、内側シールバンド(ISB)のメサの高さ及び外側シールバンド(OSB)のメサの高さ)もまた、図示されるように、薄膜保護層のいずれの堆積結果として、ほぼ変わらなくすることができる。アルミナ基板への薄膜保護層の接着強度は、セラミックス化合物薄膜に対して28メガパスカル(MPa)を超え、YAG薄膜に対して32MPaを超えることができる。接着強度は、基板から薄膜保護層を分離するために使用される力の量を測定することによって決定することができる。ヘルミシティは、薄膜保護層を用いて達成することができるシール能力を測定する。図示されるように、アルミナを使用して約1E-6立方センチメートル毎秒(cm/s)のHe漏れ速度を達成でき、セラミックス化合物を使用して約1.2E-9のHe漏れ速度を達成でき、YAGを使用して約4.4E-10のHe漏れ速度を達成でき、Erを使用して約5.5E-9のHe漏れ速度を達成でき、EAGを使用して約9.5E-10のHe漏れ速度を達成できる。より低いHe漏れ速度は、改善されたシールを示す。実施例の薄膜保護層の各々は、Al基板よりも低いHe漏れ速度を有する。
Al12、YAl、Er、Gd、ErAl12、GdAl12、及び、YAlとY-ZrOの固溶体とを含むセラミックス化合物の各々は、プラズマ処理中に摩耗に耐えることができる高い硬度を有する。図示されるように、アルミナは、約12.14ギガパスカル(GPa)のビッカース硬さ(5kgf)を有し、セラミックス化合物は、約7.825GPaでの硬度を有し、YAGは、約8.5GPaの硬度を有し、Erは、約5.009GPaの硬度を有し、EAGは、約9.057GPaの硬度を有する。アルミナの測定された摩耗速度は、高周波時間当り約0.2ナノメートル(nm/RF時間)、セラミックス化合物の摩耗速度は、約0.14nm/RF時間、Erの摩耗速度は、約0.113nm/RF時間、EAGの摩耗速度は、約0.176nm/RF時間である。
なお、YAl12、YAl、Er、Gd、ErAl12、GdAl12、及びセラミックス化合物は、いくつかの実施形態では、上で特定される材料の特性及び特徴が、最大30%変化できるように改質することができることに留意されるべきである。したがって、これらの材料特性に対して記載された値は、実施例の達成可能な値として理解されるべきである。本明細書内で記載されるセラミックス薄膜保護層は、提供された値に限定して解釈されるべきではない。
図2Bは、薄膜保護層258によって被覆された本体255を有する物品250の一実施形態の断面側面図を示す。図示されるように、本体255は、構造を欠くことができる。一実施形態では、本体255は、薄膜保護層258の堆積前に研磨される。本体255内に構造を有するのではなく、構造を薄膜保護層258内に形成することができる。例えば、薄膜保護層258はマスクされ、次いでエッチング又はビーズブラストされ、これによって薄膜保護層258のマスクされていない部分を除去することができる。構造は、基板をマスクした後、薄い被膜を塗布することにより形成することもできる。形成された構造は、メサ、チャネル、シールリング、(例えば、シャワーヘッドの)露出した接合ラインなどを含むことができる。また、穴を薄膜保護層内に(例えば、レーザ穿孔によって)穿孔することができる。構造が、薄膜保護層258内に形成される場合、薄膜保護層は、好ましくは、構造を収容するのに十分に大きい厚さを有するべきである。例えば、12μmのメサが、薄膜保護層内に形成されるならば、薄膜保護層258は、12μmよりも大きい厚さを有するべきである。他の実施形態では、いくつかの構造は、本体255内に形成することができ、他の構造は、薄膜保護層258内に形成することができる。
図3は、厚い保護層330及び薄膜保護層308を有する物品300の一実施形態の断面側面図を示す。厚い保護層は、YAl12、YAl、Y、又は、YAlとY-ZrOの固溶体とを含むセラミックス化合物とすることができる。他の耐プラズマ性セラミックスもまた、厚い保護層330用に使用することができる。
厚い保護層330は、本体305の上に熱溶射(例えば、プラズマ溶射)された可能性のある厚膜保護層とすることができる。本体305の上面は、その上に厚膜保護層をプラズマ溶射する前に粗面化することができる。粗面化は、例えば、本体305をビーズブラストすることによって実行することができる。本体の上面を粗面化することは、より良好な接着のために、プラズマ溶射された厚膜保護層と本体305との間の機械的結合を作るためのアンカーポイントを提供する。厚膜保護層は、最大約200ミクロン又はそれよりも厚い溶射厚さを有することができ、いくつかの実施形態では、約50ミクロンの最終厚さまで研削可能である。プラズマ溶射された厚膜保護層は、約2~4%の空孔率を有することができる。
あるいはまた、厚い保護層330は、本体305に接合されたバルク焼結セラミックスとすることができる。厚い保護層330は、例えば、約200ミクロンの厚さを有する薄いセラミックススェハとして提供可能である。
薄膜保護層308は、IAD又はPVDを使用して、厚い保護層330上に塗布することができる。薄膜保護層308は、トップコートの役割を果たすことができ、耐食性バリアの役割を果たし、厚い保護層330の露出面をシールする(例えば、厚い保護層330内の固有の表面亀裂(クラック)及び孔をシールする)ことができる。
図4は、物品400の本体405上に堆積された薄膜保護層スタック406を有する物品400の一実施形態の断面側面図を示す。薄膜保護層スタック406内の各薄膜保護層408、410は、YAl12(YAG)、YAl、Er、Gd、ErAl12、GdAl12、及び、YAlとY-ZrOの固溶体とを含むセラミックス化合物のうちの1つとすることができる。一実施形態では、同一のセラミックス材料は、2つの隣接する薄膜保護層に対しては使用されない。しかしながら、別の一実施形態では、隣接する層は、同じセラミックスで構成されてもよい。
図5は、物品500の本体505上に薄膜保護層スタック506が堆積された物品500の別の一実施形態の断面側面図を示す。物品500は、薄膜保護層スタック506が4つの薄膜保護層508、510、515、518を有することを除いて、物品400と同様である。
薄膜保護層スタック(例えば、図示したもの)は、任意の数の薄膜保護層を有することができる。スタック内の薄膜保護層は、全て同じ厚さを有することができる、又はそれらは、様々な厚さを有することができる。薄膜保護層の各々は、約20ミクロン未満、いくつかの実施形態では、約10ミクロン未満の厚さを有することができる。一実施例では、第1層408は、3ミクロンの厚さを有し、第2層410は、3ミクロンの厚さを有することができる。別の一実施例では、第1層508は、2ミクロンの厚さを有するYAG層とすることができ、第2層510は、1ミクロンの厚さを有する化合物セラミックス層とすることができ、第3層515は、1ミクロンの厚さを有するYAG層とすることができ、第4層518は、1ミクロンの厚さを有する化合物セラミックス層とすることができる。
使用するセラミックス層の数とセラミックス層の組成の選択は、所望のアプリケーション及び/又はコーティングされる物品の種類に基づいてもよい。IADとPVDによって形成されるEAG及びYAG薄膜保護層は、典型的には、アモルファス構造を有する。対照的に、IAD及びPVD堆積された化合物セラミックス及びEr層は、典型的には、結晶又はナノ結晶構造を有する。結晶及びナノ結晶セラミックス層は、一般的に、アモルファスセラミックス層よりも高い耐食性とすることができる。しかしながら、いくつかの場合には、結晶構造又はナノ結晶構造を有する薄膜セラミックス層は、時折鉛直方向の亀裂(ほぼ膜厚方向で、コーティングされる面に対して略垂直に走る亀裂)を経験する可能性がある。このような鉛直方向の亀裂は、格子不整合に起因する可能性があり、プラズマ化学にとっての攻撃の点となる可能性がある。物品が加熱及び冷却されるたびに、薄膜保護層とそれを被覆する基板との間の熱膨張係数の不整合が、薄膜保護層に応力を生じさせる。このような応力は、鉛直方向の亀裂に集中する可能性がある。これは、薄膜保護層が、それを被覆する基板から最終的に剥離して離れることを引き起こす可能性がある。対照的に、鉛直方向の亀裂がない場合は、応力は、薄膜全域に亘ってほぼ均等に分散される。したがって、一実施形態では、薄膜保護層スタック406内の第1層408は、アモルファスセラミックス(例えば、YAG又はEAG)であり、薄膜保護層スタック406内の第2層410は、結晶又はナノ結晶セラミックス(例えば、セラミックス化合物又はEr)である。そのような実施形態では、第2層410は、第1層408に比べてより高い耐プラズマ性を提供することができる。本体405上に直接ではなく第1層408上に第2層410を形成することにより、第1層408は、バッファの役割を果たし、これによって後続の層の格子不整合を最小限に抑える。こうして、第2層410の寿命を増加させることができる。
別の一実施例では、本体、YAl12(YAG)、YAl、Er、Gd、ErAl12、GdAl12、及び、YAlとY-ZrOの固溶体とを含むセラミックス化合物の各々は、異なる熱膨張係数を有することができる。2つの隣接する材料間の熱膨張係数の不整合が大きければ大きいほど、それらの材料の一方が最終的に、割れ、剥がれ、又はそうでなければ他方の材料とのその結合を失う可能性がより大きくなる。保護層スタック406、506は、隣接する層間(又は層と本体405、505との間)の熱膨張係数の不整合を最小限にするように形成することができる。例えば、本体505は、アルミナとすることができ、EAGは、アルミナの熱膨張係数に最も近い熱膨張係数を有することができ、YAGの熱膨張係数がそれに続き、化合物セラミックスの熱膨張係数がそれに続く。したがって、一実施形態では、第1層508は、EAGとすることができ、第2層510は、YAGとすることができ、第3層515は、化合物セラミックスとすることができる。
別の一実施例では、保護層スタック506内の層は、2つの異なるセラミックスの交互層とすることができる。例えば、第1層508と第3層515は、YAGとすることができ、第2層510と第4層518は、化合物セラミックスとすることができる。このような交互層は、交互層内で使用される一方の材料がアモルファスであり、交互層内で使用される他方の材料が結晶又はナノ結晶である場合に、上記のものと同様の利点を提供することができる。
いくつかの実施形態では、薄膜保護層スタック406、506内の1以上の層は、熱処理を用いて形成された遷移層である。本体405、505が、セラミックス体の場合は、薄膜保護層と本体との間の相互拡散を促進するために、高温熱処理を実行することができる。また、熱処理は、隣接する薄膜保護層間又は厚い保護層と薄膜保護層との間の相互拡散を促進するために実行してもよい。特に、遷移層は、非多孔質層とすることができる。遷移層は、2つのセラミックス間の拡散接合の役割を果たすことができ、隣接するセラミックス間の改善された接着性を提供することができる。これは、プラズマ処理中に保護層が割れる、剥離する、はがれるのを防止するのを助けることができる。
熱処理は、最長約24時間(例えば、一実施形態では3~6時間)の間、最高約1400~1600℃の加熱処理とすることができる。これは、第1薄膜保護層と、隣接するセラミックス体、厚い保護層、又は第2薄膜保護層のうちの1以上との間に相互拡散層を作ることができる。セラミックス体がAlであり、保護層が化合物セラミックスYAlと固溶体Y2-xZr(Y-ZrO固溶体)で構成される場合、YAl12(YAG)界面層が形成される。同様に、熱処理は、EAGの遷移層をErとAlの間に形成させる。熱処理はまた、YAGの遷移層をYとAlの間に形成させる。熱処理はまた、GAGをGdとAlの間に形成させる。Al上のイットリア安定化ジルコニア(YSZ)の熱処理は、YAl(YAM)と固溶体Y2-xZrの化合物セラミックスの遷移層を形成することができる。他の遷移層を、他の隣接するセラミックス間に形成してもよい。
図6は、物品(例えば、チャンバコンポーネント)の本体上に薄膜保護層を形成するためのプロセス600の一実施形態を示す。プロセス600のブロック605で、物品が提供される。ブロック610では、物品上に厚膜保護層を堆積するか否かの決定がなされる。厚膜保護層が形成される場合、本方法は、ブロック615に進む。そうでない場合は、本方法は、ブロック620に続く。
ブロック615では、溶射プロセス(例えば、プラズマ溶射プロセス)が実行され、これによって物品上に厚膜保護層を堆積させる。溶射プロセスを実行する前に、いくつかの実施形態では、物品の本体は、粗面化させることができる。厚膜保護層は、任意の耐プラズマ性セラミックスとすることができる。厚膜保護層のいくつかの例は、YAl12、YAl、Y、YSZ、又はYAlとY-ZrOの固溶体とを含むセラミックス化合物を含む。厚膜保護層を形成した後、いくつかの用途のために、表面構造が、厚膜保護層の表面上に形成される。例えば、物品がESCである場合、メサとHe穴を形成することができる。代替の一実施形態では、厚膜保護層を溶射するのではなく、耐プラズマ性セラミックスディスク又は他のセラミックス構造を、物品の本体に接合させることができる。
ブロック620では、IAD又はPVDが実行され、これによって物品の本体上に薄膜保護層を堆積させる。厚膜保護層がブロック615で形成された場合、薄膜保護層は、トップコートとして、厚膜保護層上に形成することができる。薄膜保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又は、YAlとY-ZrOの固溶体とのセラミックス化合物とすることができる。薄膜保護層の堆積速度は、毎秒約1~8オングストロームとすることができ、堆積パラメータを調整することによって変化させることができる。薄膜保護層は、非常に適合性をもつことができ、厚さを均一とすることができ、それらが上に堆積される本体/基板に対して良好な接着性を有することができる。
ブロック625では、追加の薄膜保護層を堆積させるかどうかに関しての判断がなされる。追加の薄膜保護層が堆積される場合、プロセスは、ブロック630に続く。ブロック630では、他の薄膜保護層が、第1薄膜保護層上に形成される。他の薄膜保護層は、第1薄膜保護層のセラミックスとは異なるセラミックスで構成してもよい。一実施形態では、他の薄膜保護層は、YAl12、YAl、Er、Gd、ErAl12、GdAl12、又は、YAlとY-ZrOの固溶体とのセラミックス化合物のうちの1つである。その後、本方法は、ブロック625に戻る。ブロック625で、追加の薄膜保護層が塗布されない場合は、プロセスは終了する。薄膜保護層のいずれかが堆積された後、表面構造が、その薄膜保護層内に形成されてもよい。
図7Aは、イオンアシスト蒸着(IAD)などの高エネルギー粒子を利用した様々な堆積技術に適用可能な堆積メカニズムを示す。典型的なIAD法は、本明細書に記載されるように、イオン衝撃を組み込んだ堆積プロセス(例えば、蒸着(例えば、活性化反応性蒸着法(ARE))及びイオン衝撃の存在下でのスパッタリング)を含み、これによって耐プラズマコーティングを形成する。IAD法のいずれも、反応性ガス種(例えば、O、N、ハロゲン等)の存在下で実施することができる。
図示されるように、薄膜保護層715は、イオンなどの高エネルギー粒子703の存在下で堆積材料702の蓄積によって形成される。堆積材料702は、原子、イオン、ラジカル、又はそれらの混合物を含む。高エネルギー粒子703は、薄膜保護層715が形成されるとき、薄膜保護層715に衝突し、圧縮することができる。
一実施形態では、先に本明細書の他の箇所で説明したように、薄膜保護層715を形成するために、IADが利用される。図7Bは、IAD堆積装置の概略図を示す。図示されるように、材料源750は、堆積材料702のフラックスを提供し、一方、高エネルギー粒子源755は、高エネルギー粒子703のフラックスを提供し、これらの両方とも、IADプロセスを通して材料源750に衝突する。高エネルギー粒子源755は、酸素又は他のイオン源とすることができる。高エネルギー粒子源755はまた、粒子の発生源由来(例えば、プラズマ、反応性ガス由来、又は堆積材料を提供する材料源由来)の他の種類の高エネルギー粒子(例えば、不活性ラジカル、中性子原子、及びナノサイズ粒子)を提供することができる。堆積材料702を提供するために使用される材料源(例えば、ターゲット本体)750は、薄膜保護層715を構成する同じセラミックスに対応するバルク焼結セラミックスとすることができる。例えば、材料源は、バルク焼結セラミックス複合体、又はバルク焼結YAG、Er、Gd、ErAl12、又はGdAl12とすることができる。IADは、材料及び高エネルギーイオン源を提供するために、1以上のプラズマ又はビームを利用することができる。反応種もまた、耐プラズマ性コーティングの堆積中に供給することができる。一実施形態では、高エネルギー粒子703は、非反応種(例えば、Ar)又は反応種(例えば、O)のうちの少なくとも1つを含む。更なる実施形態では、反応種(例えば、CO)及びハロゲン(Cl、F、Brなど)もまた、耐プラズマコーティングの形成中に導入し、これによって薄膜保護層715に最も弱く結合された堆積材料を選択的に除去する傾向を更に高めることができる。
IADプロセスによって、高エネルギー粒子703は、高エネルギーイオン(又は他の粒子)源755によって、他の堆積パラメータとは独立して制御することができる。エネルギー(例えば、速度)に応じて、高エネルギーイオンフラックスの密度及び入射角、薄膜保護層の組成物、構造、結晶配向及び粒径を、操作することができる。調整可能な追加のパラメータは、堆積中の物品の温度、並びに堆積の期間である。イオンエネルギーは、大ざっぱに、低エネルギーイオンアシストと高エネルギーイオンアシストに分類することができる。イオンは、低エネルギーイオンアシストを用いた場合よりも高エネルギーイオンアシストを用いてより高い速度で発射される。概して、高エネルギーイオンアシストを用いた場合に、より優れた性能が示されている。堆積中の基板(物品)の温度は、大ざっぱに、低温(典型的な室温である一実施形態では、約120~150℃)と、高温(一実施形態では、約270℃)に分けることができる。
表2は、様々な堆積パラメータによるIADを用いて形成された複数の実施例の薄膜保護層を示す。YAlとY-ZrOの固溶体とのセラミックス化合物から形成された薄膜保護層に対して、5つの異なる実施例が示される。第1実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2オングストローム毎秒(A/s)の堆積速度によるIADを用いて形成された。X線回折は、第1実施例の化合物セラミックス薄膜保護層が結晶構造を有することを示した。第1実施例の化合物セラミックス薄膜保護層はまた、4.11GPaの硬度を有し、目視検査は、下地基板への良好な適合性、並びにいくつかの鉛直方向の亀裂といくつかのスパイクを示した。
第2実施例の化合物セラミックス薄膜保護層は、6ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び最初の2ミクロンに対して1A/sの堆積速度、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2実施例の化合物セラミックス薄膜保護層が(内部で一部が結晶で一部がアモルファスの)ナノ結晶構造を有することを示した。シールとして使用される場合、第2実施例の化合物セラミックス薄膜保護層は、最低5E-6cm/sまでの真空を維持することができた。第2実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、及び第1実施例の化合物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示した。
第3実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び1A/sの堆積速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄膜保護層がナノ結晶構造を有することを示した。シールとして使用される場合、第3実施例の化合物セラミックス薄膜保護層は、最低6.3E-6cm/sまでの真空を維持することができた。第3実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、及び第1実施例の化合物セラミックス薄膜保護層よりも少ない鉛直方向の亀裂を示した。
第4実施例の化合物セラミックス薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト、270℃の堆積温度、及び最初の1ミクロンに対して1A/s、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第3実施例の化合物セラミックス薄膜保護層がほぼアモルファス構造を有することを示した。シールとして使用される場合、第3実施例の化合物セラミックス薄膜保護層は、最低1.2E-9cm/sまでの真空を維持することができた。第4実施例の化合物セラミックス薄膜保護層の目視検査は、良好な適合性、滑らかな表面、及び非常に少ない鉛直方向の亀裂を示した。また、第4実施例の化合物セラミックス薄膜保護層は、7.825GPaの硬度を有する。
第5実施例の化合物薄膜保護層は、第4実施例の化合物薄膜保護層と同じパラメータだが、堆積温度は室温(約120~150℃)を用いて形成された。第5実施例の化合物薄膜保護層は、第4実施例の化合物薄膜保護層と同様の特性を示した。
第1実施例のYAG薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2.5A/sの堆積速度によるIADを用いて形成された。X線回折は、第1YAGセラミックス薄膜保護層がアモルファス構造を有することを示した。第1YAGセラミックス薄膜保護層はまた、5.7GPaの硬度を有しており、目視検査は、良好な適合性、最小限の亀裂、及び滑らかな表面を示した。
第2実施例のYAG薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト、270℃の堆積温度、及び最初の1ミクロンに対して1A/s、それに続く4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2YAG薄膜保護層がアモルファス構造を有することを示した。第2YAG薄膜保護層はまた、8.5GPaの硬度を有しており、目視検査は、良好な適合性、第1YAG薄膜と比べて亀裂の減少、及び滑らかな表面を示した。
化合物セラミックス及びYAGの交互層を有する一実施例の薄膜保護層スタックは、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2A/sの堆積速度によるIADを用いて形成された。X線回折は、交互層が(YAG層に対して)アモルファスであり、(化合物セラミックス層に対して)結晶又はナノ結晶であることを示した。目視検査は、化合物セラミックス層に対して鉛直方向の亀裂の減少を示した。
第1実施例のEr薄膜保護層は、5ミクロンの厚さを有し、低エネルギーイオンアシスト、270℃の堆積温度、及び2A/sの堆積速度によるIADを用いて形成された。X線回折は、第1Erセラミックス薄膜保護層が結晶構造を有することを示した。目視検査は、良好な適合性と鉛直方向の亀裂を示した。
第2実施例のEr薄膜保護層は、5ミクロンの厚さを有し、高エネルギーイオンアシスト、270℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後の4ミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2Erセラミックス薄膜保護層が結晶構造を有することを示した。目視検査は、良好な適合性と、第1Erセラミックス薄膜保護層と比べてより小さい鉛直方向の亀裂を示した。
第1実施例のEAG薄膜保護層は、7.5ミクロンの厚さを有し、高エネルギーイオンアシスト、270℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第1EAGセラミックス薄膜保護層がアモルファス構造を有し、層が8.485GPaの硬度を有することを示した。目視検査は、良好な適合性と最小限の亀裂を示した。
第2実施例のEAG薄膜保護層は、7.5ミクロンの厚さを有し、高エネルギーイオンアシスト、120~150℃の堆積温度、及び最初の1ミクロンに対して1A/sの堆積速度、その後のミクロンに対して2A/sの堆積速度によるIADを用いて形成された。X線回折は、第2EAGセラミックス薄膜保護層がアモルファス構造を有し、層が9.057GPaの硬度を有することを示した。目視検査は、良好な適合性と、第1EAGセラミックス薄膜保護層と比べてより少ない亀裂を示した。
図8~9は、本発明の実施形態に従って形成された薄膜保護層に対する浸食速度を示す。図8は、CH/Clプラズマ化学に曝露されたときの薄膜保護層の浸食速度を示す。図示されるように、IAD堆積された薄膜保護層は、Alと比べてはるかに改善された耐食性を示す。例えば、92%の純度を有するアルミナは、高周波時間当り約18ナノメートル(nm/RF時間)の浸食速度を示し、99.8%の純度を有するアルミナは、約56nm/RF時間の浸食速度を示した。対照的に、IAD堆積された化合物セラミックス薄膜保護層は、約3nm/RF時間の浸食速度を示し、IAD堆積されたYAG薄膜保護層は、約1nm/RF時間の浸食速度を示した。
図9は、H/NFプラズマ化学に曝露されたときの薄膜保護層の浸食速度を示す。図示されるように、IAD堆積された薄膜保護層は、Alと比べてはるかに改善された耐食性を示す。例えば、92%の純度を有するアルミナは、約190nm/RF時間の浸食速度を示し、99.8%の純度を有するアルミナは、約165nm/RF時間の浸食速度を示した。対照的に、IAD堆積されたYAG薄膜保護層は、約52nm/RF時間の浸食速度を示した。同様に、低エネルギーイオンによるIADを使用して堆積された化合物セラミックス薄膜保護層は、約45nm/RF時間の浸食速度を示し、高エネルギーイオンによるIADを使用して堆積された化合物セラミックス薄膜保護層は、約35nm/RF時間の浸食速度を示した。高い堆積温度(例えば、約270℃)でIADを使用して堆積されたEAG薄膜保護層は、約95nm/RF時間の浸食速度を示し、低い堆積温度(例えば、約120~150℃)でIADを使用して堆積されたEAG薄膜保護層は、約70nm/RF時間の浸食速度を示した。高エネルギーイオンによるIADを使用して堆積されたEr薄膜保護層は、約35nm/RF時間の浸食速度を示した。
図10~11は、本発明の実施形態に従って形成された薄膜保護層に対する粗さプロファイルを示す。図10は、100RF時間の間のCH/Clプラズマ化学への曝露前後の図8の薄膜保護層の表面粗さプロファイルを示す。図示されるように、IAD堆積された薄膜保護層は、100RF時間の間のCH/Clプラズマ化学への曝露後、表面粗さの最小の変化を示す。
図11は、35RF時間の間、H/NFプラズマ化学への曝露前後の図9の薄膜保護層の表面粗さプロファイルを示す。図示されるように、IAD堆積された薄膜保護層は、35RF時間の間のH/NFプラズマ化学への曝露後、表面粗さの最小の変化を示す。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例では、周知のコンポーネント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本発明の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。用語「約」又は「およそ」は、本明細書で使用される場合、これは、提示された公称値が±30%以内で正確であることを意味することを意図している。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (17)

  1. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、
    /NFプラズマ化学に曝露されたときに52nm/RF時間±30%以下の摩耗速度を有するYAl12
    /NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するEr
    /NFプラズマ化学に曝露されたときに70nm/RF時間±30%以下の摩耗速度を有するErAl12
    /NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するYAlとY-ZrOの固溶体とを含むセラミックス化合物、からなる群から選択される第1セラミックスを含む第1保護層とを含み、
    第1保護層は、第1保護層が形成された本体の少なくとも1つの面上に存在していなかった複数の表面構造を形成し、複数の表面構造は少なくとも、メサ、溝又はシールリングの1つを含む物品。
  2. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、アモルファス構造を有し、H/NFプラズマ化学に曝露されたときに52nm/RF時間±30%以下の摩耗速度を有するYAl12である第1セラミックスを含む第1保護層とを含む物品。
  3. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、アモルファス構造を有し、H/NFプラズマ化学に曝露されたときに70nm/RF時間±30%以下の摩耗速度を有するErAl12である第1セラミックスを含む第1保護層とを含む物品。
  4. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、アモルファス構造を有し、H/NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するYAlとY-ZrOの固溶体を含むセラミックス化合物である第1セラミックスを含む第1保護層とを含む物品。
  5. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、結晶又はナノ結晶構造を有し、H/NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するErである第1セラミックスを含む第1保護層とを含む物品。
  6. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、YAlとY-ZrOの固溶体とを含むセラミックス化合物である第1セラミックスを含み、第1セラミックスは、H/NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有し、CH/Clプラズマ化学に曝露されたときに3nm/RF時間±30%以下の摩耗速度を有する第1保護層とを含む物品。
  7. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、
    /NFプラズマ化学に曝露されたときに52nm/RF時間±30%以下の摩耗速度を有するYAl12
    /NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するEr
    /NFプラズマ化学に曝露されたときに70nm/RF時間±30%以下の摩耗速度を有するErAl12
    /NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有するYAlとY-ZrOの固溶体とを含むセラミックス化合物、からなる群から選択される第1セラミックスを含む第1保護層とを含む物品であって、
    物品は、石英又はサファイアのビューポートであり、ビューポート及び第1保護層はビューポートを介して伝送される光信号に透明である物品。
  8. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、YAlとY-ZrOの固溶体とを含むセラミックス化合物である第1セラミックスを含み、第1セラミックスはH/NFプラズマ化学に曝露されたときに35nm/RF時間±30%以下の摩耗速度を有する第1保護層とを含む物品であって、
    第1セラミックスは、7.8GPa±30%の硬度、9.83±30%の誘電率、4.1E16Ωm±30%の体積抵抗率と、19.9W/mK±30%の熱伝導率、又は1.2E-9cm/s±30%のヘルミシティの少なくとも1つを有する物品。
  9. 本体と、
    本体の少なくとも1つの面上の第1保護層であって、1%以下の空孔率を有する薄膜であり、ErAl12である第1セラミックスを含み、第1セラミックスはH/NFプラズマ化学に曝露されたときに70nm/RF時間±30%以下の摩耗速度を有する第1保護層をと含む物品であって、
    第1セラミックスは、9GPa±30%の硬度、9.54±30%の誘電率、19.2W/mK±30%の熱伝導率、又は9.5E-10cm/s±30%のヘルミシティの少なくとも1つを有する物品。
  10. 物品は、チャンバ蓋、ノズル、シャワーヘッドベース、シャワーヘッド、ガス分配プレート(GDP)、チャンバビューポート、プロセスキットリング、シールド、プラズマスクリーン、フローイコライザ、チャンバ壁及びライナキットからなる群から選択されるチャンバコンポーネントである、請求項1~9のいずれか1項記載の物品。
  11. 本体は、Al、AlN、Al、陽極酸化Al、チタン、ステンレス鋼、石英、サファイア、Si又はSiCの少なくとも1つを含む、請求項1~9のいずれか1項記載の物品。
  12. 本体の少なくとも1つの面上の保護層スタックであって、少なくとも第1保護層と、第1保護層の少なくとも一部を覆う第2保護層とを含む薄膜であり、第2保護層は、第1セラミックスとは異なる第2セラミックスを含み、第2セラミックスは、YAl12、YAl、Er、Gd、ErAl12、GdAl12、及び、YAlとY-ZrOの固溶体とを含むセラミックス化合物からなる群から選択される保護層スタックを含む、請求項1~9のいずれか1項記載の物品。
  13. 第1保護層はコンフォーマルであり、第2保護層はコンフォーマルである請求項12記載の物品。
  14. 第1セラミックスは、11.3E16Ωm±30%の体積抵抗率、9.76±30%の誘電率、20.1W/mK±30%の熱伝導率、8.5GPa±30%の硬度、又は4.4E-10cm/s±30%のヘルミシティの少なくとも1つを有するYAl12である、請求項1又は2項記載の物品。
  15. 第1セラミックスは、9.67±30%の誘電率、19.4W/mK±30%の熱伝導率、又は5.5E-9cm/s±30%のヘルミシティの少なくとも1つを有するErである、請求項1又は5記載の物品。
  16. 第1保護層は、約20ミクロンより小さな厚さを有する、請求項1~9のいずれか1項記載の物品。
  17. 本体は第1熱膨張係数値を有し、第1保護層は第2熱膨張係数値を有し、第2保護層は第3熱膨張係数値を有し、第2熱膨張係数値は、第1熱膨張係数値と第3熱膨張係数値との間にある、請求項12記載の物品。
JP2021000036A 2013-06-20 2021-01-04 プラズマ耐食性希土類酸化物系薄膜コーティング Active JP7368398B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023115633A JP2023145534A (ja) 2013-06-20 2023-07-14 プラズマ耐食性希土類酸化物系薄膜コーティング

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361837595P 2013-06-20 2013-06-20
US61/837,595 2013-06-20
US14/306,583 US9850568B2 (en) 2013-06-20 2014-06-17 Plasma erosion resistant rare-earth oxide based thin film coatings
US14/306,583 2014-06-17
JP2019003509A JP6820359B2 (ja) 2013-06-20 2019-01-11 プラズマ耐食性希土類酸化物系薄膜コーティング

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019003509A Division JP6820359B2 (ja) 2013-06-20 2019-01-11 プラズマ耐食性希土類酸化物系薄膜コーティング

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023115633A Division JP2023145534A (ja) 2013-06-20 2023-07-14 プラズマ耐食性希土類酸化物系薄膜コーティング

Publications (3)

Publication Number Publication Date
JP2021073372A JP2021073372A (ja) 2021-05-13
JP2021073372A5 JP2021073372A5 (ja) 2021-08-19
JP7368398B2 true JP7368398B2 (ja) 2023-10-24

Family

ID=52105282

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2016521573A Active JP6496308B2 (ja) 2013-06-20 2014-06-19 プラズマ耐食性希土類酸化物系薄膜コーティング
JP2019003509A Active JP6820359B2 (ja) 2013-06-20 2019-01-11 プラズマ耐食性希土類酸化物系薄膜コーティング
JP2021000036A Active JP7368398B2 (ja) 2013-06-20 2021-01-04 プラズマ耐食性希土類酸化物系薄膜コーティング
JP2023115633A Pending JP2023145534A (ja) 2013-06-20 2023-07-14 プラズマ耐食性希土類酸化物系薄膜コーティング

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016521573A Active JP6496308B2 (ja) 2013-06-20 2014-06-19 プラズマ耐食性希土類酸化物系薄膜コーティング
JP2019003509A Active JP6820359B2 (ja) 2013-06-20 2019-01-11 プラズマ耐食性希土類酸化物系薄膜コーティング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023115633A Pending JP2023145534A (ja) 2013-06-20 2023-07-14 プラズマ耐食性希土類酸化物系薄膜コーティング

Country Status (6)

Country Link
US (5) US9850568B2 (ja)
JP (4) JP6496308B2 (ja)
KR (3) KR102294960B1 (ja)
CN (2) CN111900084B (ja)
TW (5) TWI748928B (ja)
WO (1) WO2014205212A1 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
KR20170117490A (ko) * 2015-02-13 2017-10-23 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
CN109072432B (zh) * 2016-03-04 2020-12-08 Beneq有限公司 抗等离子蚀刻膜及其制造方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
TW201841869A (zh) * 2017-03-21 2018-12-01 美商康波能再造工程公司 於高腐蝕或侵蝕半導體製程應用中使用的陶瓷材料組件
US20190078200A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
US11401611B2 (en) * 2017-11-09 2022-08-02 Solution Spray Technologies, LLC Thermal barrier coatings with CMAS resistance
KR102040910B1 (ko) 2018-02-05 2019-11-27 충북대학교 산학협력단 탈부착형 모듈을 이용한 IoT 환경 구축 방법
TWI714965B (zh) * 2018-02-15 2021-01-01 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7319425B2 (ja) * 2018-05-15 2023-08-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用部品
JP7068921B2 (ja) 2018-05-15 2022-05-17 東京エレクトロン株式会社 部品の形成方法及びプラズマ処理装置
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
CN113260732A (zh) * 2018-12-05 2021-08-13 京瓷株式会社 等离子体处理装置用构件和具备它的等离子体处理装置
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102667885B1 (ko) * 2019-05-13 2024-05-22 어플라이드 머티어리얼스, 인코포레이티드 금속 오염을 감소시키기 위한 티타늄 라이너
US11289357B2 (en) * 2019-06-27 2022-03-29 Applied Materials, Inc. Methods and apparatus for high voltage electrostatic chuck protection
JP7005082B2 (ja) * 2019-07-03 2022-02-04 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
US20210183620A1 (en) * 2019-12-13 2021-06-17 Applied Materials, Inc. Chamber with inductive power source
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
JP7140222B2 (ja) * 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
JP7115582B2 (ja) * 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
TWI778587B (zh) * 2020-04-30 2022-09-21 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI777504B (zh) * 2020-04-30 2022-09-11 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
CN113707526B (zh) * 2020-05-20 2024-05-24 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
JP7476039B2 (ja) 2020-09-02 2024-04-30 キオクシア株式会社 半導体装置の検査装置、及び、半導体装置の検査方法
US11699611B2 (en) 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI781593B (zh) * 2021-04-21 2022-10-21 翔名科技股份有限公司 耐電漿腐蝕的保護層與其形成方法
US20240021795A1 (en) 2021-08-13 2024-01-18 Lg Energy Solution, Ltd. Anode active material, anode slurry, anode, and secondary battery
KR20240035883A (ko) * 2021-08-31 2024-03-18 교세라 가부시키가이샤 내플라즈마 적층체, 그 제조 방법, 및 플라즈마 처리 장치
KR102535560B1 (ko) * 2022-10-14 2023-05-26 주식회사 코미코 내플라즈마성 코팅막의 제조방법
KR102674395B1 (ko) 2023-12-04 2024-06-12 주식회사 디에프텍 이온빔 소스를 이용하여 내플라즈마 특성 향상을 위한 코팅 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2010006641A (ja) 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
JP2012508467A (ja) 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2013512573A (ja) 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
EP1013623B1 (en) 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
ATE491825T1 (de) 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
EP1193233A1 (en) 2000-02-07 2002-04-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor production/inspection device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
IL152517A0 (en) 2000-05-02 2003-05-29 Univ Johns Hopkins Method of making reactive multilayer foil and resulting product
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1167565B1 (en) 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Method for thermal spray coating and rare earth oxide powder used therefor
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
AU2001288566A1 (en) 2000-11-15 2002-05-27 Gt Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
BR0211578A (pt) 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
TW200420431A (en) 2002-11-20 2004-10-16 Shinetsu Chemical Co Heat resistant coated member, making method, and treatment using the same
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
JP4045990B2 (ja) * 2003-03-26 2008-02-13 株式会社デンソー コーティング方法及びコーティング用治具
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005098086A2 (en) 2004-03-24 2005-10-20 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
DE112005001601T5 (de) 2004-07-07 2007-05-16 Gen Electric Schützende Beschichtung auf einem Substrat und Verfahren zum Herstellen derselben
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
EP1805817B1 (en) 2004-10-01 2016-11-16 American Superconductor Corporation Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) * 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7790216B2 (en) * 2005-04-19 2010-09-07 Zimmer Technology, Inc. Method for producing a zirconia-layered orthopedic implant component
US7976768B2 (en) 2005-05-31 2011-07-12 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
WO2006135043A1 (ja) 2005-06-17 2006-12-21 Tohoku University 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI329136B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
ES2373144T3 (es) 2006-05-12 2012-01-31 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos.
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) * 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
WO2008044555A1 (fr) 2006-10-06 2008-04-17 Asahi Tech Co., Ltd. élément résistant à la corrosion et son procédé de fabrication
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
EP2123615A4 (en) 2007-01-17 2012-05-09 Toto Ltd CERAMIC ELEMENT AND CORROSION RESISTANT ELEMENT
MX2009009745A (es) 2007-03-12 2009-09-23 Saint Gobain Ceramics Elementos de ceramica de alta resistencia y metodos para hacer y usar los mismos.
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US8062759B2 (en) * 2007-12-27 2011-11-22 General Electric Company Thermal barrier coating systems including a rare earth aluminate layer for improved resistance to CMAS infiltration and coated articles
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
KR100969248B1 (ko) 2008-08-04 2010-07-14 한국해양연구원 해양 생물표본 촬영장치 및 방법
KR101582785B1 (ko) * 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 정전 척 조립체
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US8667583B2 (en) 2008-09-22 2014-03-04 Microsoft Corporation Collecting and analyzing malware data
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
WO2010053687A2 (en) 2008-11-04 2010-05-14 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CN102388680B (zh) 2009-02-05 2015-07-08 苏舍美特科公司 等离子体涂覆设备和基材表面的涂覆或处理方法
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
WO2010128572A1 (ja) 2009-05-08 2010-11-11 有限会社渕田ナノ技研 ジルコニア膜の成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
CN102484262B (zh) 2009-08-26 2014-09-03 丰田自动车株式会社 燃料电池系统以及燃料电池系统的运转方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
CN102822115B (zh) 2010-03-30 2017-06-27 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2014522572A (ja) 2011-06-02 2014-09-04 アプライド マテリアルズ インコーポレイテッド 静電チャックの窒化アルミ誘電体の修復方法
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
CA3009733A1 (en) 2011-12-19 2013-06-27 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings and processes for making and applying the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2010006641A (ja) 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
JP2012508467A (ja) 2008-11-10 2012-04-05 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品用耐プラズマコーティング
JP2013512573A (ja) 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板

Also Published As

Publication number Publication date
TWI795981B (zh) 2023-03-11
KR102422715B1 (ko) 2022-07-18
US20200087776A1 (en) 2020-03-19
CN111900084A (zh) 2020-11-06
KR102586972B1 (ko) 2023-10-06
KR102294960B1 (ko) 2021-08-26
TW201936389A (zh) 2019-09-16
CN105247662B (zh) 2020-08-28
TW202206275A (zh) 2022-02-16
JP2023145534A (ja) 2023-10-11
JP6496308B2 (ja) 2019-04-03
TW201504044A (zh) 2015-02-01
US9850568B2 (en) 2017-12-26
KR20210107172A (ko) 2021-08-31
KR20220104281A (ko) 2022-07-26
JP2019108612A (ja) 2019-07-04
US20210317563A1 (en) 2021-10-14
US20180073125A1 (en) 2018-03-15
JP2016528380A (ja) 2016-09-15
US11680308B2 (en) 2023-06-20
US20180087144A1 (en) 2018-03-29
US10119188B2 (en) 2018-11-06
WO2014205212A1 (en) 2014-12-24
JP2021073372A (ja) 2021-05-13
TWI734119B (zh) 2021-07-21
US11053581B2 (en) 2021-07-06
CN111900084B (zh) 2022-07-26
JP6820359B2 (ja) 2021-01-27
TW202138188A (zh) 2021-10-16
CN105247662A (zh) 2016-01-13
TW202325541A (zh) 2023-07-01
TWI664073B (zh) 2019-07-01
US10501843B2 (en) 2019-12-10
KR20160022361A (ko) 2016-02-29
US20140377504A1 (en) 2014-12-25
TWI748928B (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
JP7368398B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6522724B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
JP6487915B2 (ja) プロセスリング上の希土類酸化物系薄膜コーティング用イオンアシスト蒸着
JP2018087129A (ja) 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221005

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230714

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230921

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231012

R150 Certificate of patent or registration of utility model

Ref document number: 7368398

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150