CN111900084A - 抗等离子体腐蚀的稀土氧化物基薄膜涂层 - Google Patents

抗等离子体腐蚀的稀土氧化物基薄膜涂层 Download PDF

Info

Publication number
CN111900084A
CN111900084A CN202010788490.1A CN202010788490A CN111900084A CN 111900084 A CN111900084 A CN 111900084A CN 202010788490 A CN202010788490 A CN 202010788490A CN 111900084 A CN111900084 A CN 111900084A
Authority
CN
China
Prior art keywords
protective layer
ceramic
thin film
article
hardness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010788490.1A
Other languages
English (en)
Other versions
CN111900084B (zh
Inventor
J·Y·孙
B·P·卡农戈
V·菲鲁兹多尔
T·赵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111900084A publication Critical patent/CN111900084A/zh
Application granted granted Critical
Publication of CN111900084B publication Critical patent/CN111900084B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Turbine Rotor Nozzle Sealing (AREA)
  • Laminated Bodies (AREA)

Abstract

本发明涉及抗等离子体腐蚀的稀土氧化物基薄膜涂层。制品包含主体和至少一个保护层,所述保护层位于所述主体的至少一个表面上。所述至少一个保护层是具有小于约20微米的厚度、并包含陶瓷的薄膜,所述陶瓷选自由以下各项组成的组:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及含Y4Al2O9与Y2O3‑ZrO2固体溶液的陶瓷化合物。

Description

抗等离子体腐蚀的稀土氧化物基薄膜涂层
本申请是申请日为“2014年6月19日”、申请号为“201480030094.5”、题为“抗等离子体腐蚀的稀土氧化物基薄膜涂层”的分案申请。
技术领域
本发明的实施例大体涉及具有抗等离子体的薄膜保护层的腔室部件。
背景技术
在半导体产业中,器件由生产持续减小的尺寸的结构的数个制造工艺来制造。诸如等离子体蚀刻和等离子体清洁工艺等的一些制造工艺是使基板暴露于高速等离子体流以蚀刻或清洁基板。等离子体可能是高度腐蚀性的,并会腐蚀处理腔室和暴露于等离子体的其他表面。
附图说明
本发明以示例方式而非限制方式来说明,在所附附图中的各附图中以同样的附图标记指示类似的元件。应当注意,本公开中提及的“一”或“一个”实施例不一定是指同一个实施例,并且此类提及意味着至少一个。
图1描绘处理腔室的一个实施例的截面视图。
图2A-5描绘在一个表面上具有保护层叠层的示例制品的横截面侧视图。
图6示出用于将一个或更多个保护层形成在制品上的工艺的一个实施例。
图7A描绘适用于利用高能粒子的各种沉积技术(诸如,离子辅助沉积(IAD))的沉积机制。
图7B描绘IAD沉积设备的示意图。
图8-9示出根据本发明的实施例所形成的薄膜保护层的腐蚀速率。
图10-11示出根据本发明的实施例所形成的薄膜保护层的粗糙度轮廓。
具体实施方式
本发明的实施例提供制品,诸如,用于处理腔室的腔室部件,所述制品的一个或更多个表面上具有薄膜保护层。保护层可具有小于约20微米的厚度,并且可提供抗等离子体腐蚀性,以保护制品。可使用离子辅助沉积(IAD)或物理气相沉积(PVD)将保护层形成在制品上。薄膜保护层可用作厚膜保护层上的顶涂层,该厚膜保护层已使用例如等离子体喷涂技术而形成。在一些实施例中,包含两个或更多个薄膜保护层的薄膜保护层叠层形成在制品上。在此类实施例中,每一个薄膜保护层可由IAD或PVD形成,并且厚度可以是约20微米或更小。薄膜保护层可以是Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。由薄膜保护层提供的改善的抗腐蚀性可改善制品的使用寿命,同时降低维护和制造成本。
图1是半导体处理腔室100的截面视图,该处理腔室100具有一个或更多个腔室部件,这一个或更多个腔室部件涂覆有根据本发明的实施例的薄膜保护层。处理腔室100可用于在其中提供了腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洗器等的腔室。可包括薄膜保护层的腔室部件的示例包括基板支撑组件148、静电卡盘(ESC)150、环(例如,处理套环或单环)、腔室壁、基底、气体分配板、喷淋头、衬层、衬层套组、屏蔽体、等离子体屏、流量均衡器、冷却基底、腔室视口、腔室盖等。薄膜保护层(在下文中更详细地描述)可包括Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er2O3、Gd2O3、Er3Al5O12(EAG)、Gd3Al5O12(GAG)和/或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。薄膜保护层也可包括Y2O3与Y2O3基陶瓷、Er2O3基陶瓷、Gd2O3基陶瓷和其他稀土氧化物。
薄膜保护层可以是应用于不同的陶瓷的IAD或PVD涂层,不同的陶瓷包括氧化物基陶瓷、氮化物基陶瓷和碳化物基陶瓷。氧化物基陶瓷的示例包括SiO2(石英)、Al2O3、Y2O3等。碳化物基陶瓷的示例包括SiC、Si-SiC等。氮化物基陶瓷的示例包括AlN、SiN等。IAD或PVD涂层靶材可以是煅烧粉末、预形成块(例如,由生坯冲压、热压等形成)、烧结体(例如,具有50%-100%的密度)、机器加工体(例如,可以是陶瓷、金属或金属合金)或预熔体(100%密度)。基板也可以是金属基板,诸如,Al、Ti、不锈钢或经阳极化处理的铝基板。
如图所述,根据一个实施例,基板支撑组件148具有薄膜保护层136。然而,应当理解,诸如上文中列举的那些腔室部件之类的其他腔室部件中的任何一个也可包括薄膜保护层。
在一个实施例中,处理腔室100包括封闭了内部体积106的腔室体102和喷淋头130。喷淋头可包括喷淋头基底和喷淋头气体分配板。或者,在一些实施例中,喷淋头130可由盖与喷嘴取代。腔室体102可由铝、不锈钢或其他合适的材料制成。腔室体102通常包括侧壁108和底部110。喷淋头130(或盖和/或喷嘴)、侧壁108和/或底部110中的任一个可包括薄膜保护层。
外衬层116可邻近侧壁108而设置以保护腔室体102。外衬层116可制有和/或涂覆有薄膜保护层。在一个实施例中,外衬层116由氧化铝制成。
排气端口126可限定在腔室体102中,且排气端口126可将内部体积106耦接至泵系统128。泵系统128可包括一个或多个泵和节流阀,用于排空并调节处理腔室100的内部体积106内的压力。
喷淋头130可支撑在腔室体102的侧壁108上。喷淋头130(或盖)可打开以允许进出处理腔室100的内部体积106,并且喷淋头130(或盖)在关闭时可提供对处理腔室100的密封。气体面板158可耦接至处理腔室100,以便通过喷淋头130或盖与喷嘴来将工艺和/或清洁气体提供至内部体积106。喷淋头130用于电介质蚀刻(对电介质材料的蚀刻)用的处理腔室。喷淋头130包括气体分配板(GDP)133,该GDP 133具有遍及该GDP 133的多个气体输送孔132。喷淋头130可包括粘合到铝基底或经阳极化处理的铝基底的GDP 133。GDP 133可由硅(Si)或碳化硅(SiC)制成,或可以是陶瓷,诸如,Y2O3、Al2O3、YAG等。
对于用于导体蚀刻(对导电材料进行的蚀刻)的处理腔室,可使用盖而非喷淋头。盖可包括适配该盖的中心孔的中心喷嘴。盖可以是陶瓷,诸如Al2O3、Y2O3、YAG或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。喷嘴也可以是陶瓷,诸如Y2O3、YAG或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。盖、喷淋头基底104、GDP 133和/或喷嘴可涂覆有薄膜保护层。
可用于在处理腔室100中处理基板的处理气体的示例包括:含卤素气体,诸如,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等;以及其他气体,诸如,O2或N2O。载气的示例包括N2、He、Ar以及不与工艺气体作用的其他气体(例如,非反应气体)。基板支撑组件148设在处理腔室100的内部体积106中的喷淋头130或盖下方。在处理期间,基板支撑组件148保持基板144。环146(例如,单环)可覆盖一部分的静电卡盘150,并且可在处理期间保护被覆盖的部分免于暴露于等离子体。在一个实施例中,环146可以是硅或石英。
内衬层118可涂覆在基板支撑组件148的外围上。内衬层118可以是抗含卤素气体的材料,诸如,参考外衬层116所讨论的那些材料。在一个实施例中,内衬层118可由与外衬层116相同的材料制成。此外,内衬层118可涂覆有薄膜保护层。
在一个实施例中,基板支撑组件148包括支撑基座152的装配板162和静电卡盘150。静电卡盘150进一步包括导热基底164和静电定位盘166,由粘合剂138将静电定位盘166粘合到导热基底,在一个实施例中,粘合剂是硅酮粘合剂。在所示实施例中,静电定位盘166的上表面由薄膜保护层136覆盖。在一个实施例中,薄膜保护层136设置在静电定位盘166的上表面上。在另一实施例中,薄膜保护层136设置在静电卡盘150的整个被暴露的表面上,包括导热基底164和静电定位盘166的外围和侧缘。装配板162耦接至腔室体102的底部110,并且包括用于将设施(诸如,流体、电力线、传感器引线等)引导至导热基底164和静电定位盘166的通道。
导热基底164和/或静电定位盘166可包括一个或更多个任选的嵌入式加热元件176、嵌入式隔热器174和/或导管168、170,以控制基板支撑组件148的侧向温度轮廓。导管168、170可流体地耦接至流体源172,该流体源172使温度调节流体通过导管168、170进行循环。在一个实施例中,嵌入式隔热器174可设置在导管168、170之间。加热器176由加热器电源178来调节。导管168、170和加热器176可用于控制导热基底164的温度,进而加热和/或冷却静电定位盘166和正在处理的基板(例如,晶片)144。可使用多个温度传感器190、192来监测静电定位盘166和导热基底164的温度,可使用控制器195来监测温度传感器190、192。
静电定位盘166可进一步包括多个气体通道,诸如,沟槽、台面和其他表面特征,气体通道可形成于定位盘166的上表面和/或薄膜保护层136。气体通道可经由定位盘166中钻出的孔来流体地耦接至热传递(或背侧)气体,诸如,He。在操作中,可将以受控的压力提供的背侧气体提供到气体通道中以增强静电定位盘166与基板144之间的热传递。
静电定位盘166包括至少一夹持电极180,夹持电极受控于夹持(chucking)电源182。电极180(或设置在定位盘166或基底164中的其他电极)可通过匹配电路188进一步耦接至一个或更多个射频(RF)电源184、186,以便维持在处理腔室100内由工艺和/或其他气体形成的等离子体。源184、186通常能产生频率从约50kHz至约3kHz、功率高达约10000瓦的RF信号。
图2A-5示出由一个或更多个薄膜保护层覆盖的制品(例如,腔室部件)的横截面侧视图。参照图2A,制品200的基底或主体205的至少部分由薄膜保护层208涂覆。制品200可以是腔室部件,诸如,基板支撑组件、静电卡盘(ESC)、环(例如,工艺套环或单环)、腔室壁、基底、气体分配板或喷淋头、衬层、衬层套组、屏蔽体、等离子体屏、流量均衡器、冷却基底、腔室视口、腔室盖等。制品200的主体205可以是金属、陶瓷、金属-陶瓷复合物、聚合物或聚合物-陶瓷复合物。
各种腔室部件由不同的材料组成。例如,静电卡盘可由粘合到经阳极化处理的铝基底的陶瓷组成,诸如,Al2O3(氧化铝)、AlN(氮化铝)、TiO(氧化钛)、TiN(氮化钛)或SiC(碳化硅)。Al2O3、AlN和经阳极化处理的铝具有差的抗等离子体腐蚀性。当暴露于具有氟化学品和/或还原性化学品的等离子体环境时,在约50射频小时(RFHr)的处理之后,静电卡盘的静电定位盘会呈现降级的晶片夹持、增加的He泄漏率、晶片前侧与背侧的粒子产生以及晶片上金属污染。一个射频小时是一个处理小时。
用于导体蚀刻工艺的等离子体蚀刻器用的盖可以是烧结陶瓷(诸如,Al2O3),因为Al2O3具有高抗弯强度和高热导率。然而,暴露于氟化学品的Al2O3会在晶片上形成AlF粒子和铝金属污染。一些腔室盖在面向等离子体的侧具有厚膜保护层以使粒子产生和金属污染最小化并延长盖的寿命。然而,大多数厚膜涂覆技术具有长的前置时间(lead time)。此外,对于大多数厚膜涂覆技术,执行特殊表面准备以使待涂覆的制品(例如,盖)准备好接收涂层。此类长的前置时间和涂覆准备步骤会增加成本并降低生产力,并且抑制修整。此外,大多数厚膜涂层具有会使晶片上缺陷性能降级的固有裂缝和孔隙。
工艺套环和单环用于密封和/或保护其他腔室部件,并且通常由石英或硅制成。这些环可围绕被支撑的基板(例如,晶片)而设置以确保均匀的等离子体密度(以及由此产生的均匀蚀刻)。然而,石英和硅在各种蚀刻化学品(例如等离子蚀刻化学品)作用下具有很高的腐蚀速率。此外,当暴露于等离子体化学品时,此类环会导致粒子污染。工艺套环和单环也可由烧结陶瓷(诸如,YAG)和/或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物组成。
用于执行电介质蚀刻工艺的蚀刻器用喷淋头通常由粘合至SiC面板的经阳极化处理的铝制成。当此类喷淋头暴露于包括氟的等离子体化学品时,由于等离子体与经阳极化处理的铝基底的相互作用,而形成AlF。此外,经阳极化处理的铝基底的高腐蚀速率会引起起弧(arcing),并最终缩短喷淋头多次清洗之间的平均时间。
腔室视口(也称作端点窗)是通常由石英或蓝宝石制成的透明部件。各种光学传感器可受视口保护,并且可通过该视口进行光学传感器读数。此外,视口使使用者在处理期间能够目视检查或观察晶片。石英和蓝宝石两者均具有差的等离子体腐蚀抗性。随着等离子体化学品腐蚀视口并使视口粗糙化,该视口的光学性质将改变。例如,视口可能变混浊(cloudy)和/或通过视口的光学信号可能变成歪斜的。这会损害光学传感器收集准确读数的能力。然而,厚膜保护层不适合用于视口,因为这些涂层会堵塞视口。
以上提供的示例仅陈述性能可利用本文中的实施例中所陈述的薄膜保护层来改善的一些腔室部件。
往回参考图2A,制品200的主体205可包括一个或更多个表面特征,诸如,图2A中所示的台面。对于静电卡盘,表面特征可包括台面、密封带、气体流道、氦气孔等。对于喷淋头,表面特征可包括粘合线、数百或数千个气体分配孔、围绕这些气体分配孔的凹部(divot)或凸块(bump)等。其他腔室部件可具有其他表面特征。
形成在主体205上的薄膜保护层208可共形于主体205的表面特征。如图所示,薄膜保护层208维持主体205的上表面的相对形状(例如,浮印台面的形状)。此外,薄膜涂层可以是足够薄的,使得不堵塞喷淋头的孔或静电卡盘中的He孔。在一个实施例中,薄膜保护层208具有小于约20微米的厚度。在进一步的实施例中,薄膜保护层具有在约0.5微米至约7微米之间的厚度。
薄膜保护层208是沉积陶瓷层,此可使用离子辅助沉积(IAD)工艺或物理气相沉积(PVD)工艺而形成在制品200的主体205上。可执行的一个示例IAD工艺是电子束离子辅助沉积(EB-IAD)。IAD或PVD沉积的薄膜保护层208可具有相对低的膜应力(例如,相比于等离子体喷涂或溅射而导致的膜应力)。该相对低的膜应力可使主体205的下表面非常平坦,对于具有12英寸直径的主体,整个主体上具有小于约50微米的曲率。此外,IAD或PVD沉积的薄膜保护层208可具有小于1%的孔隙度,并且在一些实施例中为小于约0.1%。因此,IAD或PVD沉积的保护层为致密结构,这对于在腔室部件上的应用可具有性能益处。此外,可在不首先使主体205的上表面粗糙化或执行其他耗时的表面准备步骤的情况下就沉积IAD或PVD沉积的保护层208。由于使主体粗糙化会降低主体205的击穿电压,因此能在不首先使主体205粗糙化的情况下就涂布薄膜保护层208有益于一些应用(例如,用于静电卡盘)。
可用于形成薄膜保护层208的陶瓷的示例包括Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12或含Y4Al2O9与Y2O3-ZrO2固体溶液(Y2O3-ZrO2固溶体)的陶瓷化合物。其他Er基和/或Gd基抗等离子体稀土氧化物也可用于形成薄膜保护层208。在一个实施例中,薄膜保护层是由35摩尔%的Y2O3与65摩尔%的Al2O3组成的YAG。在另一实施例中,陶瓷涂层是由30-40摩尔%的Y2O3与60-70摩尔%的Al2O3组成的YAG。在一个实施例中,陶瓷化合物包括62.93摩尔%的Y2O3、23.23摩尔%的ZrO2和13.94摩尔%的Al2O3。在另一实施例中,陶瓷化合物可包括50-75摩尔%的Y2O3、10-30摩尔%范围中的ZrO2和10-30摩尔%范围中的Al2O3。在其他实施例中,其他分布也可用于陶瓷化合物。上述陶瓷中的任何一种可包括微量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
Figure BDA0002622927640000081
表1:IAD沉积的YAG、Er2O3、EAG和含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物的材料性质
表1示出92%Al2O3(氧化铝)基板的材料性质和各种涂覆92%Al2O3基板的薄膜保护层的材料性质。如图所示,氧化铝基板具有363伏特/密耳(V/mil)的击穿电压。相比之下,IAD沉积的含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物的5微米(μm)涂层具有2500V(远高于氧化铝的正规化值363V/mil)的击穿电压。IAD沉积的YAG的5μm涂层具有6800V的击穿电压。IAD沉积的Er2O3的5μm涂层具有527V的击穿电压。IAD沉积的EAG的5μm涂层具有900V的击穿电压。
在室温下,氧化铝的体电阻率为约0.01×1016(0.01E16)欧姆·厘米(Ω·cm)。在室温下,陶瓷化合物薄膜保护层的体电阻率为约4.1E16Ω·cm,并且在室温下,YAG薄膜保护层的体电阻率为约11.3E16Ω·cm。
氧化铝的介电常数为约9.2,陶瓷化合物薄膜的介电常数为约9.83,YAG薄膜的介电常数为约9.76,Er2O3薄膜的介电常数为约9.67,EAG薄膜的介电常数为约9.54。氧化铝的损失正切(loss tangent)为约5E-4,陶瓷化合物薄膜的损失正切为约4E-4,YAG薄膜的损失正切为约4E-4,Er2O3薄膜的损失正切为约4E-4,EAG薄膜的损失正切为约4E-4。氧化铝的热导率为约18W/m-K,陶瓷化合物薄膜的热导率为约19.9W/m-K,YAG薄膜的热导率为约20.1W/m-K,Er2O3薄膜的热导率为约19.4W/m-K,EAG薄膜的热导率为约19.2W/m-K。
在一个实施例中,氧化铝基板可具有约8微英寸的起始粗糙度,并且在所有薄膜保护层中,该起始粗糙度可大致不改变。如表中所示,沉积任何薄膜保护层后,特征高度也可大致不变,特征高度诸如,内部密封带(ISB)台面高度和外部密封带(OSB)台面高度。对于陶瓷化合物薄膜,薄膜保护层对氧化铝基板的附着强度可以是大于28兆帕(MPa),对于YAG薄膜,该值为大于32MPa。可通过测量用以分离薄膜保护层与基板的力量来确定附着强度。厄米性(hermicity)测量可使用薄膜保护层而实现的密封能力。如表中所示,可使用氧化铝实现约1E-6立方厘米/秒(cm3/s)的He漏率,可使用陶瓷化合物实现约1.2E-9的He漏率,可使用YAG实现约4.4E-10的He漏率,可使用Er2O3实现约5.5E-9的He漏率,可使用EAG实现约9.5E-10的He漏率。较低的He泄漏率指示改善的密封。示例薄膜保护层中的每一个具有比Al2O3基板更低的He漏率。
Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物中的每一个都具有可在等离子体处理期间抵抗磨耗的高硬度。如表中所示,氧化铝具有约12.14千兆帕(GPa)的维氏硬度(Vickers hardness)(5Kgf),陶瓷化合物具有约7.825Gpa的硬度,YAG具有约8.5Gpa的硬度,Er2O3具有约5.009Gpa的硬度,EAG具有约9.057Gpa的硬度。测得的氧化铝的磨耗率为约0.2纳米/射频小时(nm/RFhr),陶瓷化合物的磨耗率为约0.14nm/RFhr,Er2O3的磨耗率为约0.113nm/RFhr,EAG的磨耗率为约0.176nm/RFhr。
注意,在一些实施例中,Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和陶瓷化合物可经修改,使以上标识的材料性质和特性可变化高达30%。相应地,应当将所描述的这些材料性质的值理解为示例性可实现数值。不应当将本文中所述的陶瓷薄膜保护层解释为限于所提供的值。
图2B示出具有由薄膜保护层258涂覆的主体255的制品250的一个实施例的横截面侧视图。如图所示,主体255可以是无特征的。在一个实施例中,在沉积薄膜保护层258之前,研磨主体255。特征可形成在薄膜保护层258中,而不是在主体255中具有特征。例如,可对薄膜保护层258掩模并随后蚀刻或珠击来去除该薄膜保护层258的未经掩模的部分。也可通过对基板掩模并随后涂布薄涂层来形成特征。形成的特征可包括台面、通道、密封环、被暴露的粘合线(例如,喷淋头的粘合线)等。此外,可在薄膜保护层258中钻孔,诸如,通过激光钻孔。如果将在薄膜保护层258中形成特征,则薄膜保护层应当优选地具有足够大以容纳这些特征结构的厚度。例如,如果将在薄膜保护层中形成12μm的台面,则薄膜保护层258应当具有大于12μm的厚度。在其他实施例中,一些特征可形成在主体255中,而其他特征结构可形成在薄膜保护层258中。
图3示出具有厚保护层330与薄膜保护层308的制品300的一个实施例的的横截面侧视图。厚保护层可以是Y3Al5O12、Y4Al2O9、Y2O3或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。其他抗等离子体陶瓷也可用于厚保护层330。
厚保护层330可以是厚膜保护层,该厚膜保护层可以已经被热喷涂(例如,等离子体喷涂)到主体305上。可在将厚膜保护层等离子体喷涂到主体305上之前,使主体305的上表面粗糙化。可例如通过珠击主体305来执行该粗糙化。使主体的上表面粗糙化提供了锚点,以创建等离子体喷涂的厚膜保护层与主体305之间的机械粘合,从而获得更好的附着。在一些实施例中,厚膜保护层可具有高达约200微米或更厚的经喷涂厚度,并且可研磨到低至约50微米的最终厚度。等离子体喷涂的厚膜保护层的孔隙度可以是约2%-4%。
或者,厚保护层330可以是已粘合至主体305的块烧结陶瓷。厚保护层330可被提供作为例如具有约200微米的厚度的薄陶瓷晶片。
可使用IAD或PVD来将薄膜保护层308涂布于厚保护层330上。薄膜保护层308可充当顶涂层,并且可充当抗腐蚀阻挡层并密封厚保护层330的被暴露的表面(例如,密封厚保护层330中的固有的表面裂缝和孔隙)。
图4示出具有沉积在制品400的主体405上的薄膜保护层叠层406的制品400的一个实施例的横截面侧视图。薄膜保护层叠层406中的薄膜保护层408、410各自可以是Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物中的一个。在一个实施例中,两个相邻的薄膜保护层不使用相同的陶瓷材料。然而,在另一实施例中,相邻的层可由相同的陶瓷组成。
图5示出具有沉积在制品500的主体505上的薄膜保护层叠层506的制品500的另一实施例的横截面侧视图。制品500与制品400类似,区别仅在于,薄膜保护层叠层506具有四个薄膜保护层508、510、515、518。
薄膜保护层叠层(诸如,所示的那些)可具有任何数量的薄膜保护层。叠层中的薄膜保护层可以全都具有相同的厚度,或者它们可以具有不同的厚度。各薄膜保护层可具有小于约20微米的厚度,并且在一些实施例中,可具有小于约10微米的厚度。在一个示例中,第一层408可具有3微米的厚度,并且第二层410可具有3微米的厚度。在另一示例中,第一层508可以是具有2微米厚度的YAG层,第二层510可以是具有1微米厚度的化合物陶瓷层,第三层515可以是具有1微米厚度的YAG层,第四层518可以是具有1微米厚度的化合物陶瓷层。
对要使用的陶瓷层的数量和陶瓷层的组成的选择可基于所期望的应用和/或待涂覆的制品的类型。由IAD和PVD形成的EAG和YAG薄膜保护层通常具有非晶(amorphous)结构。相比之下,IAD和PVD沉积的化合物陶瓷和Er2O3层通常具有结晶或纳米结晶结构。结晶与纳米结晶陶瓷层通常可比非晶陶瓷层更抗腐蚀。然而,在一些实例中,具有结晶结构或纳米结晶结构的薄膜陶瓷层会经受偶发的垂直裂缝(大致朝膜厚度方向且大致垂直于经涂覆表面的行进的裂缝)。此类垂直裂缝可能由晶格失配导致,并且可能是等离子体化学品的攻击点。每当加热和冷却制品时,薄膜保护层与该薄膜保护层涂覆的基板之间的热膨胀系数的失配导致薄膜保护层上的应力。此类应力会集中在垂直裂缝处。这会导致薄膜保护层最终自薄膜保护层所涂覆的基板剥离。相比之下,如果没有垂直裂缝,则应力大致均匀地分布于薄膜各处。相应地,在一个实施例中,薄膜保护层叠层406中的第一层408是诸如YAG或EAG之类的非晶陶瓷,而薄膜保护层叠层406中的第二层410是诸如陶瓷化合物或Er2O3之类的结晶或纳米结晶陶瓷。在此类实施例中,第二层410可提供比第一层408更大的等离子体抗性。通过将第二层410形成在第一层408上,而非直接形成在主体405上,第一层408充当缓冲以使后续层上的晶格失配最小化。由此,可增加第二层410的寿命。
在另一示例中,主体、Y3Al5O12(YAG)、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12和含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物中的每一个都可具有不同的热膨胀系数。两个相邻的材料之间的热膨胀系数的失配越大,则那些材料中的一种最终破裂、剥离或以其他方式失去其与其他材料的粘合的可能性就越大。能以使相邻的层之间(或层与主体405、505之间)的热膨胀系数的失配最小化的方式来形成保护层叠层406、506。例如,主体505可以是氧化铝,并且EAG可具有最接近氧化铝的热膨胀系数的热膨胀系数,其次为YAG的热膨胀系数,其次为化合物陶瓷的热膨胀系数。相应地,在一个实施例中,第一层508可以是EAG,第二层510可以是YAG,第三层515可以是化合物陶瓷。
在又一示例中,保护层叠层506中的层可以是交替的两种不同的陶瓷层。例如,第一层508和第三层515可以是YAG,而第二层510和第四层518可以是化合物陶瓷。在用于交替的层的中的一种材料是非晶的,并且用于该交替层中的另一种材料是结晶或纳米结晶的情况下,此类交替层可提供与上述那些优势类似的优势。
在一些实施例中,薄膜保护层叠层406、506中的一个或更多层是使用热处理而形成的过渡层。如果主体405、505是陶瓷体,则可执行高温热处理以促进薄膜保护层与主体之间的相互扩散。此外,可执行热处理以促进相邻的诸薄膜保护层之间或厚保护层与薄膜保护层之间的相互扩散。值得注意的是,过渡层可以是非多孔层。过渡层可充当两个陶瓷之间的扩散粘合,并且可在相邻的陶瓷之间提供改善的附着。这可有助于防止保护层在等离子体处理期间破裂、剥离或剥落。
热处理可以是在高达约1400℃-1600℃下多达约24小时(例如,在一实施例中为3-6小时)的热处理。这可在第一薄膜保护层与一个或更多个相邻的陶瓷体、厚保护层或第二薄膜保护层之间形成相互扩散层。如果陶瓷体是Al2O3,并且保护层由化合物陶瓷Y4Al2O9(YAM)与固体溶液Y2-xZrxO3(Y2O3-ZrO2固体溶液)组成,则将形成Y3Al5O12(YAG)界面层。类似地,热处理将导致EAG过渡层形成在Er2O3与Al2O3之间。热处理也将导致YAG过渡层形成在Y2O3与Al2O3之间。热处理也可使GAG形成在Gd2O3与Al2O3之间。对在Al2O3上的氧化钇稳定化的氧化锆(YSZ)的热处理可形成化合物陶瓷Y4Al2O9(YAM)与固体溶液Y2-xZrxO3的过渡层。其他过渡层可形成在其他相邻的陶瓷之间。
图6示出工艺600的一个实施例,工艺600用于将薄膜保护层形成在诸如腔室部件之类的制品的主体上。在工艺600的框605处,提供制品。在框610中,作出是否将厚膜保护层沉积至制品上的决定。如果将形成厚膜保护层,则方法继续进行到框615。否则,该方法继续到框620。
在框615处,执行热喷涂工艺(例如,等离子体喷涂工艺)以将厚膜保护层沉积至制品上。在一些实施例中,在执行该热喷涂工艺之前,可使制品的主体粗糙化。厚膜保护层可以是任何抗等离子体的陶瓷。厚膜保护层的一些示例包括Y3Al6O12、Y4Al2O9、Y2O3、YSZ或含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。在形成了厚膜保护层之后,对于一些应用,表面特征形成在厚膜保护层的表面上。例如,如果制品是ESC,则可形成台面和He孔。在替代实施例中,抗等离子体的陶瓷盘或其他陶瓷结构可粘合至制品的主体,而不是喷涂厚膜保护层。
在框620处,执行IAD或PVD以将薄膜保护层沉积在制品的主体上。如果在框615中形成了厚膜保护层,则薄膜保护层可形成在该厚膜保护层上作为顶涂层。该薄膜保护层可以是Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12或Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物。薄膜保护层的沉积速率可以是约1-8埃(Angstrom)/秒,并可通过调谐沉积参数来改变。薄膜保护层可以是共形性非常佳的,厚度可以是均匀的,并具有对它们沉积于其上的主体/基板的良好附着。
在框625处,作出关于是否沉积任何附加的薄膜保护层的决定。如果将沉积附加的薄膜保护层,则工艺继续到框630。在框630处,将另一薄膜保护层形成在第一薄膜保护层上。该另一薄膜保护层可由与第一薄膜保护层陶瓷不同的陶瓷组成。在一个实施例中,该另一薄膜保护层是Y3Al6O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al6O12、Gd3Al6O12或Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物中的一个。该方法随后返回框625。如果在框625处,将不涂布附加的薄膜保护层,则工艺结束。在沉积了这些薄膜保护层中的任何一层之后,可将表面特征形成在该薄膜保护层中。
图7A描绘了适用于利用诸如离子辅助沉积(IAD)之类的各种采用高能粒子的沉积技术的沉积机制。示例性IAD方法包括涵盖了离子轰击的沉积工艺,(诸如,蒸镀(例如,活化反应蒸镀(ARE))以及在存在离子轰击的情况下的溅射以形成本文中所述的抗等离子体涂层。可在存在反应气体物质(诸如,O2、N2、卤素等)的情况下执行任何IAD方法。
如图所示,在存在高能粒子703(诸如,离子)的情况下,通过沉积材料702的累积来形成薄膜保护层715。沉积材料702包括原子、离子、自由基或上述物质的混合物。在形成高能粒子703时,该高能粒子703会撞击并压实薄膜保护层715。
在一个实施例中,如本文中先前别处所述,IAD用于形成薄膜保护层715。图7B描绘IAD沉积设备的示意图。如图所示,材料源750提供沉积材料通量702,而高能粒子源755提供高能粒子通量703,在整个IAD工艺中,这两者均撞击到材料源750上。高能粒子源755可以是氧或其他离子源。高能粒子源755也可提供来自粒子生成源(例如,来自等离子体、反应气体或来自提供这些沉积材料的材料源)的其他类型的高能粒子,诸如,惰性自由基、中子原子和纳米尺寸的粒子。用于提供沉积材料702的材料源(例如,靶材体)750可以是对应于与将组成薄膜保护层715的陶瓷相同的陶瓷的块烧结陶瓷。例如,材料源可以是块烧结的陶瓷化合物体或块烧结的YAG、Er2O3、Gd2O3、Er3Al5O12或Gd3Al5O12。IAD可利用一个或更多个等离子体或射束来提供材料和高能离子源。在抗等离子体涂层的沉积期间,也可提供反应物质。在一个实施例中,高能粒子703包括非反应物质(例如,Ar)或反应物质(例如,O)中的至少一种。在进一步的实施例中,在抗等离子体涂层的形成期间,也可引入诸如CO与卤素(Cl、F、Br等)之类的反应物质以进一步增加选择性地去除最不牢固地粘合至薄膜保护层715的所沉积的材料的倾向。
利用IAD工艺,可独立于其他沉积参数,由高能离子(或其他粒子)源755个别控制高能粒子703。根据能量(例如,速度)、密度和高能离子通量的入射角,可操纵薄膜保护层的组成、结构、结晶取向和晶粒大小。可调整的附加参数是沉积期间制品的温度以及沉积的持续时间。可将离子能量粗略地分类成低能量离子辅助和高能量离子辅助。相比凭借低能量离子辅助,凭借高能量离子辅助能以更高的速度来投射离子。通常,已示出凭借高能量离子辅助可获得优越的性能。可将沉积期间的基板(制品)温度粗略地划分成低温(在一个实施例中为约120℃-150℃,其是典型的室温)和高温(在一个实施例中为约270℃)。
Figure BDA0002622927640000161
表2:使用IAD形成的示例薄膜保护层
表2示出使用具有各种沉积参数的IAD而形成的多个示例薄膜保护层。对于由Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物形成的薄膜保护层,示出五个不同的示例。第一示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度、2埃/秒(A/s)的沉积速率。X射线衍射显示,该第一示例化合物陶瓷薄膜保护层具有结晶结构。第一示例化合物陶瓷薄膜保护层也具有4.11GPa的硬度,目视检查显示出对位于下方的基板的良好的共形性以及一些垂直裂缝与一些尖头。
第二示例化合物陶瓷薄膜保护层具有6微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度、对于最初的2微米的1A/s的沉积速率、对于后续的4微米的2A/s的沉积速率。X射线衍射显示,该第二示例化合物陶瓷薄膜保护层具有纳米结晶结构(在其中,部分为结晶的,部分为非晶的)。当用作密封物时,第二示例化合物陶瓷薄膜保护层能够维持低至5E-6cm3/s的真空度。对第二示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性以及相比第一示例化合物陶瓷薄膜保护层的更少的垂直裂缝。
第三示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度以及1A/s的沉积速率。X射线衍射显示出第三示例化合物陶瓷薄膜保护层具有纳米结晶结构。当用作密封体时,该第三示例化合物陶瓷薄膜保护层能够维持低至6.3E-6cm3/s的真空度。对第三示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性以及相比第一示例化合物陶瓷薄膜保护层的更少的垂直裂缝。
第四示例化合物陶瓷薄膜保护层具有5微米的厚度,并且使用具有以下条件的高能量离子辅助IAD来形成:270℃的沉积温度、对于第一个微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X射线衍射显示出该第三示例化合物陶瓷薄膜保护层具有近乎非晶结构。当用作密封体时,该第三示例化合物陶瓷薄膜保护层能够维持低至1.2E-9cm3/s的真空度。对第四示例化合物陶瓷薄膜保护层的目视检查显示出良好的共形性、平滑的表面以及很少的垂直裂缝。此外,第四示例化合物陶瓷薄膜保护层具有7.825Gpa的硬度。
第五示例化合物薄膜保护层使用与第四示例化合物薄膜保护层相同的参数来形成,但沉积温度为室温(约120℃-150℃)。第五示例化合物薄膜保护层显示出与第四示例化合物薄膜保护层类似的性质。
第一示例YAG薄膜保护层具有5微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度以及2.5A/s的沉积速率。X射线衍射显示出该第一YAG陶瓷薄膜保护层具有非晶结构。第一YAG薄膜保护层也具有5.7GPa的硬度,并且目视检查显示出良好的共形性、最少的裂缝以及平滑的表面。
第二示例YAG薄膜保护层具有5微米的厚度,并且使用具有以下条件的高能量离子辅助IAD来形成:270℃的沉积温度、对于第一个微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X射线衍射显示出该第二YAG薄膜保护层具有非晶结构。第二YAG薄膜保护层也具有8.5GPa的硬度,并且目视检查显示出良好的共形性、相比第一YAG薄膜的减少的裂缝以及平滑的表面。
具有交替的化合物陶瓷与YAG层的示例薄膜保护层叠层具有5微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度以及2A/s的沉积速率。X射线衍射显示出这些交替的层是非晶的(对于YAG层)和结晶或纳米结晶的(对于化合物陶瓷层)。目视检查显示出化合物陶瓷层的减少的垂直裂缝。
第一示例Er2O3薄膜保护层具有5微米的厚度,并且使用具有以下条件的低能量离子辅助IAD来形成:270℃的沉积温度以及2A/s的沉积速率。X射线衍射显示出该第一Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性和垂直开裂。
第二示例Er2O3薄膜保护层具有5微米的厚度,并且使用具有以下条件的高能量离子辅助IAD来形成:270℃的沉积温度、对于第一个微米的1A/s的沉积速率以及对于后续的4微米的2A/s的沉积速率。X射线衍射显示出该第二Er2O3陶瓷薄膜保护层具有结晶结构。目视检查显示出良好的共形性以及相比第一Er2O3陶瓷薄膜保护层更少的垂直开裂。
第一示例EAG薄膜保护层具有7.5微米的厚度,并且使用具有以下条件的高能量离子辅助IAD来形成:270℃的沉积温度、对于第一个微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X射线衍射显示出该第一EAG陶瓷薄膜保护层具有非晶结构,并且该层具有8.485Gpa的硬度。目视检查显示出良好的共形性以及最少的开裂。
第二示例EAG薄膜保护层具有7.5微米的厚度,并且使用具有以下条件的高能量离子辅助IAD来形成:120-150℃的沉积温度、对于第一个微米的1A/s的沉积速率以及对于后续的几微米的2A/s的沉积速率。X射线衍射显示出该第二EAG陶瓷薄膜保护层具有非晶结构,并且该层具有9.057Gpa的硬度。目视检查显示出良好的共形性以及比第一EAG陶瓷薄膜保护层更少的开裂。
图8-9示出根据本发明的实施例而形成的薄膜保护层的腐蚀速率。图8示出薄膜保护层在暴露于CH4/Cl2等离子体化学品时的腐蚀速率。如图所示,相较于Al2O3,该IAD沉积的薄膜保护层的抗腐蚀性改善很多。例如,具有92%纯度的氧化铝显示出约18纳米/射频小时(nm/RFHr)的腐蚀速率,而具有99.8%纯度的氧化铝显示出约56nm/RFHr的腐蚀速率。相比之下,IAD沉积的化合物陶瓷薄膜保护层显示出约3nm/RFHr的腐蚀速率,而IAD沉积的YAG薄膜保护层显示出约1nm/RFHr的腐蚀速率。
图9示出薄膜保护层在暴露于H2/NF3等离子体化学品时的腐蚀速率。如图所示,相较于Al2O3,IAD沉积薄膜保护层的抗腐蚀性改善很多。例如,具有92%纯度的氧化铝显示出约190nm/RFHr的腐蚀速率,而具有99.8%纯度的氧化铝显示出约165nm/RFHr的腐蚀速率。相比之下,IAD沉积的YAG薄膜保护层显示出约52nm/RFHr的腐蚀速率。类似地,使用具有低能量离子的IAD所沉积的化合物陶瓷薄膜保护层显示出约45nm/RFHr的腐蚀速率,而使用具有高能量离子的IAD所沉积的化合物陶瓷薄膜保护层显示出约35nm/RFHr的腐蚀速率。使用具高沉积温度(例如,约270℃)的IAD所沉积的EAG薄膜保护层显示出约95nm/RFHr的腐蚀速率,而使用具有低沉积温度(例如,约120℃-150℃)的IAD所沉积的EAG薄膜保护层显示出约70nm/RFHr的腐蚀速率。使用具有高能量离子的IAD所沉积的Er2O3薄膜保护层显示出约35nm/RFHr的腐蚀速率。
图10-11示出根据本发明的实施例而形成的薄膜保护层的粗糙度轮廓。图10示出图8的薄膜保护层在暴露于CH4/Cl2等离子体化学品之前以及暴露于CH4/Cl2等离子体化学品达100RFHr之后的表面粗糙度轮廓。如图所示,在暴露于CH4/Cl2等离子体化学品达100RFHr之后,IAD沉积的薄膜保护层显示出表面粗糙度的最小变化。
图11示出图9薄膜保护层在暴露于H2/NF3等离子体化学品之前以及暴露于等离子体化学品达35RFHr之后的表面粗糙度轮廓。如图所示,IAD沉积的薄膜保护层显示出在暴露于H2/NF3等离子体化学品达35RFHr后,表面粗糙度的最小变化。
以上说明陈述了众多特定细节(诸如,特定系统、部件、方法等的示例)以提供对本发明的若干实施例的良好理解。然而,对本领域技术人员显而易见的是,本发明的至少一些实施例可在没有这些特定细节的情况下来实践。在其他实例中,不详述公知部件或方法,或以简单的框图格式来呈现公知部件或方法,以避免不必要地使本发明含糊。因此,所陈述的特定细节仅是示例性的。特定实现方式可从这些示例性细节修改而得,并且仍被构想为落在本发明的范围内。
贯穿本说明书提及“一个实施例”或“实施例”意指结合该实施例所描述的特定特征、结构或特性被包括在至少一个实施例中。因此,贯穿说明书的各处出现的短语“在一个实施例中”或“在实施例中”不一定全都指同一个实施例。此外,术语“或”旨在意味着包含性“或”而非排除性“或”。当在本文中使用术语“约”或“大约”时,旨在意味着所呈现的标称值在±30%以内是精确的。
虽然在本文中以特定顺序示出和描述了方法的诸操作,但是可改变每一个方法的操作顺序,使得可按逆序执行某些操作,或使得可至少部分地与其他操作同时地执行某些操作。在另一实施例中,能以间歇的和/或交替的方式来执行不同操作的指令或子操作。
应当理解,以上描述旨在是说明性而非限制性的。许多其他实施例在本领域技术人员阅读并理解了以上描述后将是显而易见的。因此,本发明的范围应当参照所附权利要求书以及权利要求书主张的等效方案的完整范围来确定。

Claims (26)

1.一种制品,包含:
主体;以及
第一保护层,所述第一保护层位于所述主体的至少一个表面上,其中所述第一保护层是具有小于1%的孔隙度的薄膜,且其中所述第一保护层包含第一陶瓷,所述第一陶瓷选自由以下各项组成的组:
Y3Al5O12,具有8.5GPa±达30%的硬度、以及9.76±达30%的介电常数、11.3E16Ω·cm±达30%的体电阻率、20.1W/m·K±达30%的热导率或4.4E-10cm3/s±达30%的厄米性中的至少一个;
Er2O3,具有5GPa±达30%的硬度;
Er3Al5O12,具有9GPa±达30%的硬度;以及
含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物,所述陶瓷化合物具有7.8GPa±达30%的硬度以及9.83±达30%的介电常数、4.1E16Ω·cm±达30%的体电阻率、19.9W/m·K±达30%的热导率或1.2E-9cm3/s±达30%的厄米性(hermiticity)中的至少一个。
2.如权利要求1所述的制品,进一步包括:
保护层叠层,所述保护层叠层位于所述主体的所述至少一个表面上,所述保护层叠层包含至少所述第一保护层和第二保护层,所述第二保护层覆盖所述第一保护层的至少一部分,其中,所述第二保护层是包含与所述第一陶瓷不同的第二陶瓷的薄膜,所述第二陶瓷选自由以下各项组成的组:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物。
3.如权利要求2所述的制品,其特征在于:
所述保护层叠层中的所述第一保护层具有非晶结构,并且包含Y3Al5O12或Er3Al5O12;以及
所述保护层叠层中的所述第二保护层具有结晶或纳米结晶结构,并且包含Er2O3、Gd2O3或含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物。
4.如权利要求2所述的制品,其特征在于,所述主体具有第一热膨胀系数值,所述第一保护层具有第二热膨胀系数值,并且所述第二保护层具有第三热膨胀系数值,其中,所述第二热膨胀系数值在所述第一热膨胀系数值与所述第三热膨胀系数值之间。
5.如权利要求1-4中任一权项所述的制品,进一步包含:
附加保护层,所述附加保护层位于所述主体的所述至少一个表面上,其中,所述附加保护层是包含第二陶瓷的厚膜,所述第二陶瓷选自由以下各项组成的组:Y3Al5O12、Y4Al2O9、Y2O3以及含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物,其中,所述第一保护层覆盖所述附加保护层的至少一部分。
6.如权利要求1-5中任一权项所述的制品,其特征在于,所述第一陶瓷是Y3Al5O12,且其中所述第一陶瓷具有8.5GPa±达10%的硬度、9.76±达10%的介电常数、11.3E16Ω·cm±达10%的体电阻率以及20.1W/m·K±达10%的热导率。
7.如权利要求1-5中任一权项所述的制品,其特征在于,所述第一陶瓷是Er2O3,且其中所述第一陶瓷进一步具有9.67±达30%的介电常数、19.4W/m·K±达30%的热导率或5.5E-9cm3/s±达30%的厄米性中的至少一个。
8.如权利要求7所述的制品,其特征在于,所述第一陶瓷具有5GPa±达10%的硬度、9.67±达10%的介电常数以及19.4W/m·K±达10%的热导率。
9.如权利要求1-5中任一权项所述的制品,其特征在于,所述第一陶瓷是Er3Al5O12,且其中所述第一陶瓷进一步具有9.54±达30%的介电常数、19.2W/m·K±达30%的热导率或9.5E-10cm3/s±达30%的厄米性。
10.如权利要求9所述的制品,其特征在于,所述第一陶瓷具有9GPa±达10%的硬度、9.54±达10%的介电常数以及19.2W/m·K±达10%的热导率。
11.如权利要求1-5中任一权项所述的制品,其特征在于,所述第一陶瓷是包含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物,并且其中所述第一陶瓷具有7.8GPa±达10%的硬度、9.83±达10%的介电常数、4.1E16Ω·cm±达10%的体电阻率以及19.9W/m·K±达10%的热导率。
12.一种制品,包含:
主体;以及
保护层叠层,所述保护层叠层位于所述主体的至少一个表面上,所述保护层叠层包含至少第一保护层和第二保护层,所述第二保护层覆盖所述第一保护层的至少一部分,其中:
所述保护层叠层中的所述第一保护层是具有非晶结构的薄膜,该薄膜包含第一陶瓷,所述第一陶瓷选自由Y3Al5O12和Er3Al5O12组成的组,且
所述保护层叠层中的所述第二保护层是具有结晶或纳米结晶结构的薄膜,该薄膜包含第二陶瓷和陶瓷化合物,所述第二陶瓷选自由Er2O3、Gd2O3组成的组,所述陶瓷化合物包含Y4Al2O9与Y2O3-ZrO2固体溶液。
13.如权利要求12所述的制品,其特征在于,所述第一保护层具有小于近似20微米的厚度,且所述第二保护层具有小于近似20微米的厚度。
14.如权利要求12或13所述的制品,其特征在于,所述第一陶瓷包含以下中的一项:
Y3Al5O12,具有8.5GPa±达30%的硬度;或
Er3Al5O12,具有9GPa±达30%的硬度。
15.如权利要求12或13所述的制品,其特征在于,所述第二陶瓷包含以下中的一项:
Er2O3,具有5GPa±达30%的硬度;或
包含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物,且所述陶瓷化合物具有7.8GPa±达30%的硬度。
16.一种制造制品的方法,所述方法包含:
提供制品;以及
执行离子辅助沉积(IAD)或物理气相沉积(PVD)中的至少一项以在所述制品的至少一个表面上沉积第一保护层,其中,所述第一保护层是包含第一陶瓷的薄膜,所述第一陶瓷选自由以下各项组成的组:
Y3Al5O12,具有8.5GPa±达30%的硬度、以及9.76±达30%的介电常数、11.3E16Ω·cm±达30%的体电阻率、20.1W/m·K±达30%的热导率或4.4E-10cm3/s±达30%的厄米性(hermiticity)中的至少一个;
Er2O3,具有5GPa±达30%的硬度;
Er3Al5O12,具有9GPa±达30%的硬度;以及
含Y4Al2O9与Y2O3-ZrO2固体溶液的陶瓷化合物,所述陶瓷化合物具有7.8GPa±达30%的硬度以及9.83±达30%的介电常数、4.1E16Ω·cm±达30%的体电阻率、19.9W/m·K±达30%的热导率或1.2E-9cm3/s±达30%的厄米性中的至少一个。
17.如权利要求16所述的方法,进一步包含:
执行IAD或PVD中的至少一项以在所述第一保护层的至少一部分上沉积第二保护层,其中,所述第二保护层是包含与所述第一陶瓷不同的第二陶瓷的薄膜,所述第二陶瓷选自由以下各项组成的组:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物。
18.如权利要求17所述的方法,其特征在于:
所述第一保护层具有非晶结构,并且包含Y3Al5O12或Er3Al5O12;以及
所述第二保护层具有结晶或纳米结晶结构,并且包含Er2O3、Gd2O3或含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物。
19.如权利要求16所述的方法,进一步包含:
在所述制品的所述至少一个表面上沉积所述第一保护层之前,执行热喷涂工艺以在所述制品的所述至少一个表面上沉积附加保护层,其中,所述附加保护层是包含第二陶瓷的厚膜,所述第二陶瓷选自由以下各项组成的组:Y3Al5O12、Y4Al2O9、Y2O3以及含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物,其中,所述第一保护层覆盖所述附加保护层的至少一部分。
20.如权利要求16所述的方法,进一步包含:
在执行所述IAD或所述PVD中的至少一项之后,通过对所述制品掩模并对未经掩模的特定区域执行薄膜沉积或蚀刻中的至少一项以在所述第一保护层中形成特征。
21.如权利要求16所述的方法,其特征在于,所述第一陶瓷是Y3Al5O12,且其中所述第一陶瓷具有8.5GPa±达10%的硬度、9.76±达10%的介电常数、11.3E16Ω·cm±达10%的体电阻率以及20.1W/m·K±达10%的热导率。
22.如权利要求16所述的方法,其特征在于,所述第一陶瓷是Er2O3,且其中所述第一陶瓷进一步具有9.67±达30%的介电常数、19.4W/m·K±达30%的热导率或5.5E-9cm3/s±达30%的厄米性中的至少一个。
23.如权利要求22所述的方法,其特征在于,所述第一陶瓷具有5GPa±达10%的硬度、9.67±达10%的介电常数以及19.4W/m·K±达10%的热导率。
24.如权利要求16所述的方法,其特征在于,所述第一陶瓷是Er3Al5O12,且其中所述第一陶瓷进一步具有9.54±达30%的介电常数、19.2W/m·K±达30%的热导率或9.5E-10cm3/s±达30%的厄米性。
25.如权利要求24所述的方法,其特征在于,所述第一陶瓷具有9GPa±达10%的硬度、9.54±达10%的介电常数以及19.2W/m·K±达10%的热导率。
26.如权利要求16所述的方法,其特征在于,所述第一陶瓷是包含Y4Al2O9与Y2O3-ZrO2固体溶液的所述陶瓷化合物,并且其中所述第一陶瓷具有7.8GPa±达10%的硬度、9.83±达10%的介电常数、4.1E16Ω·cm±达10%的体电阻率以及19.9W/m·K±达10%的热导率。
CN202010788490.1A 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层 Active CN111900084B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361837595P 2013-06-20 2013-06-20
US61/837,595 2013-06-20
US14/306,583 US9850568B2 (en) 2013-06-20 2014-06-17 Plasma erosion resistant rare-earth oxide based thin film coatings
US14/306,583 2014-06-17
PCT/US2014/043178 WO2014205212A1 (en) 2013-06-20 2014-06-19 Plasma erosion resistant rare-earth oxide based thin film coatings
CN201480030094.5A CN105247662B (zh) 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480030094.5A Division CN105247662B (zh) 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层

Publications (2)

Publication Number Publication Date
CN111900084A true CN111900084A (zh) 2020-11-06
CN111900084B CN111900084B (zh) 2022-07-26

Family

ID=52105282

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480030094.5A Active CN105247662B (zh) 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层
CN202010788490.1A Active CN111900084B (zh) 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201480030094.5A Active CN105247662B (zh) 2013-06-20 2014-06-19 抗等离子体腐蚀的稀土氧化物基薄膜涂层

Country Status (6)

Country Link
US (5) US9850568B2 (zh)
JP (4) JP6496308B2 (zh)
KR (3) KR102294960B1 (zh)
CN (2) CN105247662B (zh)
TW (5) TWI734119B (zh)
WO (1) WO2014205212A1 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
TW201841869A (zh) * 2017-03-21 2018-12-01 美商康波能再造工程公司 於高腐蝕或侵蝕半導體製程應用中使用的陶瓷材料組件
US20190078200A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
US11401611B2 (en) 2017-11-09 2022-08-02 Solution Spray Technologies, LLC Thermal barrier coatings with CMAS resistance
KR102040910B1 (ko) 2018-02-05 2019-11-27 충북대학교 산학협력단 탈부착형 모듈을 이용한 IoT 환경 구축 방법
TWI709653B (zh) * 2018-02-15 2020-11-11 日商京瓷股份有限公司 電漿處理裝置用構件及具備其之電漿處理裝置
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
JP7068921B2 (ja) 2018-05-15 2022-05-17 東京エレクトロン株式会社 部品の形成方法及びプラズマ処理装置
JP7319425B2 (ja) * 2018-05-15 2023-08-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用部品
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
KR102667885B1 (ko) * 2019-05-13 2024-05-22 어플라이드 머티어리얼스, 인코포레이티드 금속 오염을 감소시키기 위한 티타늄 라이너
US11289357B2 (en) * 2019-06-27 2022-03-29 Applied Materials, Inc. Methods and apparatus for high voltage electrostatic chuck protection
WO2021002339A1 (ja) * 2019-07-03 2021-01-07 時田シーブイディーシステムズ株式会社 複合膜、部品及び製造方法
TWI768256B (zh) * 2019-10-29 2022-06-21 行政院原子能委員會核能研究所 摻雜型金屬氧化物薄膜的製作方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
JP7115582B2 (ja) 2020-04-30 2022-08-09 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
JP7140222B2 (ja) 2020-04-30 2022-09-21 Toto株式会社 複合構造物および複合構造物を備えた半導体製造装置
TW202302910A (zh) * 2020-04-30 2023-01-16 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI777504B (zh) * 2020-04-30 2022-09-11 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
CN113707526B (zh) * 2020-05-20 2024-05-24 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
JP7476039B2 (ja) 2020-09-02 2024-04-30 キオクシア株式会社 半導体装置の検査装置、及び、半導体装置の検査方法
TW202237397A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TW202238998A (zh) 2021-03-29 2022-10-01 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
TWI781593B (zh) * 2021-04-21 2022-10-21 翔名科技股份有限公司 耐電漿腐蝕的保護層與其形成方法
US20240021795A1 (en) 2021-08-13 2024-01-18 Lg Energy Solution, Ltd. Anode active material, anode slurry, anode, and secondary battery
CN117837273A (zh) * 2021-08-31 2024-04-05 京瓷株式会社 耐等离子层叠体、其制造方法和等离子处理装置
KR102535560B1 (ko) * 2022-10-14 2023-05-26 주식회사 코미코 내플라즈마성 코팅막의 제조방법
KR102674395B1 (ko) 2023-12-04 2024-06-12 주식회사 디에프텍 이온빔 소스를 이용하여 내플라즈마 특성 향상을 위한 코팅 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004107718A (ja) * 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
CN101118865A (zh) * 2006-08-01 2008-02-06 应用材料股份有限公司 具有耐等离子体腐蚀性的保护层的衬底支架
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层

Family Cites Families (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US6245202B1 (en) 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
DE69920152T2 (de) 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
DE60045384D1 (de) 1999-09-29 2011-01-27 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
US6534194B2 (en) 2000-05-02 2003-03-18 Johns Hopkins University Method of making reactive multilayer foil and resulting product
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
EP1301941A2 (en) 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
WO2002040732A1 (en) 2000-11-15 2002-05-23 G.T. Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
BR0211578A (pt) 2001-08-02 2006-04-04 3M Innovative Properties Co vidro, cerámica, métodos para a fabricação de um vidro, de uma cerámica, e de um artigo compreendendo vidro, vidro-cerámica, métodos para a fabricação de um vidro-cerámica, e de um artigo de vidro-cerámica, partìcula abrasiva, método para a fabricação de partìculas abrasivas, pluralidade de partìculas abrasivas, artigo abrasivo, e, método para desbastar uma superfìcie
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6884514B2 (en) * 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
KR101168422B1 (ko) 2002-11-20 2012-07-25 신에쓰 가가꾸 고교 가부시끼가이샤 내열성 피복 부재의 제조 방법
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN1841675A (zh) 2003-02-12 2006-10-04 松下电器产业株式会社 半导体器件的制造方法
JP4045990B2 (ja) * 2003-03-26 2008-02-13 株式会社デンソー コーティング方法及びコーティング用治具
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
EP1589567B1 (en) 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005090638A2 (en) 2004-03-24 2005-09-29 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
WO2006005067A2 (en) 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
AU2005333196B2 (en) 2004-10-01 2009-10-01 American Superconductor Corp. Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) * 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7790216B2 (en) * 2005-04-19 2010-09-07 Zimmer Technology, Inc. Method for producing a zirconia-layered orthopedic implant component
CN101213156B (zh) 2005-05-31 2014-07-16 康宁股份有限公司 包含成孔剂组合的形成钛酸铝陶瓷的批料混合物和生坯,以及它们的制造和烧制方法
JP5382677B2 (ja) 2005-06-17 2014-01-08 国立大学法人東北大学 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
ATE518016T1 (de) 2006-05-12 2011-08-15 Fundacion Inasmet Verfahren zum erhalt von keramikbeschichtungen und erhaltene keramikbeschichtungen
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US7722959B2 (en) * 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
KR20090085049A (ko) 2006-10-06 2009-08-06 아사히 테크 가부시끼가이샤 내식성 부재 및 그의 제조 방법
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7799719B2 (en) 2007-01-17 2010-09-21 Toto Ltd. Ceramic member and corrosion-resisting member
RU2421498C2 (ru) 2007-03-12 2011-06-20 Сэнт-Гобэн Керамикс Энд Пластикс, Инк. Высокопрочные керамические элементы и способы их изготовления и применения
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US8062759B2 (en) 2007-12-27 2011-11-22 General Electric Company Thermal barrier coating systems including a rare earth aluminate layer for improved resistance to CMAS infiltration and coated articles
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
KR100969248B1 (ko) 2008-08-04 2010-07-14 한국해양연구원 해양 생물표본 촬영장치 및 방법
CN102160167B (zh) * 2008-08-12 2013-12-04 应用材料公司 静电吸盘组件
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US8667583B2 (en) 2008-09-22 2014-03-04 Microsoft Corporation Collecting and analyzing malware data
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
US20100272982A1 (en) 2008-11-04 2010-10-28 Graeme Dickinson Thermal spray coatings for semiconductor applications
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
RU2536818C2 (ru) 2009-02-05 2014-12-27 Зульцер Метко Аг Установка плазменного нанесения покрытий и способ покрытия или обработки поверхности подложки
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
EP2428592B1 (en) 2009-05-08 2019-12-11 Fuchita Nanotechnology Ltd. Method for forming zirconia film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US8338040B2 (en) 2009-08-26 2012-12-25 Toyota Jidosha Kabushiki Kaisha Fuel cell system and method of operating fuel cell system
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
CN102822115B (zh) 2010-03-30 2017-06-27 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR101981766B1 (ko) 2011-06-02 2019-05-23 어플라이드 머티어리얼스, 인코포레이티드 정전기 척 aln 유전체 수리
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004107718A (ja) * 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
CN101118865A (zh) * 2006-08-01 2008-02-06 应用材料股份有限公司 具有耐等离子体腐蚀性的保护层的衬底支架
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层

Also Published As

Publication number Publication date
US11680308B2 (en) 2023-06-20
KR102294960B1 (ko) 2021-08-26
TWI664073B (zh) 2019-07-01
JP6496308B2 (ja) 2019-04-03
KR20210107172A (ko) 2021-08-31
US10501843B2 (en) 2019-12-10
US10119188B2 (en) 2018-11-06
TW202138188A (zh) 2021-10-16
JP7368398B2 (ja) 2023-10-24
CN105247662A (zh) 2016-01-13
CN105247662B (zh) 2020-08-28
KR102586972B1 (ko) 2023-10-06
US20200087776A1 (en) 2020-03-19
US20180087144A1 (en) 2018-03-29
TW201504044A (zh) 2015-02-01
JP2021073372A (ja) 2021-05-13
KR102422715B1 (ko) 2022-07-18
US20140377504A1 (en) 2014-12-25
US20210317563A1 (en) 2021-10-14
TW201936389A (zh) 2019-09-16
JP2019108612A (ja) 2019-07-04
CN111900084B (zh) 2022-07-26
JP2023145534A (ja) 2023-10-11
JP2016528380A (ja) 2016-09-15
TW202206275A (zh) 2022-02-16
KR20220104281A (ko) 2022-07-26
TWI748928B (zh) 2021-12-01
TWI734119B (zh) 2021-07-21
WO2014205212A1 (en) 2014-12-24
JP6820359B2 (ja) 2021-01-27
US20180073125A1 (en) 2018-03-15
TWI795981B (zh) 2023-03-11
KR20160022361A (ko) 2016-02-29
US11053581B2 (en) 2021-07-06
TW202325541A (zh) 2023-07-01
US9850568B2 (en) 2017-12-26

Similar Documents

Publication Publication Date Title
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN107916399B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
CN107978507B (zh) 用于处理腔室的腔室部件和制造制品的方法
CN110016645B (zh) 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
US20150311043A1 (en) Chamber component with fluorinated thin film coating

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant