CN111593324A - 多孔基质过滤器及其制作方法 - Google Patents

多孔基质过滤器及其制作方法 Download PDF

Info

Publication number
CN111593324A
CN111593324A CN202010449411.4A CN202010449411A CN111593324A CN 111593324 A CN111593324 A CN 111593324A CN 202010449411 A CN202010449411 A CN 202010449411A CN 111593324 A CN111593324 A CN 111593324A
Authority
CN
China
Prior art keywords
coating
metal
ald
layer
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010449411.4A
Other languages
English (en)
Inventor
B·C·亨德里克斯
D·W·彼得斯
李卫民
C·瓦尔德弗里德
R·A·库克
N·困达
林奕宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of CN111593324A publication Critical patent/CN111593324A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/404Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/04Additives and treatments of the filtering material
    • B01D2239/0471Surface coating material
    • B01D2239/0478Surface coating material on a layer of the filter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/12Special parameters characterising the filtering material
    • B01D2239/1216Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/20Other self-supporting filtering material ; Other filtering material of inorganic material, e.g. asbestos paper, metallic filtering material of non-woven wires
    • B01D39/2027Metallic material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Filtering Materials (AREA)
  • Laminated Bodies (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)

Abstract

本申请描述了一种多孔基质过滤器及其制作方法。在各种应用中,衬底包含金属表面,所述金属表面易于在其上形成此金属的氧化物、氮化物、氟化物或氯化物,其中所述金属表面经配置以在使用中与气体、固体或液体接触,所述气体、固体或液体与所述金属表面进行反应以形成对所述衬底制品、结构、材料或设备有害的反应产物。所述金属表面涂覆有保护涂层,所述保护涂层防止所述经涂覆表面与所述反应性气体进行反应及/或以其它方式改进所述衬底制品或设备的电性质、化学性质、热性质或结构性质。描述涂覆所述金属表面及用于选择所利用的涂层材料的各种方法。

Description

多孔基质过滤器及其制作方法
分案申请的相关信息
本申请是申请日为2016年2月13日、申请号为“201680018518.5”、发明名称为“用于增强衬底制品及装置的性质及性能的涂层”的发明专利申请的分案申请。
相关申请案交叉参考
此申请案根据35 U.S.C.§119的条款主张以下美国临时专利申请案的权益:2015年2月13日以卡洛·沃德弗列德(Carlo Waldfried)等人的名义且以“薄膜原子层沉积涂层(THIN FILM ATOMIC LAYER DEPOSITION COATINGS)”提出申请的美国临时专利申请案第62/116,181号;2015年5月28日以布莱恩C.亨德里克斯(Bryan C.Hendrix)等人的名义且以“用以防止由AL2CL6蒸汽输送痕量金属的涂层(COATINGS TO PREVENT TRANSPORT OFTRACE METALS BY AL2CL6 VAPOR)”提出申请的美国临时专利申请案第62/167,890号;2015年7月2日以布莱恩C.亨德里克斯等人的名义且以“用于增强衬底制品及装置的性质及性能的涂层(COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATEARTICLES AND APPARATUS)”提出申请的美国临时专利申请案第62/188,333号;及2015年9月21日以布莱恩C.亨德里克斯等人的名义且以“用于增强衬底制品及装置的性质及性能的涂层(COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATEARTICLES AND APPARATUS)”提出申请的美国临时专利申请案第62/221,594号。此类美国临时专利申请案第62/116,181号、第62/167,890号、第62/188,333号及第62/221,594号的揭示内容据此出于所有目的以其相应全文引用的方式并入本文中。
技术领域
本发明一般来说涉及适用于多种衬底制品及设备(例如,关于具有易于在其上形成不期望氧化物、氮化物、氟化物、氯化物或其它卤化物污染物物种的表面的结构及装置)的涂层。在特定方面中,本发明涉及半导体制造设备及增强其性能的方法,且更具体来说涉及易于受与六氯化二铝蒸汽在此设备中的存在相关联的污染及粒子沉积的半导体制造设备,并且涉及用于对抗此不利污染及粒子沉积的组合物及方法。
背景技术
在许多研究领域中,会遇到包含易于形成污染物物种的表面(例如易于在其上形成干扰相关联产品、设备或材料的使用、效用或功能的不期望氧化物、氮化物及卤化物(例如,氟化物及/或氯化物)污染物种类的铝、阳极化铝、石英、不锈钢等表面)的结构、材料及装置。
在半导体制造的领域中,广泛采用铝及含铝材料。虽然铝作为金属化材料已在纳米级集成电路应用中显著被铜取代,但铝仍继续被广泛地用作线接合及连接材料,以及用于薄膜材料(例如,AlN薄膜)中作为势垒层、压电器件组件、冷阴极材料等,以及用于化合物半导体组合物(其用于例如LED及其它光电子器件等应用)或Al2O3层中作为电介质、电介质掺杂剂、势垒、光学涂层等。
在此类应用中的许多应用中,卤素气体用于半导体制造设备中以用于在器件制造操作中处理膜,或用作同向流动清洁剂以用于移除沉积于半导体制造设备的表面及组件上的所积累污染物。这些卤素气体可包含氯代物种,所述氯代种类可在接触存在于设备中(例如,晶片上或者设备的表面或组件上)的铝时反应性地形成六氯化二铝(Al2Cl6)蒸汽。此六氯化二铝蒸汽可又侵蚀半导体制造设备中的不锈钢表面及组件且用以将可测量水平的金属(例如铬、铁及镍)输送到正经历处理的晶片。
另一种类的应用使用Al2Cl6蒸汽来沉积含铝膜。虽然通过ALD使用三甲基铝作为源试剂而广泛地沉积Al2O3,但三甲基铝是具有显著安全及管理成本的自燃液体。在固体汽化器(例如由美国马萨诸塞州比勒利卡(Billerica,Massachusetts,USA)的英特格公司(Entegris,Inc.)以商标ProE-Vap市售的类型的固体汽化器单元)中,可在固体AlCl3上面容易地产生Al2Cl6蒸汽。
半导体制造设备的不锈钢组件可由316不锈钢或通常被电解抛光的其它不锈钢合金形成。此电解抛光通常使表面涂覆有含有铬、铁、镍及其它合金组分的钝态氧化物层。另外,此类金属组分可通过自然氧化过程而形成表面痕量对应氧化物。因此,当六氯化二铝遇到此类金属氧化物时,金属氧化物与六氯化二铝进行反应以形成对应气相金属氯化铝化合物,所述气相金属氯化铝化合物可输送到晶片及半导体器件或器件前驱物结构且可沉积痕量金属或以其它方式损坏设备中正被制造的产品。替代地,金属氧化物可与Al2Cl6蒸汽进行反应以形成可输送到器件结构并造成损坏的Al2O3及颗粒金属氯化物。另外,AlCl3固体可接触金属氧化物表面以形成蒸汽金属氯化铝或固体氯化物粒子。
因此,抑制六氯化二铝与此半导体制造设备及其它薄膜沉积或蚀刻设备中的金属表面及组件的有害相互作用将为显著改进。
还持续需要用于多种工业应用的涂层,所述涂层为致密、无销孔且无缺陷的,并且提供其它涂层质量及优点,例如部分的电绝缘、保形地涂覆部分的能力、耐化学性及抗蚀刻性、抗腐蚀性、扩散势垒性质以及粘合层性质。
发明内容
本发明一般来说涉及适用于多种衬底制品、结构、材料及设备的涂层,且在特定方面中涉及半导体制造设备及增强其性能的方法,且更具体来说涉及易于受与六氯化二铝在此设备中的存在相关联的污染及粒子沉积的半导体制造设备,并且涉及用于对抗此不利污染及粒子沉积的组合物及方法。
在一个方面中,本发明涉及一种包括金属表面的结构、材料或装置,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,所述金属表面经配置以在所述结构、材料或装置的使用或操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述结构、材料或装置及其使用或操作有害的反应产物,其中所述金属表面涂覆有防止所述经涂覆表面与所述反应性气体进行反应的保护涂层。
在一个方面中,本发明涉及一种包括金属表面的半导体制造装置,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,所述金属表面经配置以在所述装置的操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述装置及其操作有害的反应产物(例如,颗粒反应产物及/或蒸汽反应产物),其中所述金属表面涂覆有防止所述经涂覆表面与所述反应性气体进行反应的保护涂层。
本发明的另一方面涉及一种改进包括金属表面的结构、材料或装置的性能的方法,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,其中所述金属表面经配置以在所述结构、材料或装置的使用或操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述结构、材料或装置及其使用或操作有害的反应产物,所述方法包括用保护涂层来涂覆所述金属表面,所述保护涂层防止所述经涂覆表面与所述反应性气体进行反应。
在另一方面中,本发明涉及一种改进包括金属表面的半导体制造装置的性能的方法,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,其中所述金属表面经配置以在所述装置的操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述装置及其操作有害的反应产物,所述方法包括用保护涂层来涂覆所述金属表面,所述保护涂层防止所述经涂覆表面与所述反应性气体进行反应。
在另一方面中,本发明涉及改进与反应固体进行接触的半导体制造装置的性能。
根据本发明的另一方面,提供用于工业应用的薄膜原子层沉积涂层。在本文中的说明书中描述根据本发明的薄膜涂层。
本发明的另一方面涉及一种复合ALD涂层,所述复合ALD涂层包括不同ALD产物材料的层。
本发明的另一方面涉及一种复合涂层,所述复合涂层包括至少一个ALD层及并非ALD层的至少一个经沉积层。
在另一方面中,本发明涉及一种在衬底上形成经图案化ALD涂层的方法,其包括在所述衬底上由有效地防止ALD膜生长的表面终止材料层形成图案。
在另一方面中,本发明涉及一种填充及/或密封材料的表面缺点(infirmity)的方法,所述方法包括以影响所述缺点的填充及/或密封的厚度将ALD涂层施加于材料的表面缺点上。
本发明的另一方面涉及一种过滤器,其包括纤维及/或粒子的基质,所述纤维及/或粒子由金属及/或聚合材料形成,其中所述纤维及/或粒子的基质在其上具有ALD涂层,其中与在上面缺少所述ALD涂层的纤维及/或粒子的对应基质相比,所述ALD涂层不会将所述纤维及/或粒子的基质的孔隙体积更改多于5%,且其中当所述纤维及/或粒子由金属形成且所述ALD涂层包括金属时,所述ALD涂层的所述金属不同于所述纤维及/或粒子的所述金属。
本发明的又一方面涉及一种将气态或蒸汽流递送到半导体处理工具的方法,所述方法包括为所述气态或蒸汽流提供从所述气态或蒸汽流的源到所述半导体处理工具的流动路径,且使所述气态或蒸汽流在所述流动路径中流动穿过过滤器以从所述流移除外来固体材料,其中所述过滤器包括本发明的过滤器,如本文中所不同地描述。
在另一方面中,本发明涉及一种过滤器,其包括涂覆有ALD氧化铝涂层的不锈钢纤维及/或粒子的经烧结基质,其中所述经烧结基质包括具有在从1μm到40μm(例如,从10μm到20μm)的范围内的直径的孔隙,且ALD涂层具有在从2nm到500nm的范围内的厚度。
本发明的另一方面涉及一种固体汽化器装置,其包括界定内部体积的器皿,所述内部体积在其中包含用于将被汽化的固体材料的支撑表面,其中所述支撑表面的至少一部分在其上具有ALD涂层。
在另一方面中,本发明涉及一种由一或多个层构成的薄膜涂层,其中至少一个层通过原子层沉积而进行沉积。
本发明的另一方面涉及一种具有超过
Figure BDA0002507128200000051
的膜厚度的ALD涂层。
本发明的另一方面涉及一种包括极致密、无销孔、无缺陷层的ALD涂层。
本发明的又一方面涉及一种沉积于不同于硅晶片上的集成电路器件的部分表面上的薄膜涂层。
在另一方面中,本发明涉及一种由绝缘金属氧化物及金属构成的ALD涂层。
本发明的另一方面涉及一种可在从20℃到400℃的范围内的温度下进行沉积的ALD涂层。
本发明的另一方面涉及一种包括具有经定义化学计量的单个膜的ALD涂层。
本发明的另一方面涉及一种包括ALD层结合通过不同沉积技术而进行沉积的至少一个其它层的薄膜涂层。
在另一方面中,本发明涉及一种具有不超过2μm的涂层厚度的多层ALD涂层。
本发明的另一方面涉及一种ALD材料涂层,所述材料选自由以下各项组成的群组:氧化物、氧化铝、氮氧化铝、氧化钇、氧化钇-氧化铝混合物、氧化硅、氮氧化硅、过渡金属氧化物、过渡金属氮氧化物、稀土金属氧化物及稀土金属氮氧化物。
本发明的另一方面涉及一种在衬底部分上形成经图案化ALD涂层的方法,所述方法包括:用ALD涂层来均匀地涂覆所述部分;及通过掩模而回蚀不想要的涂层材料。
本发明的另一方法方面涉及一种在衬底部分上形成经图案化ALD涂层的方法,所述方法包括:对所述部分的区进行掩蔽;用ALD涂层来涂覆所述部分;及从所述部分的掩模区移除所述ALD涂层。
本发明的仍另一方法方面涉及一种在衬底部分上形成经图案化ALD涂层的方法,所述方法包括:以包括阻止ALD膜生长的表面终止组件的材料对所述衬底部分进行图案化;及用ALD涂层来涂覆所述经图案化衬底部分。
本发明的另一方面涉及一种使衬底部分电绝缘的方法,其包括将无缺陷、无销孔、致密、电绝缘ALD涂层施加到所述衬底部分。
在另一方面中,本发明涉及一种位于衬底表面上的涂层,其包括具有耐化学及抗蚀刻特性的ALD涂层。
本发明的另一方面涉及一种位于衬底表面上的涂层,其包括ALD抗腐蚀涂层。
本发明的另一方面涉及一种位于衬底表面上的涂层,其包括ALD扩散势垒层。
本发明的仍另一方面涉及一种位于衬底表面上的涂层,其包括ALD粘合层。
本发明的又一方面涉及一种位于衬底表面上的涂层,其包括ALD表面密封剂层。
在另一方面中,本发明涉及一种多孔过滤器,其包括涂覆有耐化学ALD涂层的纤维金属薄膜。
本发明的另一方面涉及一种过滤器,其包括涂覆有ALD涂层的多孔材料基质,其中相对于未涂覆有所述ALD涂层的对应多孔材料基质而言,所述多孔金属基质的平均孔隙大小已通过所述ALD涂层而减小。
本发明的另一方面涉及一种过滤器,其包括涂覆有ALD涂层的多孔材料基质,其中涂层厚度经定向变化以在所述过滤器中提供对应孔隙大小梯度。
在另一方面中,本发明涉及一种制作多孔过滤器的方法,其包括用ALD涂层来涂覆多孔材料基质,以减小所述多孔材料基质的平均孔隙大小。
在另一方面中,本发明涉及一种固体汽化器装置,其包括在其中界定内部体积的容器、经配置以从所述容器排出前驱物蒸汽的出口及所述容器的所述内部体积中的支撑结构,所述支撑结构适于在其上支撑固体前驱物材料以使所述固体前驱物材料进行挥发以形成所述前驱物蒸汽,其中所述固体前驱物材料包括铝前驱物,且其中所述内部体积中的表面区的至少一部分涂覆有氧化铝涂层。
本发明的另一方面涉及一种增强不锈钢结构、材料或装置的抗腐蚀性的方法,所述不锈钢结构、材料或装置在使用或操作中暴露于卤化铝,所述方法包括用氧化铝涂层来涂覆所述不锈钢结构、材料或装置。
本发明的另一方面涉及一种半导体处理蚀刻结构、组件或装置,所述半导体处理蚀刻结构、组件或装置在使用或操作中暴露于蚀刻介质,所述结构、组件或装置涂覆有包括氧化钇层的涂层,其中所述氧化钇层任选地上覆于所述涂层中的氧化铝层上。
本发明的又一方面涉及一种增强半导体处理蚀刻结构、组件或装置的抗腐蚀性及抗蚀刻性的方法,所述半导体处理蚀刻结构、组件或装置在使用或操作中暴露于蚀刻介质,所述方法包括用包括氧化钇层的涂层来涂覆所述结构、组件或装置,其中所述氧化钇层任选地上覆于所述涂层中的氧化铝层上。
另一方面,本发明涉及一种蚀刻室扩散板,其包括用氧化铝涂层来囊封的镍薄膜。
本发明的另一方面涉及一种增强包括镍薄膜的蚀刻室扩散板的抗腐蚀性及抗蚀刻性的方法,其包括用氧化铝囊封涂层来涂覆所述镍薄膜。
在另一方面中,本发明涉及一种气相沉积处理结构、组件或装置,其在使用或操作中暴露于卤化物介质,所述结构、组件或装置涂覆有氧化钇涂层,所述氧化钇涂层包括ALD氧化钇基底涂层及PVD氧化钇外涂层。
在仍另一方面中,本发明涉及一种增强气相沉积处理结构、组件或装置的抗腐蚀性及抗蚀刻性的方法,所述气相沉积处理结构、组件或装置在使用或操作中暴露于卤化物介质,所述方法包括用氧化钇涂层来涂覆所述结构、组件或装置,所述氧化钇涂层包括ALD氧化钇基底涂层及PVD氧化钇外涂层。
本发明的又一方面涉及一种石英外罩结构,其在其内部表面上涂覆有氧化铝扩散势垒层。
本发明的另一方面涉及一种减少汞到石英外罩结构中的扩散的方法,所述石英外罩结构在其操作中易受此扩散的影响,所述方法包括用氧化铝扩散势垒层来涂覆所述石英外罩结构的内部表面。
本发明的仍另一方面涉及一种等离子体源结构、组件或装置,其在使用或操作中暴露于等离子体及超过1000V的电压,其中所述结构、组件或装置的等离子体浸湿表面涂覆有ALD氧化铝涂层,且所述氧化铝涂层外涂覆有PVD氮氧化铝涂层。
在一个方面中,本发明涉及一种增强等离子体源结构、组件或装置的使用寿命的方法,所述等离子体源结构、组件或装置在使用或操作中暴露于等离子体及超过1000V的电压,所述方法包括用ALD氧化铝涂层来涂覆所述结构、组件或装置的等离子体浸湿表面且用PVD氮氧化铝涂层来外涂覆所述氧化铝涂层。
在另一方面中,本发明涉及一种电介质堆叠,其包括序列层,所述序列层包含氧化铝基底层、位于所述氧化铝基底层上的镍电极层、位于所述镍电极层上的ALD氧化铝电隔绝层、位于所述ALD氧化铝电隔绝层上的PVD氮氧化铝热膨胀缓冲层以及位于所述PVD氮氧化铝热膨胀缓冲层上的CVD氮氧化硅晶片接触表面及电间隔件层。
在另一方面中,本发明涉及一种等离子体活化结构、组件或装置,其包括涂覆有(i)及(ii)的多层涂层中的一者的铝表面:(i)位于所述铝表面上的CVD硅基底涂层,及位于所述CVD硅基底涂层上的ALD氧化锆层;以及(ii)位于所述铝表面上的CVD氮氧化硅基底涂层,及位于所述CVD氮氧化硅基底涂层上的ALD氧化铝层。
本发明的另一方面涉及一种减少等离子体活化结构、组件或装置的铝表面的粒子形成及金属污染的方法,所述方法包括用(i)及(ii)的多层涂层中的一者来涂覆所述铝表面:(i)位于所述铝表面上的CVD硅基底涂层,及位于所述CVD硅基底涂层上的ALD氧化锆层;以及(ii)位于所述铝表面上的CVD氮氧化硅基底涂层,及位于所述CVD氮氧化硅基底涂层上的ALD氧化铝层。
在本发明的另一方面中预期一种多孔基质过滤器,所述多孔基质过滤器包括由不锈钢、镍或钛形成的薄膜,其中所述薄膜用氧化铝来囊封以达在从20μm到2000μm的范围内的涂层渗透深度。
在对应方法方面中,本发明涉及一种制作多孔基质过滤器的方法,其包括用氧化铝来囊封由不锈钢、镍或钛形成的薄膜以达在从20μm到2000μm的范围内的涂层渗透深度。
依据随后描述及所附权利要求书,将完全明了本发明的其它方面、特征及实施例。
附图说明
图1是根据本发明的一个方面的半导体晶片处理工具的沉积炉的示意性表示。
图2是根据本发明的另一方面的沉积炉工艺系统的示意性表示,所述沉积炉工艺系统用于利用呈安瓿(ampoule)形式的固体源递送汽化器(其用于使AlCl3汽化以形成Al2Cl6蒸汽)、使用Al2Cl6蒸汽来涂覆晶片,其中安瓿的托盘及内部表面涂覆有Al2O3,以及安瓿下游的所有阀、管子及过滤器涂覆有Al2O3
图3是具有固持器的汽化器容器的透视部分剖视图,所述固持器用以帮助促进气体与来自由固持器支撑的材料的蒸汽的接触。
图4是根据本发明的另一方面的有用地用于过滤器元件中的类型的多孔金属熔块的表面的以15K放大率的显微照片。
图5是不暴露于AlCl3的经电解抛光316L不锈钢的表面的以20,000倍放大率的显微照片。
图6是在无水环境中于120℃下暴露于AlCl3达10天之后的经电解抛光316L不锈钢的表面的以1000倍放大率的显微照片。
图7是丝毫未暴露于AlCl3的经电解抛光316L不锈钢的横截面的以50,000倍放大率的显微照片。
图8是在无水环境中于120℃下暴露于AlCl3达10天之后的未经涂覆316L不锈钢的以20,000倍放大率的显微照片。
图9是在无水环境中于120℃下暴露于AlCl3达10天之后的经电解抛光316L不锈钢的以35,000倍放大率的显微照片,其展示沿着表面的多个凹坑。
图10是在于120℃下暴露于无水AlCl3达10天之前通过使用三甲基铝及水进行的Al2O3的100次ALD循环而涂覆的经电解抛光316L不锈钢的以35,000倍放大率的显微照片。
图11是在于120℃下暴露于无水AlCl3达10天之前通过使用三甲基铝及水进行的Al2O3的1000次ALD循环而涂覆的经电解抛光316L不锈钢的以35,000倍放大率的显微照片。
图12是在于155℃下暴露于AlCl3达九天之后拍摄的样本不锈钢取样片的合成照片,所述样本不锈钢取样片中的样本取样片2及3涂覆有
Figure BDA0002507128200000091
厚的氧化铝涂层,且样本取样片12及13未经涂覆。
图13是在于220℃下暴露于WCl5达10天之后的氧化铝涂覆的不锈钢样本的俯视扫描电子显微镜(SEM)显微照片。
图14是在于220℃下暴露于WCl5达10天之后的图13的样本中的涂层的边缘的聚焦离子束(FIB)横截面。
图15是有用地用于汽化器安瓿中以针对铝工艺进行三氯化铝(AlCl3)固体前驱物递送的不锈钢固持器的透视图,其中三氯化铝前驱物由固持器支撑且经挥发以形成三氯化铝前驱物蒸汽以从汽化器安瓿排出并通过相关联流动线路而输送到铝工艺。
图16是图15中所展示的类型的不锈钢固持器的透视图,所述不锈钢固持器如通过原子层沉积而在其上涂覆有氧化铝涂层,使得不锈钢表面在腐蚀环境中由氧化铝涂层囊封,所述腐蚀环境涉及在汽化器安瓿的使用及操作中固持器所经受的三氯化铝(AlCl3)暴露。
图17是氧化铝涂层的示意性立面图,所述氧化铝涂层通过原子层沉积而被施加到不锈钢衬底以在使用中提供抗腐蚀性、防止与衬底进行化学反应且减少金属污染。
图18展示涂覆有氧化钇(Y2O3)的等离子体蚀刻装置的通道。
图19是通过原子层沉积而施加于氧化铝上的氧化钇涂层的示意性立面图。
图20是如涂覆有氧化铝涂层的包含不锈钢框架及镍过滤薄膜的扩散板组合件的照片。
图21是扩散板组合件的示意性立面图,其中不锈钢框架及镍薄膜用ALD氧化铝来囊封。
图22是包含铝衬底、ALD氧化铝涂层及PVD AlON涂层的涂层结构的示意性立面图。
图23是用于热卡盘组件的电介质堆叠的层结构的示意性立面图,其中氧化铝衬底在其上具有电极金属,ALD氧化铝电隔绝层位于所述电极金属上,PVD氮氧化铝涂层位于所述ALD氧化铝电隔绝层上,化学气相沉积(CVD)所沉积的氮氧化硅(SiON)层位于所述PVD氮氧化铝涂层上。
图24是多层堆叠的示意性立面图,所述多层堆叠包含位于铝衬底上的化学气相沉积施加的硅层、具有位于CVD Si层上的ALD氧化锆层。
图25是多层堆叠的示意性立面图,所述多层堆叠包含位于铝衬底上的CVD氮氧化硅层及位于CVD SiON涂层上的ALD氧化铝层。
图26是通过原子层沉积而涂覆有氧化铝的具有1.5mm壁厚度及2μm到4μm的孔隙大小的多孔材料的显微照片。
图27是包括由不锈钢、镍、钛或其它适合材料形成的薄膜的经囊封薄膜的示意性表示,所述经囊封薄膜已用通过ALD而沉积的氧化铝来完全囊封。
图28是经涂覆过滤器的显微照片,其中涂层是氧化铝,具有35μm的涂层渗透深度。
图29是经涂覆过滤器的显微照片,其中涂层是氧化铝,具有175μm的涂层渗透深度。
具体实施方式
本发明一般来说涉及适用于多种衬底制品、材料、结构及设备的涂层。在各种方面中,本发明涉及半导体制造设备及增强其性能的方法,且更具体来说涉及易于受与六氯化二铝蒸汽在此设备中的存在相关联的污染及粒子沉积的半导体制造设备,并且涉及用于对抗此不利污染及粒子沉积的组合物及方法。
如本文中所使用,对碳数范围(例如,在C1到C12烷基中)的识别打算包含此范围内的组分碳数部分中的每一者,使得囊括在所述所陈述范围内的每一介入碳数及任何其它所陈述或介入碳数值,应进一步理解,所规定碳数范围内的碳数子范围可在本发明的范围内独立地包含于较小碳数范围内,且具体来说排除一或若干碳数的所述碳数范围包含于本发明中,且排除所规定范围的碳数极限中的任一者或两者的子范围也包含于本发明中。因此,C1到C12烷基打算包含甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基、十一烷基及十二烷基,包含此些类型的直链以及支链基。因此将了解,在本发明的特定实施例中,对如宽广地适用于取代部分的碳数范围(例如,C1到C12)的识别使得能够进一步限制碳数范围,作为具有在取代部分的较宽广规定内的碳数范围的部分的子群组。以实例方式,在本发明的特定实施例中,可较限制性地规定碳数范围(例如,C1到C12烷基)以囊括子范围,例如C1到C4烷基、C2到C8烷基、C2到C4烷基、C3到C5烷基或在宽广碳数范围内的任何其它子范围。换句话说,碳数范围被视为将范围中的碳数物种中的每一者关于此范围所适用于的取代基、部分或化合物肯定地陈述为一选择群组,,可从所述选择群组选择所述选择群组的成员中的特定者作为顺序碳数子范围或作为此选择群组内的特定碳数物种。
在本发明的宽广范围内,相同构造及选择灵活性适用于规定原子、官能团、离子或部分的数目(关于所规定范围、数值约束(例如,不等式、大于约束、小于约束))的化学计量系数及数值,以及氧化状态及确定适用于掺杂剂源、植入物种及化学实体的特定形式、电荷状态及组合物的其它变量。
如本文中所使用的“烷基”包含但不限于甲基、乙基、丙基、异丙基、丁基、仲丁基、叔丁基、戊基及异戊基等等。如本文中所使用的“芳基”包含从苯衍生的烃类或苯衍生物,所述烃类及所述苯衍生物为从6个碳原子到10个碳原子的不饱和芳香碳环基。芳基可具有单个或多个环。如本文中所使用的术语“芳基”还包含取代芳基。实例包含但不限于苯基、萘基、二甲苯、苯基乙烷、取代苯基、取代萘基、取代二甲苯、取代苯基乙烷等等。如本文中所使用的“环烷基”包含但不限于环丙基、环丁基、环戊基、环己基等等。在本文中的所有化学式中,碳数范围将被视为规定一系列连续替代含碳部分(包含含有在特定范围内的碳数的端点值中间的碳原子数目的所有部分以及含有等于特定范围的端点值的碳原子数目的部分),例如,C1到C6包含C1、C2、C3、C4、C5及C6且此类较宽广范围内的每一者可参考此类范围内的碳数而被进一步限制性地规定为其子范围。因此,举例来说,范围C1到C6将包含较宽广范围内的子范围(例如C1到C3、C1到C4、C2到C6、C4到C6等)的规定且可进一步由所述子范围的规定限制。
在一个方面中,本发明涉及一种包括金属表面的结构、材料或装置,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物(氟化物、氯化物、碘化物及/或溴化物),所述金属表面经配置以在所述结构、材料或装置的使用或操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述结构、材料或装置及其使用或操作有害的反应产物,其中所述金属表面涂覆有防止所述经涂覆表面与所述反应性气体进行反应的保护涂层。
在一个方面中,本发明涉及一种包括金属表面的半导体制造装置,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,所述金属表面经配置以在所述装置的使用或操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属进行反应以形成对所述装置及其使用或操作有害的反应产物,其中所述金属表面涂覆有防止所述经涂覆表面与所述反应性气体进行反应的保护涂层。
在此半导体制造装置中,所述金属氧化物可在各种实施例中包括Cr、Fe、Co及Ni中的一或多者的至少一种氧化物,或在其它实施例中,所述金属氧化物可包括Cr、Fe及Ni中的一或多者的至少一种氧化物。举例来说,金属氮化物可在存在氨时的处理期间在存在氨的情况下由铁或钴形成,其中所得氮化铁或氮化钴随后与AlCl3或TiCl4进行反应。金属卤化物可在蚀刻操作或清洁循环操作期间形成于金属表面上。在各种实施例中,金属表面可包括不锈钢表面。在特定实施例中,与金属氧化物、氮化物或卤化物进行反应以形成对装置及其使用或操作有害的反应产物的气体包括Al2Cl6
在特定应用中,保护涂层可包括选自由以下各项组成的群组的涂层材料中的一或多者:Al2O3;式MO的氧化物,其中M为Ca、Mg或Be;式M’O2的氧化物,其中M’为化学计量上可接受的金属;及式Ln2O3的氧化物,其中Ln为镧系元素,例如La、Sc或Y。更一般来说,保护涂层可包括金属氧化物,所述金属氧化物与在装置的操作中和金属表面接触的材料反应的自由能大于或等于零。
本发明的另一方面涉及一种改进包括金属表面的结构、材料或装置的性能的方法,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,其中所述金属表面经配置以在所述结构、材料或装置的使用或操作中与气体、固体或液体接触,所述气体、固体或液体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述结构、材料或装置及其使用或操作有害的反应产物,所述方法包括用保护涂层来涂覆所述金属表面,所述保护涂层防止所述经涂覆表面与所述反应性气体进行反应。
在另一方面中,本发明涉及一种改进包括金属表面的半导体制造装置的性能的方法,所述金属表面易于在其上形成所述金属的氧化物、氮化物或卤化物,其中所述金属表面经配置以在所述装置的使用或操作中与气体接触,所述气体与所述金属氧化物、氮化物或卤化物进行反应以形成对所述装置及其使用或操作有害的反应产物,所述方法包括用保护涂层来涂覆所述金属表面,所述保护涂层防止所述经涂覆表面与所述反应性气体进行反应。
在各种实施例中,金属氧化物、氮化物或卤化物可包括Cr、Fe、Co及Ni中的一或多者的至少一种氧化物、氮化物或卤化物,且在其它实施例中可包括Cr、Fe及Ni中的一或多者的至少一种氧化物、氮化物或卤化物,或者任何其它适合金属氧化物、氮化物或卤化物物种。举例来说,金属表面可包括不锈钢。与金属氧化物、氮化物或卤化物进行反应以形成对结构、材料或装置及其使用或操作有害的反应产物的气体可包括Al2Cl6
在前述方法中施加到金属表面的保护涂层可包括选自由以下各项组成的群组的涂层材料中的一或多者:Al2O3;式MO的氧化物,其中M为Ca、Mg或Be;式M’O2的氧化物,其中M’为化学计量上可接受的金属;及式Ln2O3的氧化物,其中Ln为镧系元素,例如La、Sc或Y。更一般来说,保护涂层可包括金属氧化物,所述金属氧化物与在所述结构、材料或装置的使用或操作中和金属表面接触的气体反应的自由能大于或等于零。
可在本发明的方法中通过任何适合技术而将保护涂层施加到金属表面,且在特定应用中,涂覆操作可包括保护涂层的物理气相沉积(PVD)、化学气相沉积(CVD)、溶液沉积或原子层沉积(ALD)。
ALD是用于将保护涂层施加到金属表面的优选技术。在特定应用中,可利用等离子体增强的ALD作为用于在金属表面上形成保护涂层的ALD工艺。在各种ALD实施例中,保护涂层可包括Al2O3。举例来说,此保护涂层可通过包括其中在循环ALD工艺中利用三甲基铝及臭氧来形成所述保护涂层的工艺序列的原子层沉积而施加,或替代地,通过包括其中在循环ALD工艺中利用三甲基铝及水来形成所述保护涂层的工艺序列的原子层沉积而施加。
在所述方法的其它ALD实施方案中,保护涂层可包括式MO的金属氧化物,其中M为Ca、Mg或Be。针对其应用,原子层沉积可包括:其中在循环ALD工艺中利用环戊二烯基M化合物及臭氧来形成所述保护涂层的工艺序列,或其中在循环ALD工艺中利用环戊二烯基M化合物及水来形成所述保护涂层的工艺序列,或其中在循环ALD工艺中利用Mβ-二酮化合物及臭氧来形成所述保护涂层的工艺序列,或者其它适合工艺序列及金属氧化物前驱物化合物。各种各样的前驱物配体可用于保护涂层的沉积,包含但不限于:H、C1-C10烷基,线性、支链或环状、饱和或不饱和的;芳香烃、杂环、烷氧基、环烷基、甲硅烷基、甲硅烷基烷基(silylalkyl)、甲硅烷基酰胺、三甲基甲硅烷基甲硅烷基取代的烷基(trimethylsilylsilyl-substituted alkyl)、三烷基甲硅烷基取代的炔烃及三烷基甲硅烷基酰胺取代的炔烃、二烷基酰胺、乙烯、乙炔、炔烃、取代烯烃、取代炔烃、二烯、环戊二烯基丙二烯、胺、烷基胺或二齿胺、氨、RNH2(其中R为有机(例如)烃基、取代基)、脒基、胍基、二氮杂二烯(diazadiene)环戊二烯基、肟、羟胺、醋酸盐、β-二酮、β-酮亚胺盐、腈类、硝酸盐、硫酸盐、磷酸盐、卤代(halo);羟基、取代羟基以及其组合及衍生物。
在将保护涂层施加到金属表面的方法的仍其它ALD实施方案中,保护涂层可包括式Ln2O3的金属氧化物,其中Ln为镧系元素。举例来说,Ln可为La、Sc或Y。在施加镧系氧化物保护涂层时,原子层沉积可包括:其中在循环ALD工艺中利用环戊二烯基Ln化合物及臭氧来形成所述保护涂层的工艺序列,或其中在循环ALD工艺中利用环戊二烯基Ln化合物及水来形成所述保护涂层的工艺序列,或其中在循环ALD工艺中利用Lnβ-二酮化合物及臭氧来形成所述保护涂层的工艺序列,或者其它适合工艺序列及镧系前驱物化合物。
保护涂层可以任何适合厚度涂覆于金属表面上,例如涂层厚度在从5nm到5μm的范围内。
在各种实施例中,在用保护涂层涂覆金属表面期间,金属表面可处于在从25℃到400℃的范围内的温度。在其它实施例中,在涂覆操作期间,此金属表面可处于在从150℃到350℃的范围内的温度。在仍其它实施例中,金属表面的温度可在其它范围内,以用于将保护涂层施加到所述金属表面。
由本发明所解决的半导体制造操作中的化学侵蚀及污染物物种输送的问题在不锈钢炉中尤其严重,在所述不锈钢炉中,晶片经处理以用于制造微电子器件及其它半导体制造产品。在此类炉中,已发现当六氯化二铝蒸汽移动通过系统时,Al2Cl6蒸汽的流动会将可测量水平的Cr、Fe及Ni输送到晶片。所测量的当前水平与通过自然氧化或通过电解抛光而留在不锈钢(例如,316L不锈钢)的表面上的此类金属的对应氧化物的移除一致。
本发明通过用将不与Al2Cl6进行反应的材料涂层涂覆炉的表面及组件而解决此问题。此实现一种解决方案,所述解决方案远优于用于从不锈钢表面及组件移除表面氧化物、氮化物及卤化物使得所述表面氧化物、氮化物及卤化物不与Al2Cl6进行反应的方法,这是因为将总是存在将使此类表面及组件暴露于湿气以及氧气、氮气及卤素的低水平的周围湿气泄漏或维护事件。此外,如果Al2Cl6将以大体积流动穿过炉以反应性地移除金属氧化物、氮化物及卤化物,那么此方法将使工具吞吐量严重降级且并非可行解决方案。
相反,本发明采用炉或其它半导体制造设备中的表面及组件的涂层,使得将表面及组件钝化而不与Al2Cl6进行反应。如所论述,涂层有利地包括选自由以下各项组成的群组的涂层材料中的一或多者:Al2O3;式MO的氧化物,其中M为Ca、Mg或Be;式M’O2的氧化物,其中M’为化学计量上可接受的金属;及式Ln2O3的氧化物,其中Ln为镧系元素,例如La、Sc或Y。
涂层可以在半导体制造设备的表面及组件上产生连续保形涂层的任何适合方式来施加,包含物理气相沉积(PVD)、化学气相沉积(CVD)、溶液沉积及原子层沉积(ALD)等技术。
ALD沉积对于涂覆过滤器元件及管内部来说尤其有利。三甲基铝/臭氧(TMA/O3)或三甲基铝/水(TMA/H2O)是用于沉积Al2O3的有用组合物。金属M或Ln的环戊二烯基化合物可用于利用臭氧(O3)或水蒸汽(H2O)在循环ALD工艺中沉积MO或Ln2O3。M或Ln的β-二酮可用于在循环ALD工艺中沉积MO或Ln2O3,其中β-二酮金属前驱物的反应脉冲与O3的脉冲交替。
为沉积氧化铝保护涂层,选择金属(例如,三甲基铝)的前驱物连同含氧组分(例如臭氧或水),且识别涂覆条件,所述涂覆条件可说明性地包括TMA/冲洗/H2O/冲洗的ALD序列或TMA/冲洗/O3/冲洗的序列,以可(举例来说)在从150℃到350℃的范围内的衬底温度及在从5nm到5μm的范围内的涂层厚度。可接着针对特定反应器及正被涂覆的表面或组件的几何形状而确定工艺序列的脉冲及冲洗时间。
作为一般方法,可基于以下方法而选择用于保护表面免受六氯化二铝的适合金属氧化物及用于保护表面免受金属卤化物蒸汽的适合金属氧化物。
首先规定六氯化二铝暴露将在半导体设备中发生的温度,且接着识别半导体制造设备的表面及组件的金属与将接触此类表面及组件的化学试剂的化学反应。针对在所规定温度下的这些化学反应,可识别焓及熵改变以及自由能及反应常数,举例来说如以下表1中所展示。
表1
Figure BDA0002507128200000151
Figure BDA0002507128200000161
其中A为摩尔数、X为卤化物且N为任意金属。举例来说,NXy可为HfCl4或WCl6
表1的第一行中的反应将不会导致半导体制造设备中的金属的腐蚀,这是因为反应的自由能为正的。然而,表1的第二行中的反应可导致腐蚀。通过将不锈钢半导体制造设备的表面氧化物从Cr2O3改变为Al2O3,反应的驱动力变为零。替代地,如表1的第三行中所展示,保护氧化物可从任何金属氧化物MOx进行选择,针对所述金属氧化物的反应的自由能大于或等于零(且其中x具有任何化学计量上适当值)。此外,如表1的第四行中所展示,如果正递送一般金属卤化物蒸汽NXy(例如NF3),那么保护氧化物可从金属氧化物MOx进行选择,针对所述金属氧化物的反应的自由能大于或等于零。
可利用本发明的保护涂层来保护免受腐蚀剂,例如NF3、Al2Cl6、HfCl4、TiCl4、ZrCl4、WCl6、WCl5、VCl4、NbCl5、TaCl5及其它金属氯化物。举例来说,可针对这些腐蚀剂而利用Al2O3作为保护涂层材料。可作为气体或蒸汽被递送的半导体材料(例如氟、氯、溴、氟化氢、氯化氢、溴化氢、二氟化氙、三氟化硼、四氟化硅、四氟化锗、三氟化磷、三氟化砷、三氯化硼、四氯化硅、臭氧)可介导(mediate)腐蚀行为,且Al2O3涂层可有用地用于提供抵抗此类腐蚀剂的保护膜。四氯化钛腐蚀性较强且将针对Y2O3具有正ΔG。
在特定实施例中,利用Al2O3作为针对不锈钢表面的溴化氢暴露具有正ΔG的保护涂层材料。在其它实施例中,利用Al2O3作为针对不锈钢表面的氯化氢暴露具有正ΔG的保护涂层材料。在仍其它实施例中,利用镍作为针对不锈钢表面的四氯化硅暴露具有正ΔG的保护涂层材料。
在额外实施例中,对暴露于四氟化锗的不锈钢表面具有正ΔG的保护涂层可包括镍、Al2O3、Cr2O3、金、氮化物(例如氮化钛(TiN))、玻璃及铜中的任一者。利用四氟化锗进行钝化对于不锈钢及镍为有效的,这是因为形成了可被视为上覆于镍或不锈钢上的NiF2、CrF3或FeF3层的表面Ni-F、Cr-F及Fe-F物种。
在其它实施例中,利用金作为针对不锈钢表面的氟化氢暴露具有正ΔG的保护涂层材料。
在各种实施例中,用于不锈钢及碳钢的保护涂层包含金属(例如镍)及金属合金。在其它实施例中,用于此类设施(service)的保护涂层可包含聚合材料(例如聚四氟乙烯(PTFE))或类PTFE材料(包含以商标
Figure BDA0002507128200000171
Figure BDA0002507128200000172
市售的材料的保护涂层)。保护涂层还可用于避免由暴露于氢化物气体所导致的不锈钢脆化,且此类保护涂层可由例如铝、铜或金等材料形成或者以其它方式包括所述材料。
保护涂层针对其而提供于表面上的反应剂可具有固体、液体及/或气体形式,且可在混合物或者包含一或多个溶剂的溶液中。
关于ΔG更一般来说,可通过压力或温度改变而切换在10-4<K<10+4的范围内的稳定性,且当K>10+4时,将在任何条件下存在极小腐蚀。
如通过ALD或其它气相沉积技术而形成的本发明的致密、无销孔涂层可与自然氧化物表面区分。在室温下或接近室温而形成的自然氧化物膜通常为结晶的,且与此类自然氧化物膜相关联的氧化可为不完全的。此类自然氧化物膜比本发明的气相沉积涂层(例如,ALD涂层)更具反应性。本发明的致密、厚的、无销孔气相沉积涂层为非晶且保形的。
在不锈钢上具有如根据本发明所形成的氧化铝涂层的情形中,可在Al2O3涂层的沉积之前采用清洁或其它预处理步骤。举例来说,可采用电解抛光或降低处理或者此类处理的组合,如在本发明的特定实施方案中可为合意或有利的。可另外或替代地利用任何其它适合清洁或预处理步骤。
关于三氯化铝,应注意,AlCl3不溶解于溶剂中或者油或油脂中,然而,可(例如)在固体递送汽化器中(其中AlCl3或其它化学品经提供以用于在所述汽化器被加热时进行挥发以提供从器皿施配的蒸汽流)将油或油脂呈现为传热剂。举例来说,将被递送的AlCl3或其它化学品可与高沸点惰性油或油脂进行混合以形成膏,所述膏接着被装载到固体递送器皿中的托盘或其它支撑表面上。油或油脂接着用作传热剂,且用作用以捕获小粒子并防止所述小粒子被夹带于蒸汽流中的介质。这些所捕获小粒子接着保留在油或油脂中直到所述所捕获小粒子被汽化且借此从传热剂传递出并最终从汽化器器皿传递出。以此方式,油或油脂可改进导热性且使得能够实现汽化器的较低递送温度。
现在参考图式,图1是根据本发明的一个方面的半导体晶片处理工具100的沉积炉102的示意性表示。
炉102界定其中安置有衬里110的经加热内部体积104,衬里110将内部体积分离成在所述衬里内的内体积108及在所述衬里外部的外部体积106,如所展示。其中安装有晶片114的晶片载体112定位于衬里110内的内体积108中,使得晶片可与炉中的处理气体接触。
如图1图式中所展示,可经由第一处理气体馈送管线118将第一处理气体从第一处理气体源116供应到炉的内体积108。以相似方式,可经由第二处理气体馈送管线122将第二处理气体从第二处理气体源120供应到炉的内体积108。可在工具的操作中将第一处理气体及第二处理气体同时或相继地引入到炉。举例来说,第一处理气体可包括用于使金属组分气相沉积于晶片载体112中的晶片衬底上的有机金属前驱物。举例来说,第二处理气体可包括卤化物清洁气体。引入到炉的内体积108的气体在衬里内向上流动且在从衬里110的上部开口端流出后,即刻向下流动于环形外部体积106中。此气体接着在排出管线124中从炉流出以到达减量单元126,来自炉的排泄气体在所述减量单元中经处理以从所述排泄气体中移除有害组分,其中在排气管线128中将经处理气体排出以进行进一步处理或其它处置。减量单元126可包括湿式及/或干式洗涤器、催化氧化装置或其它适合减量设备。
根据本发明,炉及衬里组件的表面涂覆有Al2O3层,使得所述表面抵抗来自六氯化二铝的化学侵蚀,所述化学侵蚀又使炉中的晶片114变得有缺陷或甚至对于其既定目的来说变得无效。
图2是根据本发明的另一方面的沉积炉工艺系统的示意性表示,所述沉积炉工艺系统用于利用呈安瓿形式的固体源递送汽化器(其用于使AlCl3汽化以形成Al2Cl6蒸汽)、使用Al2Cl6蒸汽来涂覆晶片,其中安瓿的托盘及内部表面涂覆有Al2O3,以及安瓿下游的所有阀、管子及过滤器涂覆有Al2O3
如所图解说明,从供应器皿(“Ar”)向安瓿提供氩载体气体供应,且载体气体通过含有质量流量控制器(“MFC”)的载体气体馈送管线而流动到安瓿。在安瓿中,载体气体与通过将安瓿加热以使支撑于其中的托盘上的固体AlCl3挥发而产生的Al2Cl6蒸汽接触,且经挥发Al2Cl6接着流动到含有晶片的炉,铝从Al2Cl6蒸汽沉积于所述晶片上。可如所展示通过到炉的共反应物馈送管线而将用于沉积的共反应物引入到炉。通过炉的流体流由泵及压力控制阀组合件控制,以在炉中维持适于其中的沉积操作的条件。
如所提及,安瓿的托盘及内部表面以及从安瓿向下游的流动线路表面及其中的组件均涂覆有Al2O3,以防止由六氯化二铝蒸汽侵蚀。流动线路中的过滤器可为具有金属过滤器元件的从美国马萨诸塞州比勒利卡的英特格公司以商标WafergardTM及GasketgardTM市售的类型。
图3是适合用于图2的沉积炉工艺系统中的类型的汽化器安瓿的透视部分剖视图。汽化器安瓿包含具有固持器的容器300,所述固持器用以帮助促进气体与来自由固持器支撑的材料的蒸汽的接触。容器具有界定相应支撑表面311、321、331、341、351及361的多个固持器310、320、330、340、350及360。容器具有带有表面301的底部壁及侧壁302,以帮助在容器300中界定大体上圆柱形内部区域,所述大体上圆柱形内部区域在容器300的顶部处或其附近具有大体上圆形开口。在特定实施例中,举例来说,大体上圆柱形内部区域的内径可处于大约3英寸到大约6英寸的范围内。
虽然在图3中将容器300图解说明为具有整体主体,但所述容器可由单独件形成。容器因此提供用于使材料汽化以递送到处理设备的安瓿。
如图3所图解说明,固持器310可定位于底部表面301上方以在底部表面301上方界定支撑表面311,固持器320可定位于固持器310上方以在支撑表面311上方界定支撑表面321;固持器330可定位于固持器320上方以在支撑表面321上方界定支撑表面331;固持器340可定位于固持器330上方以在支撑表面331上方界定支撑表面341;固持器350可定位于固持器340上方以在支撑表面341上方界定支撑表面351;且固持器360可定位于固持器350上方以在支撑表面351上方界定支撑表面361。虽然在图3中图解说明为使用六个固持器310、320、330、340、350及360,但可在汽化器的各种实施例中采用任何适合数目个固持器。
如图3中所图解说明,大体上环形支撑件304可在容器300的内部区域中放置于底部表面301上以支撑底部表面301上面的固持器310。管305可接着在容器300的内部区域的大体上中心部分中通过固持器360、350、340、330、320及310中的开口而延伸到固持器310与底部表面301之间的位置。
作为一个实例,图3的汽化器可通过将挡板或扩散器耦合于管305的端处而进行修改以帮助将气体流引导于支撑于底部表面301上的材料上方。在其中将气体引入于支撑将被汽化的材料的最下部固持器处或其附近的实施例中,所引入气体可经引导以在由最下部固持器使用任何适合结构支撑的材料上方流动及/或流动穿过所述材料。
如图3中所图解说明,容器300可具有围绕容器300的顶部处的开口的套环,及可定位于套环上方并使用螺丝(例如螺丝307)固定到套环的盖306。可任选地围绕套环的顶部处的开口界定凹槽以帮助将O形环308定位于容器300与盖306之间。O形环308可由任何适合材料(例如,
Figure BDA0002507128200000201
任何适合弹性体或任何适合金属,例如不锈钢)形成。盖306可界定穿过盖306的大体上中心区域的开口,至少部分地由管305界定的通路或入口可通过所述开口延伸到容器300的内部区域中。盖306固定到容器300的套环,盖306可按压在上O形环308上以帮助将盖306密封于套环上方且可按压在围绕管305的套环上以帮助将盖306按压在固持器360、350、340、330、320及310上。固持器360、350、340、330、320及310的O形环可接着经压缩以帮助将固持器360、350、340、330、320及310密封于彼此上及/或密封于管305上。具有入口耦合件391的阀381可耦合到管305以帮助调节气体到容器300中的引入。盖306还可界定开口,至少部分地由管界定的通路或出口可通过所述开口延伸到容器300中。具有出口耦合件392的阀382可耦合到管以帮助调节气体从容器的递送。
如图3中所图解说明,大体上圆形熔块370可定位于顶部固持器360上方以在引导于由固持器360支撑的材料上方的气体流通过由盖306界定的出口而进行递送之前帮助从所述气体流过滤固体材料。熔块370可界定穿过熔块370的大体上中心区域的大体上圆形开口,管305可通过所述大体上圆形开口进行延伸。熔块370可在盖306固定到容器300时以任何适合方式、使用任何适合结构按压在固持器360上方以帮助将熔块370密封于固持器360上方。除熔块370之外或替代熔块370,汽化器还可包括定位于用于从容器300进行的气体递送的通路或出口中的熔块及/或通过固持器310、320、330、340、350及360中的一或多者定位于一或多个通路中的一或多个熔块。汽化器中的熔块可另外涂覆有Al2O3。以相似方式,汽化器中的任何其它内部组件可涂覆有Al2O3,使得汽化器的内部体积中的所有表面及组件均涂覆有Al2O3
在图3汽化器中,由耦合于阀381与382之间的管子395界定的旁路通路可用于帮助冲洗阀381及382、入口耦合件391及/或出口耦合件392。阀383可任选地耦合到管子395以帮助调节通过旁路通路的流体流。可任选地使用入口/出口耦合件397来帮助界定容器300的内部区域的额外入口/出口以帮助冲洗内部区域。
图4是根据本发明的另一方面的有用地用于过滤器元件中的类型的多孔金属熔块的表面的以15K放大率的显微照片。
熔块的高表面面积可有利地通过ALD而进行涂覆,其中金属前驱物及氧化共反应物以单独自限制脉冲到达表面。为了用Al2O3来涂覆熔块,可采用三甲基铝及水或O3/O2混合物的交替脉冲。可通过增加每一步骤的脉冲长度直到涂覆所有表面而实证地确定特定条件。在特定实施例中,可采用从100℃到400℃的沉积温度来沉积有用膜。
将了解,可在本发明的宽广实践中采用其它铝源,举例来说,如AlCl3、其它AlR3(烷基)化合物(其中R3是有机部分)或其它挥发性Al化合物。在本发明的此实践中,例如N2O、O2、酒精、过氧化物等其它氧源也可与铝源试剂一起使用以沉积Al2O3或相关AlOx材料。
本发明的特征及优点由具有说明性特性以促进对本发明的理解的以下实例较完全地展示。
实例1
经电解抛光316L不锈钢样本用异丙醇来漂洗以清洁表面。两个样本通过原子层沉积(ALD)而涂覆有Al2O3。一个样本经受三甲基铝/冲洗/水/冲洗的100次ALD循环且另一样本经受相同ALD工艺的1000次循环。沉积温度为150℃。两个样本未经涂覆。在氮气冲洗的手套箱中将两个经涂覆样本以及未经涂覆样本中的一者装载到具有固体AlCl3粉末的玻璃安瓿中以防止湿气或氧气与样本或AlCl3相互作用。接着用PTFE帽来密封玻璃安瓿。将具有AlCl3及不锈钢样本的安瓿加热到120℃达10天。在10天结束时,将安瓿冷却且带回到手套箱中。在此惰性环境下从AlCl3移除样本。样本的质量增加为0.4mg到0.7mg(<0.15%)。所有表面均看起来为原始的。接下来,在扫描电子显微镜(SEM)中在这三个样本及丝毫未暴露于AlCl3的额外样本的顶部表面上检查所述样本且接着通过聚焦离子束(FIB)将所述样本横截以确定是否存在任何表面侵蚀。
图5展示未见任何AlCl3的样本的表面图像。此样本的表面为清洁的且展示不锈钢的主要元素:Fe、Cr及Ni。
图6展示暴露于AlCl3的未经涂覆样本。可见,在将Al及Cl添加到不锈钢的主要组分的情况下在此样本上存在显著表面残余物。
图7展示未暴露于AlCl3的样本的横截面。显然,不存在表面侵蚀。
图8展示暴露于AlCl3的未经涂覆样本。存在用以与表面进行比较的线,使得显然看出在具有含Al及Cl残余物的区下面不存在0.1微米到0.2微米的表面侵蚀。
图9展示不具有表面涂层的暴露于AlCl3的样本的不同区。自然氧化物存在于未经处理不锈钢表面上。在此区中,多个凹坑清晰可见。
相比来说,图10展示在于120℃下暴露于AlCl3之前具有100次TMA/H2O循环的涂层的表面的横截面。在此情形中,仍存在粘合到表面的含Al及Cl残余物,但不存在不锈钢的表面的任何侵蚀的证据。
同样,图11展示在于120℃下暴露于AlCl3之前具有1000次TMA/H2O循环的涂层的表面的横截面。在此情形中,仍存在粘合到表面的含Al及Cl残余物,但不存在不锈钢的表面的任何侵蚀的证据。
实例2
在特定实证评估中,在第一测试中暴露于三氯化铝(AlCl3)时及在第二测试中暴露于五氯化钨(WCl5)时估计氧化铝涂层的功效。
在第一测试中,经电解抛光316L不锈钢的样本取样片涂覆有
Figure BDA0002507128200000221
的Al2O3或未经涂覆。将每一类型的一个样本放置于具有固体AlCl3的两个容器中的一者中。将两个容器装载、密封于N2冲洗的手套箱内部且用所述手套箱内部的氦气加压到3psig,其中O2及H2O水平低于0.1ppm。外侧He泄漏测试确定,容器中的一者具有低于1E-6标准立方厘米/秒(scc/s)(其为测量的分辨极限)的泄漏速率,且另一容器具有2.5E-6scc/s的泄漏速率。在手套箱中,在相同炉子中将容器加热到155℃达九天、冷却且将取样片移除。表2展示各种取样片的质量改变。
表2.在155℃下浸渍于AlCl3中达9天的各种取样片的质量改变。
样本类型 ID 泄漏速率 初始质量 后质量 改变 %改变
scc He/s g g g
经涂覆取样片 2 2.50E-06 3.3986 3.3967 -0.0019 -0.06%
经涂覆取样片 3 <1E-6 3.3896 3.3896 0.0000 0.00%
未经涂覆取样片 12 2.50E-06 3.3913 3.3824 -0.0089 -0.26%
未经涂覆取样片 13 <1E-6 3.4554 3.4554 0.0000 0.00%
图12是在于155℃下暴露于AlCl3达九天之后的表2的样本取样片的合成照片,其中相应取样片由相同ID号识别,如表2中所陈述。
从表2中明了,质量改变仅在存在容器的可测量泄漏时为可量化的。在此腐蚀性暴露中,如表2中所列示的样本的质量损失及图12中的相应样本取样片的合成照片展示,在于155℃下暴露于ACl3达九天之后,涂覆样本取样片2处于大体上比未经涂覆样本取样片12好的条件中。不存在Al2O3涂层厚度的改变,如由XRF所测量。
在第二测试中,经电解抛光316L不锈钢的样本取样片涂覆有
Figure BDA0002507128200000235
厚的Al2O3涂层或未经涂覆。将样本取样片放置于具有固体WCl5的容器中,其中在相应容器中维持165℃、180℃及220℃温度条件。将所有容器装载且密封于N2冲洗的手套箱内部,其中O2及H2O水平低于0.1ppm。接着在手套箱中,在炉子中将容器加热达十天、冷却且将样本取样片从相应容器移除。
通过x射线荧光(XRF)光谱技术而进行厚度测量以评估氧化铝涂层的涂层厚度从初始所测量厚度的改变。表3含有在暴露于WCl5之前及之后的Al2O3厚度的XRF测量,所述XRF测量是针对在此暴露中维持于165℃下达10天的两个样本取样片、在此暴露中维持于180℃下达10天的两个样本取样片及在此暴露中维持于220℃下达10天的一个样本取样片。在清洁工艺中通常蚀刻掉大约
Figure BDA0002507128200000231
Figure BDA0002507128200000232
的涂层。
表3.在于各种温度下暴露于WCl5达10天之前及之后的Al2O3膜厚度的XRF测量。
Figure BDA0002507128200000233
图13是在220℃下暴露于WCl5达10天的样本的俯视扫描电子显微镜(SEM)显微照片,且图14是此样本中的涂层的边缘的聚焦离子束(FIB)横截面。
此第二测试中的经涂覆及未经涂覆样本未展示视觉上或通过SEM检查或者通过重量改变的腐蚀迹象。然而,在较高温度下,移除显著量的Al2O3涂层。以与清洁工艺一致的量来蚀刻处于165℃下的两个样本。处于180℃下的样本中的一者损失
Figure BDA0002507128200000236
的厚度,此与清洁一致,但另一样本损失大约
Figure BDA0002507128200000234
的厚度,此显著高于清洁的厚度。在220℃下,如图13中所展示移除涂层的约60%,其中在一些区(较浅区部分)中移除氧化铝涂层且在其它区(较深区部分)中氧化铝涂层完整无损。在图14中,显微照片展示在右侧的涂层完整无损,且经涂覆区的边缘由箭头指示。
将认识到,虽然本发明说明性地针对于半导体制造设备,但本发明的保护涂层方法同样适用于用于制造其它产品的其它气体处理装置,例如平板显示器、光伏电池、太阳能板等,其中处理设备中的表面易于由与此类设施上的氧化物进行反应的气相组分侵蚀以形成对利用此设备所制作的产品及利用此设备所进行的工艺有害的反应产物。
下文陈述涉及薄膜原子层沉积涂层的本发明的另一方面。
尽管描述了各种组合物及方法,但应理解,本发明不限于所描述的特定分子、组合物、设计、方法或协议,这是因为这些可变化。还应理解,描述中所使用的术语仅为出于描述特定版本或实施例的目的,且不打算限制本发明的范围。
必须注意,如本文中所使用,除非上下文另有明确指定,否则单数形式“一(a,an)”及“所述(the)”包含复数个参考。因此,举例来说,对“层”的参考是对一或多个层及所属领域的技术人员所已知的其等效物等的参考。除非另有定义,否则本文中所使用的所有技术及科学术语具有与所属领域的技术人员通常所理解的含义相同的含义。
与本文中所描述的方法及材料类似或等效的方法及材料可用于本发明的实施例的实践或测试中。本文中所提及的所有出版物均以其全文引用的方式并入。本文中任何内容均不应解释为承认本文中所主张的本发明无权先于根据先前发明的此类出版物。“任选的”或“任选地”是指随后所描述事件或情况可发生或无法发生,且所述描述包括其中事件发生的情形及其中事件不发生的情形。本文中的所有数值可由术语“约”修饰,无论是否明确指示。术语“约”通常是指所属领域的技术人员将认为等效于所陈述值(即,具有类似功能或结果)的数字范围。在一些实施例中,术语“约”是指所陈述值的±10%,在其它实施例中,术语“约”是指所陈述值的±2%。尽管就“包括”各种组分及步骤来描述组合物及方法,但应将此类术语解释为界定基本上封闭或封闭的成员群组。
如本文中所使用,术语“膜”是指具有低于1000微米(例如,从此值低到原子单层厚度值)的厚度的经沉积材料层。在各种实施例中,举例来说,本发明的实践中的经沉积材料层的膜厚度可低于100微米、50微米、20微米、10微米或1微米,或者各种薄膜型态(regime)中低于200纳米、100纳米、50纳米、20纳米或10纳米,此取决于所涉及的特定应用。如本文中所使用,术语“薄膜”意指具有低于1微米的厚度的材料层。
虽然在本文中已关于一或多个实施方案陈述本发明,但所属领域的技术人员在阅读及理解本说明书后将即刻想到等效更改及修改。本发明包含所有此类修改及更改。另外,尽管可能已关于数种实施方案中的仅一者揭示了本发明的特定特征或方面,但此特征或方面可与其它实施方案的一或多个其它特征或方面组合,如对于任何给定或特定应用可能为期望的及有利的。此外,就术语“包含(includes)”、“具有(having)”、“具有(has)”、“具有(with)”或其变体在本文中来说,此类术语打算以与术语“包括(comprising)”类似的方式为包含性的。而且,术语“示范性”仅意指实例,而非最佳实例。还应了解,出于简单且易于理解的目的,本文中所描绘的特征、层及/或元件是以相对于彼此的特定尺寸及/或定向来图解说明及/或教示,且实际尺寸及/或定向可与本文中所图解说明及/或教示的尺寸及/或定向大体上不同。
因此,如本文中关于其特征、方面及实施例所不同地陈述的本发明可在特定实施方案中被构成为包括以下各项、由以下各项组成或基本上由以下各项组成:此类特征、方面及实施例中的一些或所有特征、方面及实施例,以及本发明的经聚合以构成本发明的各种其它实施方案的元件及组件。本发明对应地预期以各种排列及组合的此类特征、方面及实施例或者其选定一者或若干者在本发明的范围内。此外,本发明预期可通过排除在本文中结合本发明的其它实施例所揭示的特定特征、方面或元件中的任何一或多者而定义的实施例。
根据本发明的一个方面,提供一种由一或多个层构成的薄膜涂层,其中至少一个层通过原子层沉积而进行沉积。
根据本发明的方面,提供以下内容:
-具有多于
Figure BDA0002507128200000251
且在一些应用中多于
Figure BDA0002507128200000252
的膜厚度的ALD涂层。
-提供极致密、无销孔、无缺陷层的ALD涂层。
-打算用于对众多部分进行沉积施加但不直接用于Si晶片上的实际IC器件(晶体管)制造的薄膜涂层。
-ALD涂层可由绝缘金属氧化物(例如氧化铝(Al2O3)、氧化钇(Y2O3)、氧化锆(ZrO2)、二氧化钛(TiO2)等)及金属(例如铂、铌或镍)构成。
-ALD涂层可在RT(室温)与400℃之间进行沉积。
-ALD涂层可为具有经定义化学计量的单个膜,例如1微米厚的氧化铝层或数个层(例如,{0.25微米二氧化钛+0.5微米氧化铝+0.25微米氧化锆})或者真正多层结构(例如,{1原子层二氧化钛+2原子层氧化铝}x n,其中n处于1到10,000的范围内),或者其组合。
-其中ALD层与通过不同沉积技术(例如PE-CVD、PVD、旋涂或溶胶凝胶沉积、常压等离子体沉积等等)而沉积的另一层组合的薄膜涂层。
-总膜厚度介于1微米与100微米之间。
-整个堆叠的ALD涂层厚度的部分小于或等于2微米,其中2微米是处于一或多个不同层中。
-选自以下各项的群组的其它涂层材料:氧化物,例如氧化铝、氮氧化铝、氧化钇、氧化钇-氧化铝混合物、氧化硅、氮氧化硅、过渡金属氧化物、过渡金属氮氧化物、稀土金属氧化物、稀土金属氮氧化物。
-用以将ALD涂层图案化的能力:
-方法1:均匀地涂覆部分且接着通过掩模而回蚀不想要的材料(所述回蚀可为机械的(例如,喷珠)、物理的(例如,等离子体离子)或化学的(例如,等离子体或湿蚀刻))。
-方法2:掩蔽不想要的区、ALD涂覆并接着移除经掩蔽区。掩模可为密封薄片或固定装置或者光致抗蚀剂(剥离技术)。
-方法3:在衬底上由阻止ALD膜生长的表面终止层形成图案。举例来说,可采用针对H2O及TMA(三甲基铝)具有“零”粘附系数的表面终止层。如本文中所使用,表面终止层是自限制层,例如自限制ALD层。如本文中所使用,粘附系数是吸附或“粘附”到表面的被吸附物原子(或分子)与在同一时间周期期间冲击于所述表面上的物品的总数目的比率。
根据本发明的方面,提供以下应用:
应用:
-部分的无缺陷、无销孔、致密、电绝缘。
-用以涂覆具有高纵横比特征的部分的能力。实例:(1)具有深孔、通道及三维特征的部分,(2)例如螺丝及螺母等硬件,(3)多孔薄膜、过滤器、三维网络结构,(4)具有经连接孔隙矩阵的结构。
-电绝缘层:高电介质击穿强度及高电阻(低泄漏)。此通过ALD Al2O3而实现。使用多层二氧化钛-氧化铝-氧化锆(TAZ)进一步改进电绝缘体性能。存在各种多层配置:
X nm TiO2+Y nm Al2O3+Z nm ZrO2
[U nm TiO2+V nm Al2O3+W nm ZrO2T]×n
X nm TiO2+[V nm Al2O3+W nm ZrO2T]×m
等;其中X、Y、Z、U、V及W可各自在从0.02nm到500nm的范围内,且其中n及m中的每一者可在从2到2000的范围内。
-耐化学及抗蚀刻涂层:ALD层可为氧化铝、氧化钇、二氧化铈或类似物。整个抗蚀刻涂层可由以下各项构成:(1)仅ALD层,(2)PVD、CVD与ALD的组合,(3)ALD可为外涂层(overcoat)且用作密封剂层,如下文中较完全地论述,(4)ALD可为底层以提供稳健基础,及(5)ALD可散置于CVD涂层及/或PVD涂层之间。
-ALD涂层可针对例如高级电池、气体过滤器、液体过滤器、电镀工具组件、等离子体浸湿组件(以保护免受氟及其它卤素侵蚀)等应用提供耐化学性。
-ALD涂层可用作抗腐蚀涂层。
-扩散势垒层;致密、保形且无销孔的ALD层提供卓越痕量金属扩散势垒特性。
-ALD层可用作下伏衬底(玻璃、石英、铝、阳极化铝、氧化铝、不锈钢、硅、SiOx、AlON等)与上覆涂层(PVD氧化钇、PVD AlON、PVD Al2O3、CVD SiOx、CVD SiOxNy、CVD Al2O3、CVDAlOxNy、DLC、Si、SiC等)之间的粘合层。
根据本发明的另一方面,将ALD沉积的表面密封剂层用于涂层。ALD(原子层沉积)是已确立技术,其使用两个或多于两个交替前驱物的化学吸附以形成极致密、(物理上及化学计量上)几乎完美布置的薄膜。所述技术允许精确受控制的膜生长、为几乎100%保形的且将在前驱物气体可到达的任何表面位置处(包含在极高纵横比特征内)生长膜。在此方面,ALD沉积的密封剂涂层可用于以下应用:
(1)外涂覆及密封现有表面且因此提供所述表面/部分的经增强且优越性质
(2)将ALD密封涂层施加于CVD、PVD、喷涂或其它涂层的顶部上以针对所述涂层的不完整性提供密封剂,例如:
(i)填充接近涂层表面的任何裂缝且因此提供对于腐蚀性及蚀刻环境为不渗透的表面
(ii)填充及密封任何大孔隙、涂层缺陷、侵入物等以提供对于气体及液体为不渗透的涂层表面层且以受控制平滑保形密封剂层终止
(iii)减小表面粗糙度及涂层的总体表面面积,因此提供允许在腐蚀性环境中的最小侵蚀的平滑且致密表面层
(iv)通过提供具有外涂层的致密且平滑密封表面而使粒子产生最小化、改进硬度、韧度及抗刮擦性
在本发明的各种方面中,可将ALD密封剂施加到需要以下各项的部分及表面:
(a)经改进抗蚀刻性及抗腐蚀性,及/或
(b)经减小摩擦、磨损及经改进机械耐磨性
ALD密封剂层同时还可用作扩散势垒,且其具有用以控制表面电性质以及表面终止(例如亲水性及疏水性)的能力。
本发明的另一方面涉及利用耐化学涂层(如氧化铝、氧化钇或此类型的其它涂层)来对纤维金属薄膜使用ALD技术。ALD技术允许气体渗透多孔过滤器且在纤维薄膜上方进行涂覆,从而提供对腐蚀性气体的抗性。
本发明的此方面提供可渗透小的微米大小开口且在纤维上方进行均匀涂覆的基于沉积气体的技术。
已通过在由美国马萨诸塞州比勒利卡的英特格公司制作的4微米基于Ni的气体过滤器上沉积氧化铝涂层而演示本发明的此方面。
本发明的ALD技术提供许多益处,例如:
1)涂层渗透到如过滤器的微米大小孔隙度等小的特征中,从而确保完整覆盖
2)对纤维进行气密密封,因此保护过滤薄膜
3)可使用此技术来沉积各种不同涂层
本发明还预期使用ALD涂层来改进被涂覆的衬底制品或设备的处理特性。举例来说,ALD膜可用于对抗可在衬底制品的退火期间由于多层膜制品的层之间的不匹配热膨胀系数而发生的起泡或其它不期望现象。因此,ALD膜可用于多层膜结构中以减轻此类材料性质差异,或以其它方式改进最终产品制品的电性质、化学性质、热性质及其它性能性质。
本发明进一步预期使用ALD涂层来保护处置流体的装置的流体接触表面,所述流体接触表面可在此装置的使用中存在化学侵蚀风险。举例来说,此装置可包含用于将气体供应到半导体制造工具的流体存储及施配封装,其中流体可不利地影响流动路径组件及下游处理设备。在特定应用中可存在特定问题的流体可包含卤化物气体,例如硼或锗的氟化物。因此,在这些及其它应用中,可采用本发明的涂层来增强处理设备、流动线路及系统组件的性能。
在另一方面中,本发明涉及一种包括不同ALD产物材料的层的复合ALD涂层。不同ALD产物材料可为任何适合类型,且举例来说可包括不同金属氧化物,例如选自由以下各项组成的群组的至少两种金属氧化物:二氧化钛;氧化铝;氧化锆;式MO的氧化物,其中M为Ca、Mg或Be;式M’O2的氧化物,其中M’为化学计量上可接受的金属;及式Ln2O3的氧化物,其中Ln为镧系元素,例如La、Sc或Y。在其它实施例中,复合ALD涂层可包含至少一个氧化铝层。在仍其它实施例中,复合ALD涂层可包含至少一个二氧化钛或氧化锆或者其它适合材料层。
此复合ALD涂层可包括与所述不同ALD产物材料不同的金属(例如,选自由以下各项组成的群组的至少两种金属:铂、铌及镍)。可采用任何适合不同金属。
在其它实施例中,不同ALD产物材料可包括作为复合涂层的第一层中的第一ALD产物材料的金属氧化物材料及作为复合涂层的第二层中的第二ALD产物材料的金属。举例来说,所述金属氧化物材料可选自由以下各项组成的群组:氧化铝、二氧化钛及氧化锆,且所述金属选自由以下各项组成的群组:铂、铌及镍。
上文所描述的复合ALD涂层可在涂层中具有任何适合数目个层,例如从2个到10,000个层。
在另一方面中,本发明涉及一种复合涂层,所述复合涂层包括至少一个ALD层及并非ALD层的至少一个经沉积层。举例来说,复合涂层可经构成使得并非ALD层的至少一个经沉积层为选自由以下各项组成的群组:CVD层、PE-CVD层、PVD层、旋涂层、经喷涂层、溶胶凝胶层及常压等离子体沉积层。在各种实施例中,复合涂层中的层可包括选自由以下各项组成的群组的材料的至少一个层:氧化铝、氮氧化铝、氧化钇、氧化钇-氧化铝、氧化硅、氮氧化硅、过渡金属氧化物、过渡金属氮氧化物、稀土金属氧化物及稀土金属氮氧化物。
本发明进一步预期一种在衬底上形成经图案化ALD涂层的方法,包括在衬底上由有效地防止ALD膜生长的表面终止材料层形成图案。在特定实施方案中,此表面终止材料可针对水及三甲基铝展现出基本上零粘附系数。在各种实施例中,ALD涂层可包括氧化铝。
本发明进一步预期一种填充及/或密封材料的表面缺点的方法,所述方法包括以影响所述缺点的填充及/或密封的厚度将ALD涂层施加于材料的表面缺点上。所述缺点可为任何类型,且举例来说可选自由以下各项组成的群组:裂缝、形态缺陷、孔隙、销孔、缺口、侵入物、表面粗糙度及表面微凸体。
本发明的另一方面涉及一种过滤器,所述过滤器包括纤维及/或粒子的基质,纤维及/或粒子由金属及/或聚合材料形成,其中纤维及/或粒子的基质在其上具有ALD涂层,其中与在上面缺少所述ALD涂层的纤维及/或粒子的对应基质相比,ALD涂层不会将纤维及/或粒子的基质的孔隙体积更改多于5%,且其中当纤维及/或粒子由金属形成并且ALD涂层包括金属时,ALD涂层的金属不同于纤维及/或粒子的金属。
所述过滤器可经构造为在外壳中具有纤维及/或粒子的基质,所述外壳经配置以供流体流动通过基质以对流体进行过滤。在各种实施例中,ALD涂层可包括适合类型的过渡金属、金属氧化物或过渡金属氧化物。举例来说,ALD涂层可包括选自由以下各项组成的群组的金属氧化物:二氧化钛;氧化铝;氧化锆;式MO的氧化物,其中M为Ca、Mg或Be;及式Ln2O3的氧化物,其中Ln为镧系元素,La、Sc或Y。在各种实施方案中,ALD涂层包括氧化铝。过滤器的基质可包括镍纤维及/或粒子、不锈钢纤维及/或粒子或者例如如聚四氟乙烯等聚合材料的其它材料的纤维及/或粒子。在各种实施例中,过滤器可包括任何适合直径的孔隙。举例来说,在一些实施例中,孔隙可在从1μm到40μm的范围内,且在其它实施例中,所述孔隙可小于20μm、小于10μm、小于5μm或其它适合值,且在其它实施例中,所述孔隙可在从1μm到10μm、从1μm到20μm、从20μm到40μm的范围内或其它适合值范围。ALD涂层本身可具有任何适合厚度,且在各种实施例中可具有在从2nm到500nm的范围内的厚度。一般来说,可针对特定最终用途或应用视情况采用任何适合孔隙大小及厚度特性。
过滤器可具有关于其截留评级的适合特性。举例来说,在特定实施例中,过滤器的截留评级可由在30标准升/分钟气体流量或更小的气体流动速率下针对大于3nm的粒子的9的对数减小值(表示为9LRV)表征。本发明的ALD涂覆的过滤器可用于其中期望过滤器实现高效率移除速率(举例来说,在特定额定流量下以最大渗透粒子大小(即,9LRV)所确定的99.9999999%的移除速率)的各种应用中。在以下各项中描述用于估计9LRV评级的测试方法:胡伯K.L.(Rubow,K.L.)及戴维斯C.B.(Davis,C.B.)的“用于高纯度气体过滤的多孔金属过滤器介质的粒子渗透特性(Particle Penetration Characteristics of PorousMetal Filter Media For High Purity Gas Filtration)”(环境科学研究院第37届年度技术会议记录第834页到840页);胡伯K.L.、D.S.派斯(D.S.Prause)及M.R.艾森曼(M.R.Eisenmann)的“用于超高纯度气体系统的低压降经烧结金属过滤器(A Low PressureDrop Sintered Metal Filter for Ultra-High Purity Gas Systems)”(环境科学研究院第43届技术年会会议记录(1997));以及半导体设备及材料国际(SEMI)测试方法SEMI F38-0699的“用于使用点气体过滤器的效率认证的测试方法(Test Method for EfficiencyQualification of Point-of-Use Gas Filters)”,所有所述内容均以引用的方式并入本文中。
根据本发明的可通过ALD而涂覆有保护涂层的经烧结金属过滤器/扩散器包含美国专利5,114,447、5,487,771及8,932,381以及美国专利申请公开案2013/0305673中所描述的经烧结金属过滤器/扩散器。
根据本发明的涂覆有保护涂层的气体过滤器可被不同地配置。在特定说明性实施例中,过滤器可具有在从1μm到40μm的范围内或从1μm到20μm的范围内或从20μm到40μm的范围内或者其它适合值的孔隙大小。此类气体过滤器可存在于不锈钢及镍配置中。所述不锈钢及镍配置在暴露于侵蚀性气体环境时均易受金属污染。根据本发明,此类气体过滤器的过滤基质可使用ALD涂覆技术来上涂覆有化学惰性且稳健氧化铝薄膜。ALD工艺可包含任何数目个沉积循环,例如在从100次循环到5000次循环的范围内。在特定实施方案中,可在可(举例来说)处于200℃到300℃的范围内(例如,250℃)的温度下使用具有经延长等待及冲洗时间的三甲基铝/H2O工艺以50次循环到1500次循环来沉积ALD氧化铝膜,其中每循环沉积
Figure BDA0002507128200000301
Figure BDA0002507128200000302
(例如,
Figure BDA0002507128200000303
/循环)。
在各种实施例中,ALD氧化铝涂覆工艺可经执行以在气体过滤器上提供氧化铝涂层厚度,所述氧化铝涂层厚度可(举例来说)在从15nm到200nm的范围内。在其它实施例中,ALD氧化铝涂层厚度可在从20nm到50nm的范围内。
如通过ALD涂覆技术而形成的上文所描述气体过滤器涂层可经执行以在氧化铝膜中提供变化的铝含量。举例来说,在各种实施例中,此类膜的铝含量可在从25原子百分比到40原子百分比的范围内。在其它实施例中,铝含量在从28原子百分比到35原子百分比的范围内,且在仍其它实施例中,ALD涂层的铝含量在从氧化铝膜的30原子百分比到32原子百分比的范围内。
在其它说明性实施例中,气体过滤器可包括具有在从2μm到5μm的范围内的孔隙大小的在线金属气体过滤器,其中所述过滤器包含钛过滤基质,其中ALD氧化铝涂层具有可在从10nm到40nm的范围内的厚度(例如,20nm厚度)。在仍其它实施例中,所述气体过滤器可包括具有在从2μm到5μm的范围内的孔隙大小的基于镍的气体过滤基质,其中ALD氧化铝涂层具有可在从10nm到40nm的范围内的厚度(例如,20nm厚度)。
本发明的保护涂层还可用于涂覆化学试剂供应封装(例如流体存储及施配器皿、固体试剂汽化器器皿等等)中的表面。除将被存储于此类流体存储及施配器皿中且将从所述流体存储及施配器皿被施配的材料之外,此类器皿还可不同地含有用于所存储材料的存储介质,所存储材料可从所述存储介质被施配以用于从材料供应封装的器皿施配所述所存储材料。此存储介质可包含物理吸附剂(流体被可逆地吸附于其上)、用于可逆流体存储的离子存储介质等等。举例来说,2008年3月6日公开的国际公开案WO2008/028170(其揭示内容据此以其全文引用的方式并入本文中)中所揭示的类型的固体递送封装可在其内部表面上被涂覆有本发明的保护涂层。
可采用其中供应器皿的内部表面涂覆有本发明的保护涂层的其它类型的化学试剂供应封装,例如用于递送气体(例如,如三氟化硼、四氟化锗、四氟化硅等气体及用于制造半导体产品的其它气体)的内部压力调节的流体供应器皿、平板显示器及太阳能板。
本发明的另一方面涉及一种将气态或蒸汽流递送到半导体处理工具的方法,所述方法包括为气态或蒸汽流提供从所述气态或蒸汽流的源到半导体处理工具的流动路径,且使气态或蒸汽流在流动路径中流动穿过过滤器以从所述流移除外来固体材料,其中所述过滤器包括如本文中所不同地描述的类型的过滤器。
在此方法中,气态或蒸汽流可包括任何适合流体物种,且在特定实施例中,此流包括六氯化二铝。用于此类流体应用中的特定过滤器包含包括氧化铝的ALD涂层,其中基质包括不锈钢纤维及/或粒子。
前述方法中的半导体处理工具可为任何适合类型,且举例来说可包括气相沉积炉。
如上文所提及,过滤器可在ALD涂层及基质上变化。在特定实施例中,过滤器包括涂覆有ALD氧化铝涂层的不锈钢纤维及/或粒子的经烧结基质,其中所述经烧结基质包括具有在从1μm到40μm(例如,从1μm到20μm、从1μm到10μm、从10μm到20μm)的范围内或在其它适合孔隙直径值范围内的直径的孔隙,且其中在此类实施例中的任一者中,ALD涂层具有在从2μm到500nm的范围内的厚度。
在另一方面中,本发明涉及在精细过滤应用中使用ALD来进行孔隙大小控制,以实现特别定制的过滤器,此超出仅由经烧结金属基质过滤器所提供的能力。在此方面,在经烧结金属基质过滤器中控制孔隙大小变得越来越困难,这是因为目标孔隙大小缩小到小于5μm。根据本发明,可使用ALD涂层而以对孔隙大小及孔隙大小分布的高度控制来有效地缩小孔隙大小。尽管通过ALD而沉积的涂层可大体上比其它应用中所采用的厚,但ALD提供对孔隙大小及孔隙大小分布进行非凡控制同时仍实现耐化学性益处(例如,利用ALD氧化铝涂层)的可能性。
因此,经烧结金属基质材料的ALD涂层可以较大厚度被施加于经烧结金属基质结构上,其中涂层厚度为致使将经涂覆金属基质结构中的孔隙大小减小到极低水平(例如,减小到亚微米孔隙大小水平)的量值。
此方法还可用于实现产生具有孔隙度梯度(例如从气体入口面到气体排出面的孔隙度梯度)的过滤器,其中相对较大大小的孔隙存在于过滤器的气体入口面处且相对较小大小的孔隙存在于气体排出面处,在过滤器的相应面之间具有孔隙度梯度。在具有此孔隙度梯度的情况下,过滤器可(举例来说)用于在过滤器的进入侧处捕获大的粒子且在过滤器的出口侧上捕获较小粒子,使得实现总体高效的过滤作用。
因此,本发明预期包括涂覆有ALD涂层的多孔材料基质的过滤器,其中相对于未涂覆有ALD涂层的对应多孔材料基质而言,多孔金属基质的孔隙大小已通过ALD涂层而减小(例如,通过ALD涂层而减小达平均孔隙大小的从5%到95%)。
本发明还预期包括涂覆有ALD涂层的多孔材料基质的过滤器,其中涂层厚度经定向地变化以在过滤器中提供对应孔隙大小梯度(例如,从过滤器的入口面到出口面),如上文所描述。
本发明的另一方面涉及一种制作多孔过滤器的方法,包括用ALD涂层来涂覆多孔材料基质以减小多孔材料基质的平均孔隙大小。所述方法可用于实现多孔材料基质的平均孔隙大小的预定减小及/或多孔材料基质中的定向变化的孔隙大小梯度。
在以上方面及实施例中的任一者中,多孔材料基质可包括例如钛、不锈钢或其它金属基质材料的经烧结金属基质。
在另一方面中,本发明涉及一种固体汽化器装置,所述固体汽化器装置包括界定内部体积的器皿,所述内部体积在其中包含用于将被汽化的固体材料的支撑表面,其中支撑表面的至少一部分在其上具有ALD涂层。支撑表面可包括器皿的内部表面(例如器皿壁表面及/或器皿的底板或经延伸表面,所述经延伸表面与壁表面及/或底板表面整体地形成,使得支撑表面包括器皿的内部表面),及/或支撑表面可包括内部体积中的支撑部件的表面,例如为将被汽化的固体材料提供支撑表面的托盘。所述托盘可部分地或完全地涂覆有ALD涂层。在其它实施例中,器皿可含有各自为固体材料提供支撑表面的垂直间隔开的托盘的阵列。所述阵列中的此类托盘中的每一者可涂覆有ALD涂层。
器皿可经制作为其中所述器皿的对其内部体积进行限界的内部壁表面涂覆有ALD涂层。举例来说,ALD涂层可包括氧化铝(例如,具有在从2nm到500nm的范围内的厚度)。在前述实施例中的任一者中由ALD涂层涂覆的支撑表面可为不锈钢表面。汽化器器皿本身可由不锈钢形成。汽化器装置可经提供处于固体装载状态中,在器皿的支撑表面上(例如,在器皿的内部体积中的堆叠式托盘的支撑表面上)含有可汽化固体材料。可汽化固体材料可为任何适合类型,且举例来说可包括用于气相沉积或离子植入操作的前驱物材料。可汽化固体材料可包括有机金属化合物或金属卤化物化合物(例如三氯化铝)。将了解,施加到器皿的支撑表面的ALD涂层可特别适于特定可汽化固体材料。还将了解,可将ALD涂层施加到器皿的内部体积中的所有内部表面,包含器皿的壁表面及底板表面以及由用于安置于器皿的内部体积中的可汽化固体的任何托盘或其它支撑结构呈现的表面。
随后揭示内容针对于本发明的例示本文中所描述的涂覆技术的特定特征、方面及特性的经涂覆衬底制品、器件及装置的各种说明性实例。
可将根据本发明的氧化铝涂层施加到用于汽化器安瓿(例如本发明图3中所展示的类型的安瓿)中的固持器的表面,如本文中先前所描述。图15是有用地用于汽化器安瓿中以针对铝工艺进行三氯化铝(AlCl3)固体前驱物递送的不锈钢固持器的透视图,其中三氯化铝前驱物由固持器支撑且经挥发以形成三氯化铝前驱物蒸汽以从汽化器安瓿排出并通过相关联流动线路而输送到铝工艺。举例来说,铝工艺可用于适合晶片衬底上及/或所述适合晶片衬底中的半导体器件结构的金属化。
图16是图15中所展示的类型的不锈钢固持器的透视图,所述不锈钢固持器如通过原子层沉积而在其上涂覆有氧化铝涂层,使得不锈钢表面在腐蚀环境中由氧化铝涂层囊封,所述腐蚀环境涉及在汽化器安瓿的使用及操作中固持器所经受的三氯化铝(AlCl3)暴露。通过此氧化铝涂层,保护固持器免受腐蚀且大体上减小前驱物蒸汽的金属污染。除固持器的此氧化铝涂层之外,汽化器安瓿的整个内部表面以及安瓿的外部表面也可同样被涂覆,以提供经延伸保护以免受从对三氯化铝(AlCl3)固体前驱物进行的处理(以使所述AlCl3固体前驱物挥发来产生用于铝工艺的前驱物蒸汽,或用于其它使用)所衍生的腐蚀性环境。
固持器及/或其它汽化器安瓿设施的表面上的氧化铝涂层可具有任何适合厚度,且举例来说可在从20nm到250nm或更大的厚度范围内。在各种实施例中,固持器表面上的涂层厚度可在从50nm到125nm的范围内。将了解,可通过执行对应气相沉积操作达对应数目个沉积循环及沉积时间而施加氧化铝涂层的任何适合厚度,其中可视情况通过实证方法而确定适合厚度以对金属表面提供所要水平的抗腐蚀保护。
图17是氧化铝涂层的示意性立面图,所述氧化铝涂层通过原子层沉积而被施加到不锈钢衬底,如上文所描述施加到用于汽化器安瓿中的固体前驱物固持器。氧化铝涂层提供抗腐蚀性、防止与衬底进行化学反应且在用于三氯化铝前驱物蒸汽产生的汽化器的使用中减少金属污染。
在另一应用中,可将氧化钇涂层施加到蚀刻装置或装置组件的表面,例如用于等离子体蚀刻设备中的喷射器喷嘴的表面。图18展示涂覆有氧化钇(Y2O3)的等离子体蚀刻装置的通道。氧化钇提供适合用于具有复杂形状(例如高纵横比特征)的表面及部分的抗蚀刻涂层。在通过原子层沉积进行沉积时,氧化钇形成抗蚀刻的致密保形无销孔涂层,且相对于缺少此氧化钇涂层的表面而言提供大体上经减少粒子脱落及溶蚀。
氧化钇涂层可通过原子层沉积而被施加于氧化铝上方,如图19的示意性立面图中。在施加到等离子体蚀刻设备及设备组件时,ALD氧化钇层提供经增强抗腐蚀性及抗蚀刻性,从而保护下伏表面免受有害等离子体暴露(例如暴露于基于氯代及基于氟代以及基于其它卤素的等离子体)。ALD氧化钇层借此减少不想要的粒子的产生,且增加等离子体蚀刻设备的表面涂覆有氧化钇涂层的部分的寿命。
在另一应用中,用于蚀刻室装置的装载锁定组件在使用中暴露于来自蚀刻室的残留蚀刻化学品中,从而导致金属组件的严重腐蚀。实例是扩散板,所述扩散板可由不锈钢或其它金属或者金属合金构造而成,具有由(举例来说)镍或其它金属或者金属合金形成的过滤薄膜。此扩散板组合件可涂覆有氧化铝涂层以囊封且保护扩散板及过滤薄膜。通过对过滤薄膜进行完整囊封,防止对薄膜的腐蚀。
图20是如涂覆有氧化铝涂层的包含不锈钢框架及镍过滤薄膜的扩散板组合件的照片。图21是扩散板组合件的示意性立面图,其中不锈钢框架及镍薄膜用ALD氧化铝来囊封。ALD涂层提供保护免受有害化学品(例如,基于溴化氢的化学品)的抗腐蚀及抗蚀刻层,从而减少粒子且增加组合件的寿命。
另一应用涉及半导体处理设备,所述半导体处理设备暴露于来自ALD处理的基于氯的前驱物且暴露于来自室清洁操作的基于氟的等离子体。在此类应用中,可采用氧化钇涂层来提供良好抗蚀刻性且对具有复杂形状的部分进行涂覆。此类应用中的一种方法是使用氧化钇的物理气相沉积(PVD)与原子层沉积(ALD)的组合,其中ALD用于高纵横比特征及关键元件的较薄涂覆,且PVD的较厚涂覆用于所述部分的其余部分。在此应用中,氧化钇ALD层提供抗腐蚀性及抗蚀刻性,保护免受基于氟的化学品及基于氟的等离子体,从而减少粒子产生且增加涂覆有保护氧化钇涂层的部分的寿命。
另一应用涉及涂覆石英外罩结构,例如用于后段(BEOL)及前段(FEOL)UV固化操作中的紫外(UV)固化灯的灯泡。在UV灯(例如其中灯泡由石英制作的UV灯)的操作中,汞将在操作期间于所涉及的高温(例如,大约1000℃)下扩散到石英中,且此汞扩散将导致UV灯的降级及所述UV灯的操作使用寿命的大量缩短。为对抗到石英外罩(灯泡)材料中的此汞迁移,在灯泡的内部表面上涂覆氧化铝及/或氧化钇以提供抵抗到石英外罩材料中的汞入侵的扩散势垒层。
更一般来说,可采用氧化铝涂层来外涂覆及囊封各种类型的金属组件,以赋予抗腐蚀性、防止与衬底进行化学反应且减少金属污染,使得组件(例如气体管线、阀、管、外壳等等)的操作使用寿命对应地延长。通过使用原子层沉积,可对部分(包含具有复杂内部表面几何形状的部分)的内部表面进行涂覆,且可采用氧化铝层或其它保护涂层来在衬底表面上方提供致密无销孔且保形保护层。
本发明的保护涂层的另一应用是例如用于半导体制造、平板显示器制造以及太阳能板制造中的等离子体源表面的保护涂层。此类等离子体源可为任何适合类型,且举例来说可产生氨等离子体、氢等离子体、三氟化氮等离子体及其它种类的等离子体。可利用保护涂层来代替等离子体浸湿部分的阳极化表面,以提供经增强等离子体抗蚀刻性(例如,暴露于NF3等离子体达大于1000小时),同时适应氢(H*)与氟(F*)表面复合及高电隔绝电压(例如,大于1000V)。
图22展示铝衬底、ALD氧化铝涂层及PVD AlON涂层。相应氧化铝及氮氧化铝涂层的厚度可为任何适合厚度。以实例方式,氧化铝涂层的厚度可在从0.05μm到5μm的范围内,且PVD涂层的厚度可在从2μm到25μm的范围内。在特定实施例中,氧化铝涂层具有1μm的厚度,且PVD AlON涂层具有10μm的厚度。在所述结构中,PVDAlON涂层向装置提供抗蚀刻性及等离子体表面复合能力,且氧化铝涂层除提供抗蚀刻性之外还提供电隔绝涂层。
另一应用涉及用于热卡盘组件的电介质堆叠,所述电介质堆叠可具有如图23中所展示的层结构。如所展示,氧化铝衬底在其上具有电极金属(例如,镍),ALD氧化铝电隔绝层位于所述电极金属上。PVD氮氧化铝涂层沉积于氧化铝层上,且化学气相沉积(CVD)所沉积的氮氧化硅(SiON)层沉积于AlON层上。在此层结构中,CVD SiON层为接触表面及电间隔件提供清洁途径,PVD AlON层提供热膨胀系数(CTE)缓冲层,ALD氧化铝层提供电隔绝层,且镍在氧化铝衬底上提供电极金属层。
仍另一应用涉及等离子体活化室的等离子体活化卡盘组件,其中铝部分涂覆有包含图24及25中所展示的多层堆叠的多层堆叠。图24的多层堆叠包含位于铝衬底上的化学气相沉积施加的硅层,具有位于CVD Si层上的ALD氧化锆层。在此多层堆叠中,ALD氧化锆层用以为接触表面提供清洁致密途径,从而用作扩散势垒层及电隔绝层。CVD硅层在铝衬底上提供清洁缓冲层。图25的多层堆叠包含位于铝衬底上的CVD氮氧化硅层,及位于CVD SiON涂层上的ALD氧化铝层,其中ALD氧化铝层用作电隔绝层、扩散势垒层及为接触表面提供清洁致密途径的层。CVD SiON层在多层涂层结构中提供清洁缓冲层。
本发明的涂覆技术的另一应用涉及涂覆多孔基质及过滤器制品,其中可通过原子层沉积而沉积例如氧化铝等涂层,此实现对多孔基质或过滤器材料中的渗透深度及涂层厚度的独立控制。取决于制品及其特定最终用途,可采用部分氧化铝涂层渗透或全部氧化铝涂层渗透。
图26是通过原子层沉积而涂覆有氧化铝的具有1.5mm壁厚度及2μm到4μm的孔隙大小的多孔材料的显微照片。图27是包括由不锈钢、镍、钛或其它适合材料形成的薄膜的经囊封薄膜的示意性表示,所述经囊封薄膜已用通过ALD而沉积的氧化铝来完全囊封,以向经囊封薄膜提供抗腐蚀性及抗蚀刻性、保护免受化学侵蚀、粒子产生的减小及金属污染的减少。
如所指示对原子层沉积的使用提供用以独立地控制涂层渗透深度及涂层厚度的能力。此能力有用地用于控制超精细薄膜(例如,具有在从20nm到250nm的范围内的标称孔隙大小(例如,大约100nm的标称孔隙大小)的超精细薄膜)的孔隙大小及流动约束。
图28是经涂覆过滤器的显微照片,其中涂层是氧化铝,具有35μm的涂层渗透深度。图29是经涂覆过滤器的显微照片,其中涂层是氧化铝,具有175μm的涂层渗透深度。
与本文中的前述揭示内容一致,在一个方面中,本发明涉及一种固体汽化器装置,其包括在其中界定内部体积的容器、经配置以从所述容器排出前驱物蒸汽的出口及所述容器的所述内部体积中的支撑结构,所述支撑结构适于在其上支撑固体前驱物材料以使所述固体前驱物材料进行挥发以形成所述前驱物蒸汽,其中所述固体前驱物材料包括铝前驱物,且其中所述内部体积中的表面区的至少一部分涂覆有氧化铝涂层。在此固体蒸汽装置的各种实施例中,所述表面区可包括所述支撑结构的表面区及所述容器的所述内部体积中的表面区中的至少一者。在其它实施例中,所述表面区可包括所述支撑结构的表面区及所述容器的所述内部体积中的表面区。在仍其它实施例中,所述内部体积中的涂覆有氧化铝涂层的表面区包括不锈钢。在固体汽化器装置的各种实施方案中,氧化铝涂层可具有在从20nm到125nm的范围内的厚度。举例来说,氧化铝涂层可包括前述方面及实施例中的任一者中的ALD氧化铝涂层。
在另一方面中,本发明涉及一种增强不锈钢结构、材料或装置的抗腐蚀性的方法,所述不锈钢结构、材料或装置在使用或操作中暴露于卤化铝,所述方法包括用氧化铝涂层来涂覆所述不锈钢结构、材料或装置。在此方法中,举例来说,氧化铝涂层可具有在从20nm到125nm的范围内的厚度。举例来说,可通过原子层沉积而施加所述氧化铝涂层。
在另一方面中,本发明涉及一种半导体处理蚀刻结构、组件或装置,所述半导体处理蚀刻结构、组件或装置在使用或操作中暴露于蚀刻介质,所述结构、组件或装置涂覆有包括氧化钇层的涂层,其中所述氧化钇层任选地上覆于所述涂层中的氧化铝层上。举例来说,所述蚀刻结构、组件或装置可包括蚀刻装置喷射器喷嘴。
本发明的另一方面涉及一种增强半导体处理蚀刻结构、组件或装置的抗腐蚀性及抗蚀刻性的方法,所述半导体处理蚀刻结构、组件或装置在使用或操作中暴露于蚀刻介质,所述方法包括用包括氧化钇层的涂层来涂覆所述结构、组件或装置,其中所述氧化钇层任选地上覆于所述涂层中的氧化铝层上。
本发明的仍另一方面涉及一种蚀刻室扩散板,其包括用氧化铝涂层来囊封的镍薄膜。在此蚀刻室扩散板中,氧化铝涂层可包括ALD氧化铝涂层。
本发明的另一方面涉及一种增强包括镍薄膜的蚀刻室扩散板的抗腐蚀性及抗蚀刻性的方法,所述方法包括用氧化铝囊封涂层来涂覆所述镍薄膜。举例来说,所述氧化铝涂层可包括ALD涂层。
在另一方面中,本发明涉及一种气相沉积处理结构、组件或装置,其在使用或操作中暴露于卤化物介质,所述结构、组件或装置涂覆有氧化钇涂层,所述氧化钇涂层包括ALD氧化钇基底涂层及PVD氧化钇外涂层。在此结构、组件或装置中,涂覆有所述ALD氧化钇基底涂层及所述PVD氧化钇外涂层的表面可包括铝。
本发明的另一方面涉及一种增强气相沉积处理结构、组件或装置的抗腐蚀性及抗蚀刻性的方法,所述气相沉积处理结构、组件或装置在使用或操作中暴露于卤化物介质,所述方法包括用氧化钇涂层来涂覆所述结构、组件或装置,所述氧化钇涂层包括ALD氧化钇基底涂层及PVD氧化钇外涂层。如上文所述,所述结构、组件或装置可包括涂覆有所述氧化钇涂层的铝表面。
本发明的另一方面涉及一种石英外罩结构,其在其内部表面上涂覆有氧化铝扩散势垒层。
本发明的对应方面涉及一种减少汞到石英外罩结构中的扩散的方法,所述石英外罩结构在其操作中易受此扩散的影响,所述方法包括用氧化铝扩散势垒层来涂覆所述石英外罩结构的内部表面。
在另一方面中,本发明涉及一种等离子体源结构、组件或装置,其在使用或操作中暴露于等离子体及超过1000V的电压,其中所述结构、组件或装置的等离子体浸湿表面涂覆有ALD氧化铝涂层,且所述氧化铝涂层外涂覆有PVD氮氧化铝涂层。举例来说,所述等离子体浸湿表面可包括铝或氮氧化铝。
本发明的另一方面涉及一种增强等离子体源结构、组件或装置的使用寿命的方法,所述等离子体源结构、组件或装置在使用或操作中暴露于等离子体及超过1000V的电压,所述方法包括用ALD氧化铝涂层来涂覆所述结构、组件或装置的等离子体浸湿表面且用PVD氮氧化铝涂层来外涂覆所述氧化铝涂层。如上文所指示,所述等离子体浸湿表面可包括铝或氮氧化铝。
本发明的额外方面涉及一种电介质堆叠,其包括序列层,所述序列层包含氧化铝基底层、位于所述氧化铝基底层上的镍电极层、位于所述镍电极层上的ALD氧化铝电隔绝层、位于所述ALD氧化铝电隔绝层上的PVD氮氧化铝热膨胀缓冲层以及位于所述PVD氮氧化铝热膨胀缓冲层上的CVD氮氧化硅晶片接触表面及电间隔件层。
在本发明的另一方面中预期一种等离子体活化结构、组件或装置,其包括涂覆有(i)及(ii)的多层涂层中的一者的铝表面:(i)位于所述铝表面上的CVD硅基底涂层,及位于所述CVD硅基底涂层上的ALD氧化锆层;以及(ii)位于所述铝表面上的CVD氮氧化硅基底涂层,及位于所述CVD氮氧化硅基底涂层上的ALD氧化铝层。
预期一种用于减少等离子体活化结构、组件或装置的铝表面的粒子形成及金属污染的对应方法,所述方法包括用(i)及(ii)的多层涂层中的一者来涂覆所述铝表面:(i)位于所述铝表面上的CVD硅基底涂层,及位于所述CVD硅基底涂层上的ALD氧化锆层;以及(ii)位于所述铝表面上的CVD氮氧化硅基底涂层,及位于所述CVD氮氧化硅基底涂层上的ALD氧化铝层。
在另一方面中,本发明预期一种多孔基质过滤器,其包括由不锈钢、镍或钛形成的薄膜,其中所述薄膜用氧化铝来囊封以达在从20μm到2000μm的范围内的涂层渗透深度。更具体来说,在各种实施例中,孔隙度可具有在从10nm到1000nm的范围内的标称孔隙大小。
本发明的另一方面涉及一种制作多孔基质过滤器的方法,其包括用氧化铝来囊封由不锈钢、镍或钛形成的薄膜以达在从20μm到2000μm的范围内的涂层渗透深度。在此方法的特定实施例中,囊封包括对所述氧化铝进行ALD,且所述方法经进行以在所述多孔基质过滤器中提供具有在从10nm到1000nm的范围内的标称孔隙大小的孔隙度。
尽管本发明已在本文中参考特定方面、特征及说明性实施例进行陈述,但将了解,本发明的效用并非因此受限制,而是扩展到且囊括众多其它变化、修改及替代实施例,如将基于本文中的描述而向所属领域的技术人员提出所述其它变化、修改及替代实施例。对应地,如在下文中所主张的本发明打算被广泛地解释及理解为在其精神及范围内包含所有此类变化、修改及替代实施例。

Claims (10)

1.一种多孔基质过滤器,其包括金属薄膜,其中所述金属薄膜使用具有从20μm到2000μm的范围的渗透深度的金属氧化物涂层来囊封。
2.根据权利要求1所述的多孔基质过滤器,其中所述金属薄膜由不锈钢、镍或钛形成。
3.根据权利要求1所述多孔基质过滤器,其中所述金属薄膜为不锈钢纤维、粒子、或二者组合的烧结基质。
4.根据权利要求1所述多孔基质过滤器,其中所述金属氧化物涂层包括选自由以下各项组成的群组的金属氧化物:二氧化钛;氧化铝;氧化锆;式MO的氧化物,其中M为Ca、Mg或Be;式M'O2的氧化物,其中M'为化学计量上可接受的金属;及式Ln2O3的氧化物,其中Ln为镧系元素La、Sc或Y。
5.根据权利要求1所述多孔基质过滤器,其中所述金属氧化物涂层为氧化铝涂层。
6.根据权利要求1所述多孔基质过滤器,其中所述金属氧化物涂层为具有从2nm到500nm的厚度范围的ALD涂层。
7.根据权利要求1所述多孔基质过滤器,其中所述金属氧化物涂层为ALD涂层,所述ALD涂层具有经定向变化以在所述多孔基质过滤器内提供对应孔隙大小梯度的厚度。
8.一种过滤器,其包括金属纤维、金属粒子、或金属纤维和金属粒子组合的基质,所述基质在其上具有ALD涂层,
其中与在上面缺少所述ALD涂层的金属纤维、金属粒子、或金属纤维和金属粒子组合的对应基质相比,所述ALD涂层不会将所述金属纤维、金属粒子、或金属纤维和金属粒子组合的所述基质的孔隙体积更改多于5%,且
其中所述基质由具有从1μm到40μm的范围内的直径的孔隙表征。
9.一种制作多孔基质过滤器的方法,其包括通过ALD使用金属氧化物涂层来囊封金属薄膜以达到从20μm到2000μm的范围的渗透深度。
10.根据权利要求9所述的方法,其中所述涂层厚度经定向变化以在所述过滤器中提供对应孔隙大小梯度。
CN202010449411.4A 2015-02-13 2016-02-13 多孔基质过滤器及其制作方法 Pending CN111593324A (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201562116181P 2015-02-13 2015-02-13
US62/116,181 2015-02-13
US201562167890P 2015-05-28 2015-05-28
US62/167,890 2015-05-28
US201562188333P 2015-07-02 2015-07-02
US62/188,333 2015-07-02
US201562221594P 2015-09-21 2015-09-21
US62/221,594 2015-09-21
CN201680018518.5A CN107615462A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680018518.5A Division CN107615462A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层

Publications (1)

Publication Number Publication Date
CN111593324A true CN111593324A (zh) 2020-08-28

Family

ID=56615146

Family Applications (5)

Application Number Title Priority Date Filing Date
CN201680018518.5A Pending CN107615462A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层
CN201811031909.8A Pending CN109023303A (zh) 2015-02-13 2016-02-13 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
CN202010448514.9A Pending CN111519166A (zh) 2015-02-13 2016-02-13 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
CN202010449411.4A Pending CN111593324A (zh) 2015-02-13 2016-02-13 多孔基质过滤器及其制作方法
CN202111192285.XA Pending CN113930746A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN201680018518.5A Pending CN107615462A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层
CN201811031909.8A Pending CN109023303A (zh) 2015-02-13 2016-02-13 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
CN202010448514.9A Pending CN111519166A (zh) 2015-02-13 2016-02-13 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202111192285.XA Pending CN113930746A (zh) 2015-02-13 2016-02-13 用于增强衬底制品及装置的性质及性能的涂层

Country Status (8)

Country Link
US (3) US20180044800A1 (zh)
EP (3) EP3257070A4 (zh)
JP (9) JP2018506859A (zh)
KR (9) KR20210111885A (zh)
CN (5) CN107615462A (zh)
SG (2) SG11201706564UA (zh)
TW (4) TWI758744B (zh)
WO (1) WO2016131024A1 (zh)

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20210111885A (ko) * 2015-02-13 2021-09-13 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6662520B2 (ja) * 2015-10-02 2020-03-11 国立大学法人山形大学 内面コーティング方法及び装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20160046408A1 (en) * 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017149205A1 (en) 2016-03-04 2017-09-08 Beneq Oy A plasma etch-resistant film and a method for its fabrication
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20210146421A (ko) 2017-01-16 2021-12-03 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102017113515B4 (de) * 2017-06-20 2019-01-24 Infineon Technologies Ag Verfahren zum Bilden eines elektrisch leitfähigen Kontakts und elektronische Vorrichtung
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102005565B1 (ko) * 2017-11-03 2019-07-30 한국원자력연구원 연마광택기 및 이의 제조방법
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11390943B2 (en) * 2017-12-18 2022-07-19 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11486042B2 (en) * 2018-01-18 2022-11-01 Viavi Solutions Inc. Silicon coating on hard shields
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP2021138972A (ja) * 2018-05-09 2021-09-16 株式会社高純度化学研究所 蒸発原料用容器
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7161192B2 (ja) * 2018-12-17 2022-10-26 国立大学法人山形大学 積層コーティング層、積層コーティング層を形成する方法及び積層構造の判定方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN113474717B (zh) 2019-02-28 2024-02-06 索尼集团公司 接触镜、接触镜对和穿戴设备
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11371145B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within a housing, apparatus, or tool using a coating system positioned therein
US11371137B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219702A1 (en) * 2019-04-26 2020-10-29 Entegris, Inc. Vaporization vessel and method
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030557A1 (en) * 2019-08-13 2021-02-18 Pt Creations Synthetic diamond jewelry and fabrication method thereof
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021119000A1 (en) * 2019-12-09 2021-06-17 Entegris, Inc. Diffusion barriers made from multiple barrier materials, and related articles and methods
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11788189B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing pressurized cells
US11788187B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing counter current flow of reactants
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11729909B2 (en) 2021-07-20 2023-08-15 Honeywell Federal Manufacturing & Technologies, Llc Multi-layered diamond-like carbon coating for electronic components
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961896B2 (en) 2021-09-14 2024-04-16 Honeywell Federal Manufacturing & Technologies, Llc Diamond-like carbon coating for passive and active electronics
FR3128708B1 (fr) * 2021-10-28 2024-04-05 Safran Ceram Procédé de transfert d'au moins un oxyde métallique sur et dans une préforme fibreuse en carbone
WO2023172544A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
US20230323531A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Coating interior surfaces of complex bodies by atomic layer deposition
US20230420259A1 (en) * 2022-06-09 2023-12-28 Applied Materials, Inc. Selective etch of a substrate
WO2024090212A1 (ja) * 2022-10-26 2024-05-02 東京エレクトロン株式会社 ガス流路を有する部材の製造方法、およびガス流路を有する部材
CN116354740B (zh) * 2023-03-30 2024-02-06 中国科学院上海硅酸盐研究所 一种氧化铝陶瓷-钢材复合结构及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060251874A1 (en) * 2005-05-04 2006-11-09 3M Innovative Properties Company Microporous article having metallic nanoparticle coating
WO2008034190A1 (en) * 2006-09-21 2008-03-27 Queensland University Of Technology Metal oxide nanofibre filter
US20080254312A1 (en) * 2005-10-11 2008-10-16 Nv Bekaert Sa Coated Porous Metal Medium
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680085A (en) * 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
JPH0818883B2 (ja) * 1986-05-16 1996-02-28 エヌオーケー株式会社 多孔質フィルタの製造法
US4877480A (en) * 1986-08-08 1989-10-31 Digital Equipment Corporation Lithographic technique using laser for fabrication of electronic components and the like
US4888114A (en) * 1989-02-10 1989-12-19 E. I. Du Pont De Nemours And Company Sintered coating for porous metallic filter surfaces
US5114447A (en) 1991-03-12 1992-05-19 Mott Metallurgical Corporation Ultra-high efficiency porous metal filter
EP0627256B1 (en) 1993-06-04 1996-12-04 Millipore Corporation High-efficiency metal filter element and process for the manufacture thereof
JP3600321B2 (ja) * 1995-08-25 2004-12-15 日本精線株式会社 高純度ガス用の精密フィルター及びその製造方法
JP3677329B2 (ja) * 1995-09-25 2005-07-27 新東工業株式会社 排ガス中の炭素系微粒子処理用フィルタ及びこれを用いた炭素系微粒子処理装置
JPH10272317A (ja) * 1997-03-31 1998-10-13 Kubota Corp 耐高温腐食性にすぐれた多孔質材及び高温排ガス用フィルタ
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JPH1121601A (ja) * 1997-07-07 1999-01-26 Kubota Corp 複層多孔質体およびその製造方法
JPH11104421A (ja) * 1997-10-02 1999-04-20 Toray Ind Inc 板状濾材
JPH11222679A (ja) * 1998-02-04 1999-08-17 Hitachi Ltd Cvd装置および半導体装置の製造方法
JP2000042320A (ja) * 1998-07-27 2000-02-15 Suzuki Sogyo Co Ltd 機能性フィルター
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6432308B1 (en) * 2000-09-25 2002-08-13 Graver Technologies, Inc. Filter element with porous nickel-based alloy substrate and metal oxide membrane
JP4435111B2 (ja) * 2001-01-11 2010-03-17 株式会社日立国際電気 Ald装置および半導体装置の製造方法
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
KR100471408B1 (ko) * 2003-06-30 2005-03-14 주식회사 하이닉스반도체 반도체 소자의 금속선 패터닝 방법
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
JP4865214B2 (ja) * 2004-12-20 2012-02-01 東京エレクトロン株式会社 成膜方法および記憶媒体
GB2423634A (en) * 2005-02-25 2006-08-30 Seiko Epson Corp A patterning method for manufacturing high resolution structures
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100771546B1 (ko) * 2006-06-29 2007-10-31 주식회사 하이닉스반도체 메모리 소자의 커패시터 및 형성 방법
WO2008013873A2 (en) * 2006-07-25 2008-01-31 Cunningham David W Incandescent lamp incorporating infrared-reflective coating system, and lighting fixture incorporating such a lamp
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP2008120654A (ja) * 2006-11-15 2008-05-29 Nihon Ceratec Co Ltd セラミックコーティング部材およびその製造方法
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7782569B2 (en) * 2007-01-18 2010-08-24 Sae Magnetics (Hk) Ltd. Magnetic recording head and media comprising aluminum oxynitride underlayer and a diamond-like carbon overcoat
JP5412294B2 (ja) * 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20090041986A1 (en) * 2007-06-21 2009-02-12 3M Innovative Properties Company Method of making hierarchical articles
US20090159005A1 (en) * 2007-12-21 2009-06-25 Epicrew Corporation Coatings for semiconductor processing equipment
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
WO2010093761A1 (en) * 2009-02-12 2010-08-19 Anocap, Llc Sintered and nanopore electric capacitor, electrochemical capacitor and battery and method of making the same
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
CN102458624B (zh) 2009-06-18 2015-06-03 恩特格林斯公司 包含不同平均尺寸的粒子的经烧结多孔材料
KR101714814B1 (ko) * 2009-09-22 2017-03-09 쓰리엠 이노베이티브 프로퍼티즈 컴파니 다공성 비세라믹 기판상에 원자층 증착 코팅을 도포하는 방법
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP2012011081A (ja) * 2010-07-02 2012-01-19 Daito Giken:Kk 遊技台
CN108359957A (zh) * 2010-10-29 2018-08-03 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
SG192249A1 (en) 2011-02-04 2013-09-30 Entegris Inc Porous metal body of sintered metal powders and metal fibers
WO2012138671A2 (en) * 2011-04-04 2012-10-11 The Regents Of The University Of Colorado Highly porous ceramic material and method of use and forming same
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
RU2611519C2 (ru) * 2011-10-06 2017-02-27 Басф Корпорейшн Способ нанесения поглощающего покрытия на субстрат, основу и/или субстрат, покрытый основой
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US20140287230A1 (en) * 2011-11-10 2014-09-25 Research Triangle Institute Nanostructured polymer-inorganic fiber media
US9089800B2 (en) * 2012-02-03 2015-07-28 Msp Corporation Method and apparatus for vapor and gas filtration
PL2628822T3 (pl) * 2012-02-15 2016-04-29 Picosun Oy Elementy łożysk i łożyska izolowane elektrycznie
KR102100520B1 (ko) * 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
FI20125988A (fi) * 2012-09-24 2014-03-25 Optitune Oy Menetelmä n-tyypin piisubstraatin modifioimiseksi
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8921236B1 (en) * 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
KR20210111885A (ko) * 2015-02-13 2021-09-13 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
JP6832772B2 (ja) * 2017-03-29 2021-02-24 株式会社クボタ 植播系作業機
JP7011983B2 (ja) * 2018-07-11 2022-01-27 日立Astemo株式会社 演算システム、演算装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060251874A1 (en) * 2005-05-04 2006-11-09 3M Innovative Properties Company Microporous article having metallic nanoparticle coating
US20080254312A1 (en) * 2005-10-11 2008-10-16 Nv Bekaert Sa Coated Porous Metal Medium
WO2008034190A1 (en) * 2006-09-21 2008-03-27 Queensland University Of Technology Metal oxide nanofibre filter
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers

Also Published As

Publication number Publication date
JP2021181622A (ja) 2021-11-25
TWI758744B (zh) 2022-03-21
TWI717012B (zh) 2021-01-21
TWI710471B (zh) 2020-11-21
EP3460093A3 (en) 2019-06-19
EP3739079A1 (en) 2020-11-18
TW202218871A (zh) 2022-05-16
JP2024023231A (ja) 2024-02-21
WO2016131024A9 (en) 2017-07-13
KR20210099193A (ko) 2021-08-11
US20180044800A1 (en) 2018-02-15
WO2016131024A1 (en) 2016-08-18
CN113930746A (zh) 2022-01-14
KR20200103890A (ko) 2020-09-02
TW202003230A (zh) 2020-01-16
EP3460093A2 (en) 2019-03-27
US20190100842A1 (en) 2019-04-04
KR20180100734A (ko) 2018-09-11
KR20170117490A (ko) 2017-10-23
JP2022084687A (ja) 2022-06-07
JP2020168625A (ja) 2020-10-15
JP2019035150A (ja) 2019-03-07
JP6909883B2 (ja) 2021-07-28
KR20220113847A (ko) 2022-08-16
JP2020128594A (ja) 2020-08-27
SG10201807630PA (en) 2018-10-30
EP3257070A1 (en) 2017-12-20
SG11201706564UA (en) 2017-09-28
CN109023303A (zh) 2018-12-18
EP3257070A4 (en) 2018-10-17
CN107615462A (zh) 2018-01-19
KR20210083394A (ko) 2021-07-06
JP2020080408A (ja) 2020-05-28
KR20200080342A (ko) 2020-07-06
TW202035129A (zh) 2020-10-01
KR20210111885A (ko) 2021-09-13
KR20190126202A (ko) 2019-11-08
US20200378011A1 (en) 2020-12-03
CN111519166A (zh) 2020-08-11
TW201634265A (zh) 2016-10-01
JP2023011660A (ja) 2023-01-24
JP2018506859A (ja) 2018-03-08

Similar Documents

Publication Publication Date Title
US20200378011A1 (en) Coatings for enhancement of properties and performance of substrate articles and apparatus
JP2024001007A (ja) 半導体プロセスツールにおける静電気散逸用の超薄型共形コーティング
US20210123143A1 (en) Hafnium aluminum oxide coatings deposited by atomic layer deposition
US20210175325A1 (en) Diffusion barriers made from multiple barrier materials, and related articles and methods

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination