TWI758744B - 用於增強基材製品及設備之性質與表現的塗層 - Google Patents

用於增強基材製品及設備之性質與表現的塗層 Download PDF

Info

Publication number
TWI758744B
TWI758744B TW109119338A TW109119338A TWI758744B TW I758744 B TWI758744 B TW I758744B TW 109119338 A TW109119338 A TW 109119338A TW 109119338 A TW109119338 A TW 109119338A TW I758744 B TWI758744 B TW I758744B
Authority
TW
Taiwan
Prior art keywords
coating
ald
metal
layer
aluminum
Prior art date
Application number
TW109119338A
Other languages
English (en)
Other versions
TW202035129A (zh
Inventor
布萊恩 C 漢迪克斯
大衛 W 彼得斯
李衛民
理查 A 庫克
卡羅 華德菲德
尼列許 關達
林艾寬
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW202035129A publication Critical patent/TW202035129A/zh
Application granted granted Critical
Publication of TWI758744B publication Critical patent/TWI758744B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/404Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/04Additives and treatments of the filtering material
    • B01D2239/0471Surface coating material
    • B01D2239/0478Surface coating material on a layer of the filter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/12Special parameters characterising the filtering material
    • B01D2239/1216Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/20Other self-supporting filtering material ; Other filtering material of inorganic material, e.g. asbestos paper, metallic filtering material of non-woven wires
    • B01D39/2027Metallic material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Laminated Bodies (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Filtering Materials (AREA)

Abstract

茲描述應用到各種基材製品、結構、材料和裝備的塗層。在不同應用中,基材包括易形成金屬氧化物、氮化物、氟化物或氯化物於上的金屬表面,其中使用時,金屬表面配置以接觸氣體、固體或液體而與之反應形成反應產物,以致對基材製品、結構、材料或裝備有害。金屬表面塗覆保護塗層,以防止塗覆表面與反應氣體反應,及/或改善基材製品或裝備的電性、化性、熱或結構性質。亦描述各種塗覆金屬表面及選擇採用塗佈材料的方法。

Description

用於增強基材製品及設備之性質與表現的塗層
本發明大體係關於應用到各種基材製品和裝備的塗層,例如在表面易形成不當氧化物、氮化物、氟化物、氯化物或其他鹵化物污染物種於上的結構和設備方面。在特定態樣中,本發明係關於半導體製造裝備和增強性能的方法,更特定言之係關於易遭裝備存有六氯化二鋁蒸汽污染和相關粒子沉積的半導體製造裝備,及關於組成和對抗不利污染和粒子沉積的方法。
許多門領域將遭遇結構、材料和設備包括易形成污染物種的表面,例如鋁、陽極處理鋁、石英、不銹鋼等表面,此表面易形成不當氧化物、氮化物和鹵化物(例如氟化物及/或氯化物)污染物種於上,而影響相關產品、裝備或材料的用途、功用或功能。
在半導體製造領域中,乃廣泛使用鋁和含鋁材料。雖然在奈米級積體電路應用中,鋁主要已以銅取代做為金屬化材料,但鋁仍持續廣泛用作引線接合與連接材料,並用於薄膜材料,例如做為阻障層的氮化鋁(AlN)薄膜、壓電裝置部件、冷陰極材料等,及用於諸如LED和其他光電裝置等應用的化合半導體組成或氧化鋁(Al2 O3 )層做為介電質、介電摻質、阻障層、光學塗層等。
在許多應用中,鹵素氣體用於半導體製造裝備,以在裝置製造操作時處理膜,或用作共流清洗劑,以移除表面和半導體製造裝備部件的積聚污染沉積物。鹵素氣體可包括氯物種,氯物種接觸存於裝備的鋁後將反應形成六氯化二鋁(Al2 Cl6 )蒸汽,例如在晶圓上或在表面或裝備部件上。六氯化二鋁蒸汽進而會侵蝕半導體製造裝備中的不銹鋼表面和部件,並用於將可測金屬量(例如鉻、鐵和鎳)輸送到正在處理的晶圓。
另一類應用使用Al2 Cl6 蒸汽來沉積含鋁膜。雖然Al2 O3 普遍利用ALD與三甲基鋁做為來源試劑沉積,但三甲基鋁係需受有效安全性控制與控管成本的發火性液體。Al2 Cl6 蒸汽很容易在固體汽化器中由上述固體AlCl3 製得,例如位於美國麻州Billerica的Entegris公司販售的固體汽化單元商品ProE-Vap。
半導體和製造裝備的不銹鋼部件可由316不銹鋼或其他不銹鋼合金形成,部件通常經電拋光。電拋光通常會使表面塗覆一層含鉻、鐵、鎳和其他合金組分的鈍氧化物。此外,金屬組分會透過原生氧化製程形成表面微量的對應氧化物。因此,當六氯化二鋁遇到金屬氧化物時,金屬氧化物將與六氯化二鋁反應形成對應氣相氯化金屬鋁化合物,此可能輸送到晶圓和半導體裝置或裝置前驅結構,以致沉積微量金屬或損壞裝備製造的產品。或者,金屬氧化物與Al2 Cl6 蒸汽反應形成Al2 O3 和粒狀金屬氯化物,此可能輸送到裝置結構而造成損壞。此外,AlCl3 固體可能接觸金屬氧化物表面而形成氣相氯化金屬鋁或固體氯化物粒子。
因此,壓制六氯化二鋁與金屬表面和半導體製造裝備及其他薄膜沉積或蝕刻裝備的部件間不當相互作用,將可獲得有效改善。
亦不斷需要緻密、無針孔且無缺陷的塗層用於各種工業應用,並提供其他塗層品質和優點,例如零件的電氣絕緣、共形塗覆零件的能力、抗化學與蝕刻性、耐腐蝕性、擴散阻障性質和黏著層性質。
本發明大體係關於應用到各種基材製品、結構、材料和裝備的塗層,在特定態樣中,係關於半導體製造裝備和增強裝備性能的方法,更特定言之係關於易遭裝備存有六氯化二鋁污染和相關粒子沉積的半導體製造裝備,及關於組成和對抗不利污染和粒子沉積的方法。
在一態樣中,本發明係關於包含金屬表面的結構、材料或設備且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,當結構、材料或設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對結構、材料或設備和結構、材料或設備的使用或操作有害,其中金屬表面塗覆保護塗層,以防止塗覆表面與反應氣體反應。
在一態樣中,本發明係關於包含金屬表面的半導體製造設備且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,當設備操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,例如粒狀反應產物及/或氣相反應產物,以致對設備和設備操作有害,其中金屬表面塗覆保護塗層,以防止塗覆表面與反應氣體反應。
本發明的又一態樣係關於改善結構、材料或設備的性能的方法,結構、材料或設備包含金屬表面且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,其中當結構、材料或設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對結構、材料或設備和結構、材料或設備的使用或操作有害,方法包含用保護塗層塗覆金屬表面,以防止塗覆表面與反應氣體反應。
在另一態樣中,本發明係關於改善半導體製造設備的性能的方法,半導體製造設備包含金屬表面且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,當設備操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對設備和設備操作有害,方法包含用保護塗層塗覆金屬表面,以防止塗覆表面與反應氣體反應。
在又一態樣中,本發明係關於改善接觸反應固體的半導體製造設備的性能。
根據本發明的再一態樣,提供用於工業應用的薄膜原子層沉積塗層。根據本發明的薄膜塗層描述於本說明書。
本發明的另一態樣係關於複合ALD塗層,包含不同的ALD產物材料層。
本發明的又一態樣係關於複合塗層,包含至少一ALD層和至少一非ALD層的沉積層。
在再一態樣中,本發明係關於形成圖案化ALD塗層至基材上的方法,包含在具一層表面終止材料的基材上形成圖案,表面終止材料能有效防止ALD膜成長。
在另一態樣中,本發明係關於填充及/或密封材料表面弱點的方法,方法包含依能有效填充及/或密封弱點的厚度,施用ALD塗層至材料表面弱點上。
本發明的又一態樣係關於濾器,包含纖維及/或粒子基質,纖維及/或粒子由金屬及/或聚合材料形成,其中纖維及/或粒子基質具有ALD塗層於上,其中相較於缺少ALD塗層於上的對應纖維及/或粒子基質,ALD塗層不會使纖維及/或粒子基質的孔隙體積改變超過5%,其中當纖維及/或粒子由金屬形成且ALD塗層包含金屬時,ALD塗層的金屬不同於纖維及/或粒子的金屬。
本發明的再一態樣係關於輸送氣態或蒸汽流至半導體處理工具的方法,方法包含提供氣態或蒸汽流從氣態或蒸汽流來源到半導體處理工具的流動路徑,及使氣態或蒸汽流流過流動路徑上的濾器,以自流移除外來固體材料,其中濾器包含本發明所述各種濾器。
在又一態樣中,本發明係關於濾器,包含燒結不銹鋼纖維及/或粒子基質且基質塗覆ALD氧化鋁塗層,其中燒結基質包含直徑為1至40微米(μm)的孔隙,例如10至20 μm,ALD塗層的厚度為2至500奈米(nm)。
本發明的另一態樣係關於固體汽化設備,包含界定內部容積的器皿,內部容積包括支撐表面於內,以供固體材料汽化,其中至少一部分的支撐表面具有ALD塗層於上。
在又一態樣中,本發明係關於包含一或更多層的薄膜塗層,其中至少一層係利用原子層沉積來沉積。
本發明的另一態樣係關於膜厚超過1000埃(Å)的ALD塗層。
本發明的再一態樣係關於包含非常緻密、無針孔、無缺陷層的ALD塗層。
本發明的又一態樣係關於薄膜塗層,塗層沉積在矽晶圓上除積體電路裝置外的零件表面。
在再一態樣中,本發明係關於包含絕緣金屬氧化物和金屬的ALD塗層。
本發明的另一態樣係關於ALD塗層,塗層可在20℃至400℃的溫度下沉積。
本發明的又一態樣係關於ALD塗層,包含具定義化學計量的單一膜。
本發明的再一態樣係關於薄膜塗層,包含ALD層及結合以不同沉積技術沉積的至少一其他層。
在另一態樣中,本發明係關於多層ALD塗層,且塗層厚度不超過2 μm。
本發明的又一態樣係關於選自由氧化物、氧化鋁、氮氧化鋁、氧化釔、氧化釔-氧化鋁混合物、氧化矽、氮氧化矽、過渡金屬氧化物、過渡金屬氮氧化物、稀土金屬氧化物和稀土金屬氮氧化物所組成群組的ALD材料塗層。
本發明的再一態樣係關於形成圖案化ALD塗層至基材零件上的方法,方法包含:用ALD塗層均勻塗覆零件;及利用遮罩,回蝕無用塗佈材料。
本發明的另一方法態樣係關於形成圖案化ALD塗層至基材零件上的方法,方法包含:遮蔽零件的區域;用ALD塗層均勻塗覆零件;及自零件的遮蔽區域移除ALD塗層。
本發明的又一方法態樣係關於形成圖案化ALD塗層至基材零件上的方法,方法包含:用包含表面終止組分的材料來圖案化基材零件,表面終止組分可阻斷ALD膜成長;及用ALD塗層塗覆圖案化基材零件。
本發明的再一態樣係關於使基材零件電氣絕緣的方法,包含施用無缺陷、無針孔、緻密、電氣絕緣的ALD塗層於基材零件。
在另一態樣中,本發明係關於在基材表面的塗層,包含具抗化學性與抗蝕刻性的ALD塗層。
本發明的又一態樣係關於在基材表面的塗層,包含耐腐蝕的ALD塗層。
本發明的再一態樣係關於在基材表面的塗層,包含ALD擴散阻障層。
本發明的又一態樣係關於在基材表面的塗層,包含ALD黏著層。
本發明的再一態樣係關於在基材表面的塗層,包含ALD表面密封層。
在另一態樣中,本發明係關於多孔濾器,包含纖維金屬隔膜並塗覆抗化學性ALD塗層。
本發明的又一態樣係關於濾器,包含多孔材料基質並塗覆ALD塗層,其中相對於未塗覆ALD塗層的對應多孔材料基質,ALD塗層可減小多孔金屬基質的平均孔徑。
本發明的另一態樣係關於濾器,包含多孔材料基質並塗覆ALD塗層,其中塗層厚度方向性改變而於濾器中提供對應孔徑梯度。
在再一態樣中,本發明係關於製造多孔濾器的方法,包含用ALD塗層塗覆多孔材料基質,以減小多孔材料基質的平均孔徑。
在另一態樣中,本發明係關於固體汽化設備,包含界定內部容積於內的容器、配置以將前驅物蒸汽排出容器的出口和在容器內部容積中的支撐結構,支撐結構適於支撐固體前驅物材料於上,以供材料揮發形成前驅物蒸汽,其中固體前驅物材料包含鋁前驅物,其中內部容積的至少一部分表面區域塗覆氧化鋁塗層。
本發明的又一態樣係關於增強不銹鋼結構、材料或設備的耐腐蝕性的方法,不銹鋼結構、材料或設備使用或操作時將接觸鹵化鋁,方法包含用氧化鋁塗層塗覆不銹鋼結構、材料或設備。
本發明的另一態樣係關於半導體處理蝕刻結構、部件或設備,結構、部件或設備使用或操作時將接觸蝕刻介質,結構、部件或設備塗覆包含氧化釔層的塗層,其中氧化釔層選擇性覆在塗層中的氧化鋁層上面。
本發明的又一態樣係關於增強半導體處理蝕刻結構、部件或設備的耐腐蝕性與抗蝕刻性的方法,結構、部件或設備使用或操作時將接觸蝕刻介質,方法包含用包含氧化釔層的塗層塗覆結構、部件或設備,其中氧化釔層選擇性覆在塗層中的氧化鋁層上面。
本發明的另一態樣係關於蝕刻腔室擴散板,包含鎳隔膜並包覆氧化鋁塗層。
本發明的又一態樣係關於增強蝕刻腔室擴散板的耐腐蝕性與抗蝕刻性的方法,蝕刻腔室擴散板包含鎳隔膜,方法包含用包覆氧化鋁塗層塗覆鎳隔膜。
在另一態樣中,本發明係關於氣相沉積處理結構、部件或設備,結構、部件或設備使用或操作時將接觸鹵化物介質,結構、部件或設備塗覆氧化釔塗層,塗層包含ALD氧化釔塗底層和PVD氧化釔披覆層。
在再一態樣中,本發明係關於增強氣相沉積處理結構、部件或設備的耐腐蝕性與抗蝕刻性的方法,結構、部件或設備使用或操作時將接觸鹵化物介質,方法包含用氧化釔塗層塗覆結構、部件或設備,氧化釔塗層包含ALD氧化釔塗底層和PVD氧化釔披覆層。
本發明的又一態樣係關於石英包封結構,具有氧化鋁擴散阻障層塗覆於石英包封結構的內表面。
本發明的再一態樣係關於減少汞擴散至石英包封結構內的方法,石英包封結構操作時易受擴散影響,方法包含用氧化鋁擴散阻障層塗覆石英包封結構的內表面。
本發明的又一態樣係關於電漿源結構、部件或設備,結構、部件或設備使用或操作時將接觸電漿和超過1000伏特(V)的電壓,其中結構、部件或設備的電漿濕潤表面塗覆ALD氧化鋁塗層,且氧化鋁塗層披覆PVD氮氧化鋁塗層。
在一態樣中,本發明係關於增強電漿源結構、部件或設備的使用壽命的方法,結構、部件或設備使用或操作時將接觸電漿和超過1000 V的電壓,方法包含用ALD氧化鋁塗層塗覆結構、部件或設備的電漿濕潤表面,及用PVD氮氧化鋁塗層披覆氧化鋁塗層。
在另一態樣中,本發明係關於介電堆疊,包含連續層,包括氧化鋁基層、鎳電極層於氧化鋁基層上、ALD氧化鋁電氣隔絕層於鎳電極層上、PVD氮氧化鋁熱膨脹緩衝層於ALD氧化鋁電氣隔絕層上和CVD氮氧化矽晶圓接觸表面與電氣間隔層於PVD氮氧化鋁熱膨脹緩衝層上。
在又一態樣中,本發明係關於電漿活化結構、部件或設備,包含鋁表面,且鋁表面塗覆(i)和(ii)多層塗層之一:(i)CVD矽塗底層於鋁表面上和ALD氧化鋯層於CVD矽塗底層上;及(ii)CVD氮氧化矽塗底層於鋁表面上和ALD氧化鋁層於CVD氮氧化矽塗底層上。
本發明的另一態樣係關於針對電漿活化結構、部件或設備的鋁表面減少粒子形成與金屬污染的方法,方法包含用(i)和(ii)多層塗層之一塗覆鋁表面:(i)CVD矽塗底層於鋁表面上和ALD氧化鋯層於CVD矽塗底層上;及(ii)CVD氮氧化矽塗底層於鋁表面上和ALD氧化鋁層於CVD氮氧化矽塗底層上。
在本發明的另一態樣中,思忖多孔基質濾器,多孔基質濾器包含由不銹鋼、鎳或鈦形成的隔膜,其中隔膜被氧化鋁包覆達20至2000 μm的塗層滲透深度。
在一對應方法態樣中,本發明係關於製作多孔基質濾器的方法,包含用氧化鋁包覆由不銹鋼、鎳或鈦形成的隔膜達20至2000 μm的塗層滲透深度。
本發明的其他態樣、特徵和實施例在參閱實施方式說明和後附申請專利範圍後將變得更清楚易懂。
[交互參照之相關申請案]
本申請案根據專利法法規主張下列美國臨時專利申請案的權益:Carlo Waldfried等人於西元2015年2月13日申請、名稱為「THIN FILM ATOMIC LAYER DEPOSITION COATINGS」的美國臨時專利申請案第62/116,181號;Bryan C. Hendrix等人於西元2015年5月28日申請、名稱為「COATINGS TO PREVENT TRANSPORT OF TRACE METALS BY AL2CL6 VAPOR」的美國臨時專利申請案第62/167,890號;Bryan C. Hendrix等人於西元2015年7月2日申請、名稱為「COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATE ARTICLES AND APPARATUS」的美國臨時專利申請案第62/188,333號;及Bryan C. Hendrix等人於西元2015年9月21日申請、名稱為「COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATE ARTICLES AND APPARATUS」的美國臨時專利申請案第62/221,594號。美國臨時專利申請案第62/116,181號、第62/167,890號、第62/188,333號和第62/221,594號各自的全文內容為所有目的以引用方式併入本文中。
本發明大體係關於應用到各種基材製品、材料、結構和裝備的塗層。在不同態樣中,本發明係關於半導體製造裝備和增強裝備性能的方法,更特定言之係關於易遭裝備存有六氯化二鋁污染和相關粒子沉積的半導體製造裝備,及關於組成和對抗不利污染和粒子沉積的方法。
在此認定的碳數範圍(例如C1 -C12 烷基)擬包括此範圍內各個組分碳數基元,故在本發明範圍內,將包含各中間碳數和任何其他述及或該述及範圍內的中間碳數值,更應理解特定碳數範圍內的碳數子範圍可個別包括在較小碳數範圍內,特別排除一或更多碳數的碳數範圍亦包括在本發明內,排除特定範圍中任一或二碳數限值的子範圍亦包括在本發明內。是以C1 -C12 烷基擬包括甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、壬基、癸基、十一基和十二基,包括直鏈與支鏈類型。故應理解在本發明的特定實施例中,認定碳數範圍(例如C1 -C12 烷基)如廣泛應用到取代基基元可進一步令碳數範圍限制成基元子群的碳數範圍落在較廣取代基基元規範內。舉例來說,在本發明的特定實施例中,諸如C1 -C12 烷基的碳數範圍更可指定為涵蓋子範圍,例如C1 -C4 烷基、C2 -C8 烷基、C2 -C4 烷基、C3 -C5 烷基或較廣碳數範圍內的任何其他子範圍。換言之,某一碳數範圍視為斷然提出該範圍內的各個碳數物種,例如應用此範圍的取代基、基元或化合物,可從選定群的特定一員選擇選定群做為連續碳數子範圍或選定群內的特定碳數物種。
在本發明廣泛範圍內,相同解釋和選擇靈活性可應用到化學計量係數和數值而列舉特定範圍、數值限制(例如不等式、大於、小於限制式)的原子、官能基、離子或基元數,還有氧化態與其他特定形式的決定性變數、電荷狀態和可應用到摻質源、佈植物種與化學實體的組成。
在此所用「烷基」一詞包括甲基、乙基、丙基、異丙基、丁基、第二丁基、叔丁基、戊基和異戊基等,但不以此為限。在此所用「芳基」一詞包括衍生自苯或苯衍生物的烴,芳基係具6至10個碳原子的不飽和芳族碳環基團。芳基可具單環或多環。在此所用「芳基」一詞亦包括取代芳基。實例包括苯基、萘基、二甲苯、苯乙烷、取代苯基、取代萘基、取代二甲苯、取代苯乙烷等,但不以此為限。在此所用「環烷基」一詞包括環丙基、環丁基、環戊基、環己基等,但不以此為限。在所述所有化學式中,碳數範圍將視為列舉一系列連續交替的含碳基元,包括含有碳原子數介於特定碳數範圍端點值中間的所有基元和含有碳原子數等於特定範圍端點值的基元,例如C1 -C6 包括C1 、C2 、C3 、C4 、C5 和C6 ,各個更廣範圍可進一步參考此碳數範圍來限定子範圍。故例如,範圍C1 -C6 可包括及進一步限定在較廣範圍內的子範圍,例如C1 -C3 、C1 -C4 、C2 -C6 、C4 -C6 等。
在一態樣中,本發明係關於包含金屬表面的結構、材料或設備且金屬表面易形成金屬氧化物、氮化物或鹵化物(例如氟化物、氯化物、碘化物及/或溴化物)於上,當結構、材料或設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對結構、材料或設備和結構、材料或設備的使用或操作有害,其中金屬表面塗覆保護塗層,以防止塗覆表面與反應氣體反應。
在一態樣中,本發明係關於包含金屬表面的半導體製造設備且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,當設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬反應形成反應產物,以致對設備和設備的使用或操作有害,其中金屬表面塗覆保護塗層,以防止塗覆表面與反應氣體反應。
在此半導體製造設備中,在不同實施例中,金屬氧化物可包含一或更多Cr(鉻)、Fe(鐵)、Co(鈷)和Ni(鎳)的至少一氧化物,或者在其他實施例中,金屬氧化物可包含一或更多Cr、Fe和Ni的至少一氧化物。金屬氮化物例如在存有氨的處理期間,在存有氨下由鐵或鈷形成,所得氮化鐵或氮化鈷隨後與AlCl3 或TiCl4 反應。金屬鹵化物可在蝕刻操作或清洗循環操作期間形成於金屬表面。在不同實施例中,金屬表面可包含不銹鋼表面。在特定實施例中,與金屬氧化物、氮化物或鹵化物反應形成反應產物而對設備和設備的使用或操作有害的氣體包含Al2 Cl6
在特定應用中,保護塗層可包含選自由Al2 O3 、具式MO之氧化物,其中M係Ca(鈣)、Mg(鎂)或Be(鈹)、具式M’O2 之氧化物,其中M’係化學計量可接受金屬、及具式Ln2 O3 之氧化物所組成群組的一或更多塗佈材料,其中Ln係鑭系元素,例如La(鑭)、Sc(鈧)或Y(釔)。更大體而言,保護塗層可包含金屬氧化物,當設備操作時,金屬氧化物與接觸金屬表面的材料反應的自由能為大於或等於零。
本發明的又一態樣係關於改善結構、材料或設備的性能的方法,結構、材料或設備包含金屬表面且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,其中當結構、材料或設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對結構、材料或設備和結構、材料或設備的使用或操作有害,方法包含用保護塗層塗覆金屬表面,以防止塗覆表面與反應氣體反應。
在另一態樣中,本發明係關於改善半導體製造設備的性能的方法,半導體製造設備包含金屬表面且金屬表面易形成金屬氧化物、氮化物或鹵化物於上,當設備使用或操作時,金屬表面配置以接觸氣體、固體或液體而與金屬氧化物、氮化物或鹵化物反應形成反應產物,以致對設備和設備的使用或操作有害,方法包含用保護塗層塗覆金屬表面,以防止塗覆表面與反應氣體反應。
在不同實施例中,金屬氧化物、氮化物或鹵化物可包含一或更多Cr、Fe、Co和Ni的至少一氧化物、氮化物或鹵化物,在其他實施例中可包含一或更多Cr、Fe和Ni的至少一氧化物、氮化物或鹵化物或任何其他適合金屬氧化物、氮化物或鹵化物物種。金屬表面例如包含不銹鋼。與金屬氧化物、氮化物或鹵化物反應形成反應產物而對結構、材料或設備和結構、材料或設備的使用或操作有害的氣體可包含Al2 Cl6
在上述方法中,施用於金屬表面的保護塗層可包含選自由Al2 O3 、具式MO之氧化物,其中M係Ca、Mg或Be、具式M’O2 之氧化物,其中M’係化學計量可接受金屬、及具式Ln2 O3 之氧化物所組成群組的一或更多塗佈材料,其中Ln係鑭系元素,例如La、Sc或Y。更大體而言,保護塗層可包含金屬氧化物,當結構、材料或設備使用或操作時,金屬氧化物與接觸金屬表面的氣體反應的自由能為大於或等於零。
在本發明方法中,保護塗層可以任何適合技術施用於金屬表面,在特定應用中,塗佈操作包含物理氣相沉積(PVD)、化學氣相沉積(CVD)、溶液沉積或原子層沉積(ALD)保護塗層。
ALD係將保護塗層施用於金屬表面的較佳技術。在特定應用中,電漿加強ALD用作ALD製程,以於金屬表面形成保護塗層。在不同ALD實施例中,保護塗層可包含Al2 O3 。保護塗層施用例如可利用包含處理程序的原子層沉積,其中三甲基鋁和臭氧用於循環ALD製程,以形成保護塗層,或利用包含處理程序的原子層沉積,其中三甲基鋁和水用於循環ALD製程,以形成保護塗層。
在方法的其他ALD實施方式中,保護塗層可包含具式MO之金屬氧化物,其中M係Ca、Mg或Be。就此應用而言,原子層沉積可包含處理程序,其中環戊二烯基M化合物和臭氧用於循環ALD製程,以形成保護塗層、或處理程序,其中環戊二烯基M化合物和水用於循環ALD製程,以形成保護塗層、或處理程序,其中M β-二酮化合物和臭氧用於循環ALD製程,以形成保護塗層、或其他適合處理程序和金屬氧化物前驅化合物。各式各樣的前驅物配基可用於沉積保護塗層,包括H、C1 -C10 -烷基、直鏈、支鏈或環狀飽和或不飽和芳族、雜環、烷氧基、環烷基、矽基、矽烷基、矽基醯胺、三甲基矽基矽基取代烷基、三烷基矽基取代炔烴與三烷基矽基醯胺基取代炔烴、二烷基醯胺、乙烯、乙炔、炔烴、取代烯烴、取代炔烴、二烯、環戊二烯基重烯、胺、烷胺或雙牙胺、氨、RNH2 (其中R係有機,例如烴基、取代基)、脒、胍、二氮雜二烯環戊二烯基、肟、羥胺、乙酸鹽、β-二酮基、β-酮亞胺基、腈、硝酸根、硫酸根、磷酸根、鹵素、羥基、取代羥基及上述組合物和衍生物,但不以此為限。
在施用保護塗層至金屬表面的方法的又一些其他ALD實施方式中,保護塗層可包含具式Ln2 O3 之金屬氧化物,其中Ln係鑭系元素。Ln例如為La、Sc或Y。施用氧化鑭保護塗層時,原子層沉積可包含處理程序,其中環戊二烯基Ln化合物和臭氧用於循環ALD製程,以形成保護塗層、或處理程序,其中環戊二烯基Ln化合物和水用於循環ALD製程,以形成保護塗層、或處理程序,其中Ln β-二酮化合物和臭氧用於循環ALD製程,以形成保護塗層、或其他適合處理程序和鑭系前驅化合物。
保護塗層可依任何適合厚度塗覆於金屬表面,例如塗層厚度可為5 nm至5 μm。
在不同實施例中,在用保護塗層塗覆金屬表面期間,金屬表面可處於25℃至400℃。在其他實施例中,在塗佈操作期間,金屬表面可處於150℃至350℃。在又一些其他實施例中,金屬表面溫度可處於其他範圍,以供保護塗層施用於上。
本發明所欲解決半導體製造操作的化學侵蝕和污染物種輸送問題在不銹鋼爐中尤其嚴重,其中晶圓經處理以製造微電子裝置和其他半導體製造產品。茲發現在此類爐中,當Al2 Cl6 蒸汽移動通過系統時,六氯化二鋁蒸汽會輸送可測Cr、Fe和Ni量至晶圓。測量電流準位與以原生氧化或電拋光移除留在不銹鋼表面的對應金屬氧化物量一致,例如316L不銹鋼。
本發明藉由用不與Al2 Cl6 反應的材料塗層塗覆爐表面和部件來解決此問題。此解決方案遠遠優於自不銹鋼表面移除表面氧化物、氮化物和鹵化物,使之不與Al2 Cl6 反應的方式,因為後者總是會有少量周遭水分洩漏或維修事件,導致表面和部件接觸水分和氧、氮與鹵素。另外,若Al2 Cl6 大量流經爐而反應移除金屬氧化物、氮化物和鹵化物,則此方式將嚴重降低工具產量,故非可行的解決方案。
反之,本發明係在爐或其他半導體製造裝備的表面和部件採用塗層,使表面和部件鈍化而不與Al2 Cl6 反應。如所述,塗層有利地包含選自由Al2 O3 、具式MO之氧化物,其中M係Ca、Mg或Be、具式M’O2 之氧化物,其中M’係化學計量可接受金屬、及具式Ln2 O3 之氧化物所組成群組的一或更多塗佈材料,其中Ln係鑭系元素,例如La、Sc或Y。
塗層可以任何適合方式施用,以於半導體製造裝備的表面和部件製造連續共形塗層,包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、溶液沉積和原子層沉積(ALD)等技術。
ALD沉積特別有利於塗佈過濾元件和流管內側。三甲基鋁/臭氧(TMA/O3 )或三甲基鋁/水(TMA/H2 O)為可用於沉積Al2 O3 的組成。金屬M或Ln的環戊二烯基化合物可用於採用臭氧(O3 )或水蒸汽(H2 O)的循環ALD製程,以沉積MO或Ln2 O3 。M或Ln的β-二酮可用於循環ALD製程來沉積MO或Ln2 O3 ,其中β-二酮金屬前驅物反應脈衝與O3 脈衝交替輸送。
為沉積氧化鋁保護塗層,乃選擇金屬前驅物(例如三甲基鋁)和富氧組分,例如臭氧或水,及確定塗佈條件,舉例來說包含TMA/淨化/H2 O/淨化的ALD程序或TMA/淨化/O3 /淨化的程序,基材溫度例如為150℃至350℃,塗層厚度為5 nm至5 μm。接著針對特定反應器和待塗佈的表面或部件幾何形狀,決定處理程序的脈衝及淨化時間。
做為一般方式,可依據以下方法選擇適於保護表面免於接觸六氯化二鋁的金屬氧化物和適於保護表面免於接觸金屬鹵化物蒸汽的金屬氧化物。
首先確定在半導體裝備中會接觸六氯化二鋁的溫度,接著識別半導體製造裝備表面和部件的金屬與將接觸表面和部件的化學試劑的化學反應。在特定溫度下的化學反應、焓與熵的變化和自由能與反應常數例如可由下表1確認。
表1
   T ΔH (kJ) ΔS (J/K) ΔG (kJ) K
2 Cr(s) + Al2 Cl6(g) -> 2 CrCl3(s) + Al(s) 120℃ 185 -207 267 10-36
Cr2 O3(s) + Al2 Cl6(g) -> 2 CrCl3(s) + Al2 O3(s) 120℃ -354 -256 -253 10+33
Al2 O3(s) + Al2 Cl6(g) -> 2AlCl3(s) + Al2 O3(s)               
3CaO(s) + Al2 Cl6(g) -> 3CaCl2(s) + Al2 O3(s) 100℃ -860 -206 -784 5.0E+109
3MgO(s) + Al2 Cl6(g) -> 3MgCl2(s) + Al2 O3(s) 100℃ -497 -226 -413 6.5E+57
3BeO(s) + Al2 Cl6(g) -> 3BeCl2(s) + Al2 O3(s) 100℃ -38.0 -226 46.4 3.2E-7
La2 O3(s) + Al2 Cl6(g) -> 2LaCl3(s) + Al2 O3(s) 100℃ -727 -269 -627 5.4E+87
Sc2 O3(s) + Al2 Cl6(g) -> 2ScCl3(s) + Al2 O3(s) 100℃ -320 -239 -231 2.4E+32
Y2 O3(s) + Al2 Cl6(g) -> 2YCl3(s) + Al2 O3(s) 100℃ -474 -243 -384 4.9E+53
2TiN(s) + Al2 Cl6(g) -> 2TiCl3(s) + 2AlN(s) 100℃ -106 -207 -29 1.2E+4
 2Au(s) + Al2Cl6(g) = 2AuCl3(s) + 2Al(s) 100℃ 1062 -170 1125 2.5E-158
6Ag(s) + Al2Cl6(g) = 6AgCl(s) + 2Al(s) 100℃ 537 -80 567 3.7E-80
Al2O3(s) + 6HBr(g) = 2AlBr3(g) + 3H2O(g) 100℃ 346 21 229 3.7E-87
Al2O3(s) + 6HCl(g) = Al2Cl6(g) + 3H2O(g) 100℃ 208 -135 259 5.8E-37
2Ni(s) + SiCl4(l) = 2NiCl2(s) + Si(s) 100℃ 74 -92 108 6.6E-16
Ni(s) + GeF4(g) = NiF2(s) + GeF2(s) 100℃ -124 -169 -61 3.2E+8
Al2O3(s) + 1.5GeF4(g) = 2AlF3(s) + 1.5GeO2(s) 100℃ -428 -305 -314 8.6E+43
Cr2O3(s) + 1.5GeF4(g) = 2CrF3(s) + 1.5GeO2(s) 100℃ -265 -287 -158 1.3E22
Au(s) + 1.5GeF4(g) = AuF3(s) + 1.5GeF2(s) 100℃ 452 -250 546 3.2E-77
Cu(s) + GeF4(g) = CuF2(s) + GeF2(s) 100℃ -9 -1667 55 3.3E-8
Au(s) + 2HF(g) = AuF2(s) + H2(g) 100℃ 310 -155 368 3.5E-52
A MOx/2(s) + Al2 Cl6(g) -> A MClx(s) + Al2 O3(s) 120℃       ≥ 0   
A MOx/2(s) + NXy(g) -> A MXx(s) + NO2y(s) 120℃       ≥ 0   
其中A係莫耳數,X係鹵化物,N係任意金屬。例如,NXy 可為HfCl4 或WCl6
表1中第一行的反應不會導致半導體製造裝備的金屬腐蝕,因為反應自由能為正值。然表1中第二行的反應會造成腐蝕。藉由把不銹鋼半導體製造裝備的表面氧化物從Cr2 O3 改成Al2 O3 ,反應驅動力將變成零。或者,如表1中第三行所示,保護氧化物可選自反應自由能大於或等於零的任何金屬氧化物MOx (其中x具任何化學計量相稱值)。另外,如表1中第四行所示,若輸送一般金屬鹵化物蒸汽NXy ,例如NF3 ,則保護氧化物可選自反應自由能大於或等於零的金屬氧化物MOx
本發明的保護塗層可用於防護腐蝕劑,例如NF3 、Al2 Cl6 、HfCl4 、TiCl4 、ZrCl4 、WCl6 、WCl5 、VCl4 、NbCl5 、TaCl5 和其他金屬氯化物。例如,Al2 O3 可用作腐蝕劑的保護塗佈材料。能如氣體或蒸汽輸送的半導體材料可調解腐蝕行為,例如氟、氯、溴、氟化氫、氯化氫、溴化氫、二氟化氙、三氟化硼、四氟化矽、四氟化鍺、三氟化磷、三氟化砷、三氯化硼、四氯化矽、臭氧,Al2 O3 塗層有利於提供抗腐蝕劑的保護膜。四氯化鈦相當具腐蝕性且對Y2 O3 具正ΔG。
在特定實施例中,Al2 O3 做為對不銹鋼表面接觸溴化氫具正ΔG的保護塗佈材料。在其他實施例中,Al2 O3 做為對不銹鋼表面接觸氯化氫具正ΔG的保護塗佈材料。在又一些其他實施例中,鎳做為對不銹鋼表面接觸四氯化矽具正ΔG的保護塗佈材料。
在附加實施例中,對不銹鋼表面接觸四氟化鍺具正ΔG的保護塗層可包含鎳、Al2 O3 、Cr2 O3 、金、諸如氮化鈦(TiN)等氮化物、玻璃和銅的任一者。用四氟化鍺鈍化就不銹鋼與鎳而言很有效,因為表面將形成Ni-F、Cr-F和Fe-F物種,此可視為NiF2 、CrF3 或FeF3 層覆在鎳或不銹鋼上面。
在其他實施例中,金做為對不銹鋼表面接觸氟化氫具正ΔG的保護塗佈材料。
在不同實施例中,不銹鋼和碳鋼的保護塗層包括金屬,例如鎳與金屬合金。在其他實施例中,為此使用的保護塗層可包括聚合材料,例如聚四氟乙烯(PTFE)或似PTFE材料,包括市售商品Teflon®和Kalrez®的材料保護塗層。保護塗層亦可用於避免不銹鋼因接觸氫化物氣體而脆化,此類保護塗層可包含或由諸如鋁、銅或金等材料形成。
供保護塗層置於表面的反應劑可為固體、液體及/或氣體形式,且可在混合物或包括一或更多溶劑的溶液中。
至於ΔG,更大體而言,壓力或溫度改變可使穩定度在10-4 <K<10+4 的範圍變化,當K>10+4 ,在任何條件下都很少腐蝕。
本發明依ALD或其他氣相沉積技術形成的緻密無針孔塗層不同於原生氧化物表面。原生氧化物膜通常係在室溫或近室溫下形成、晶狀,且原生氧化物膜相關氧化不完全。原生氧化物膜比本發明氣相沉積塗層(例如ALD塗層)更具反應性。本發明的緻密、厚、無針孔、氣相沉積塗層為無定形及共形。
若為依本發明形成在不銹鋼上的氧化鋁塗層,可在沉積Al2 O3 塗層前,採取清洗或其他預處理步驟。例如,可依需求或在本發明特定實施方式中採行電拋光或壓緊(decreasing)處理或結合處理方式。另可或或可利用任何其他適合的清洗或預處理步驟。
在三氯化鋁方面,注意AlCl3 不會溶於溶劑或油或油脂,然油或油脂可存在做為熱傳劑,例如在固體輸送汽化器中,其中AlCl3 或其他化學品提供以於汽化器加熱時揮發而提供蒸汽流自器皿分配。例如,AlCl3 或其他待輸送化學品可與高沸點惰性油或油脂混合形成糊膏,接著把糊膏裝到托盤或固體輸送器皿的其他支撐表面。油或油脂則可當作熱傳劑和介質來擷取小顆粒,以免蒸汽流挾帶微粒。擷取小顆粒接著留在油或油脂中,直到汽化而傳遞離開熱傳劑及最終離開汽化器皿。在此方式中,油或油脂可改善熱導性,並能使汽化器達較低輸送溫度。
現參照圖式,第1圖係根據本發明一態樣,半導體晶圓處理工具100的沉積爐102的示意圖。
爐102界定加熱內部容積104,其中襯層110設置以將內部容積隔成襯層110內的內容積108和襯層外側的外容積106。內裝晶圓114的晶圓載具112設在襯層110內的內容積108,使晶圓得接觸爐內的製程氣體。
如第1圖所示,第一製程氣體從第一製程氣源116經由第一製程氣體供給管線118供應到爐內容積108。同樣地,第二製程氣體從第二製程氣源120經由第二製程氣體供給管線122供應到爐內容積108。工具操作時,第一和第二製程氣體可同時或連續引進爐。第一製程氣體例如包含有機金屬前驅物,用於在晶圓載具112中的晶圓基材上氣相沉積金屬組分。第二製程氣體例如包含鹵化物清洗氣體。引入爐內容積108的氣體在襯層內往上流動,且在流出襯層110的上開口端後即往下流至環狀外容積106。氣體接著由排放管線124流出爐而至減量單元126,其中出自爐的流出氣體經處理以移除有害組分,並由通氣管線128排放處理氣體,以進一步處理或其他處置。減量單元126可包含濕式及/或乾式洗滌器、催化氧化設備或其他適合減量裝備。
根據本發明,爐與襯層部件的表面塗覆Al2 O3 層,使之能抵抗六氯化二鋁化學侵蝕,以免爐內晶圓114產生缺陷或甚至不能使用。
第2圖係根據本發明另一態樣的沉積爐處理系統示意圖,系統使用Al2 Cl6 蒸汽塗佈晶圓,及利用安瓿型固體源輸送汽化器使AlCl3 汽化形成Al2 Cl6 蒸汽,其中托盤和安瓿內表面塗覆Al2 O3 ,且安瓿下游所有閥門、配管和濾器皆塗覆Al2 O3
如圖所示,安瓿配有自供應器皿(「Ar」)供應的氬載氣,載氣流過含質量流量控制器(「MFC」)的載氣供給管線而至安瓿。在安瓿中,載氣接觸加熱安瓿,使托盤支托固體AlCl3 揮發而產生Al2 Cl6 蒸汽,揮發的Al2 Cl6 接著流入含有晶圓的爐,以在晶圓上由Al2 Cl6 蒸汽沉積鋁。如圖所示,沉積用共反應物可利用爐的共反應物供給管線引入爐。通過爐的流體流量由泵和壓力閥組件控制使爐內條件保持適合沉積操作。
如上所述,托盤和安瓿內表面塗覆Al2 O3 ,且安瓿下游所有流路表面和部件亦然,以免遭六氯化二鋁蒸汽侵蝕。流路中的濾器可為美國麻州Billerica的Entegris公司販售的商品Wafergard™和Gasketgard™並且具有金屬過濾元件。
第3圖係適合用於第2圖沉積爐處理系統的汽化器安瓿分離局部透視圖。汽化器安瓿包括容器300,容器具有支架,以促使氣體接觸支架支撐的材料蒸汽。容器具有複數個支架310、320、330、340、350、360,以定義各支撐表面311、321、331、341、351、361。容器具有具表面的底壁301和側壁302,以助於在容器300中界定大致圓柱形內部區域,並且在容器300的頂部或附近具有大致圓形開口。在特定實施例中,大致圓柱形內部區域的內徑例如為約3吋至約6吋。
雖然第3圖所示容器300為一體成型,但容器可由分離件組成。容器提供安瓿使材料汽化,以輸送到處理裝備。
如第3圖所示,支架310設在底表面301上方,以於底表面301上面定義支撐表面311;支架320設在支架310上方,以於支撐表面311上面定義支撐表面321;支架330設在支架320上方,以於支撐表面321上面定義支撐表面331;支架340設在支架330上方,以於支撐表面331上面定義支撐表面341;支架350設在支架340上方,以於支撐表面341上面定義支撐表面351;支架360設在支架350上方,以於支撐表面351上面定義支撐表面361。雖然第3圖繪示使用六個支架310、320、330、340、350、360,但不同汽化器實施例當可採用任何適當數量的支架。
如第3圖所示,大致環狀支撐件304置於容器300內部區域的底表面301,以將支架310支撐在底表面301上。流管305則延伸穿過支架360、350、340、330、320、310在容器300內部區域的大致中心部分的開口而至支架310與底表面301間的位置。
例如,第3圖的汽化器可修改成在流管305末端耦接折流板或擴散板,以助於引導氣體流過底表面301支撐的材料。在從支撐待汽化材料的最低支架或附近引入氣體的實施例中,可利用任何適合結構引導引用氣體流過及/或流經最低支架支撐的材料。
如第3圖所示,容器300具有領圈(collar)環繞容器300頂部的開口,蓋子306設在領圈上面並利用螺栓固定於領圈,例如螺栓307。溝槽選擇性定義於領圈頂部的開口周圍,以助於在容器300與蓋子306間定位O形環308。O形環308可由任何適合材料(例如Teflon®)、任何適合彈性體或任何適合金屬(例如不銹鋼)形成。蓋子306可定義開口穿過蓋子306的大致中心區域,至少部分由流管305定義的通道或入口可由此開口伸進容器300的內部區域。蓋子306固定於容器300的領圈時,蓋子306可壓抵O形環308,以協助蓋子306在領圈上面密封,及壓抵流管305周圍的領圈,以協助蓋子306壓抵支架360、350、340、330、320、310。用於支架360、350、340、330、320、310的O形環則可壓縮以助於使支架360、350、340、330、320、310封抵著彼此及/或抵著流管305。具入口管接頭391的閥門381可耦接至流管305,以助於調節氣體引入容器300。蓋子306亦可定義開口,至少部分由流管定義的通道或出口可由此開口伸進容器300。具出口管接頭392的閥門382可耦接至流管,以助於調節氣體輸出容器。
如第3圖所示,大致圓形熔塊370設在頂上支架360上,以助於在支架360所支撐且引導越過材料的氣流輸送過定義穿過蓋子306的出口前,過濾固體材料。熔塊370可定義大致圓形開口穿過熔塊370的大致中心區域,流管305由此開口伸入。可以任何適合方式及使用任何適合結構,將熔塊370壓在支架360上面,就像蓋子306固定於容器300,以助於熔塊370密封在支架360上面。除了或替代熔塊370,汽化器可包含設於通道或出口以自容器300輸送氣體的熔塊及/或經由一或更多支架310、320、330、340、350、360設在一或更多通路的一或更多熔塊。此外,汽化器的熔塊可塗覆Al2 O3 。同樣地,汽化器的任何其他內部部件可塗覆Al2 O3 ,使汽化器內部容積的所有表面和部件皆塗覆Al2 O3
在第3圖汽化器中,配管395定義且耦接在閥門381、382間的分流通道可用於協助淨化閥門381、382、入口管接頭391及/或出口管接頭392。閥門383選擇性耦接至配管395,以助於調節流體流過分流通道。入口/出口管接頭397選擇性用於協助定義容器300內部區域的附加入口/出口,以助於淨化內部區域。
第4圖係根據本發明另一態樣,可用於過濾元件的多孔金屬熔塊表面以15K放大的顯微照片。
熔塊的高表面積有利於以ALD塗佈,其中金屬前驅物和氧化共反應物依個別自限脈衝抵達表面。為用Al2 O3 塗覆熔塊,可交替脈衝輸送三甲基鋁與水或O3 /O2 混合物。可增加各步驟的脈衝長度,直到塗佈所有表面,以憑經驗決定特定條件。在特定實施例中,100℃至400℃的沉積溫度用於沉積有用膜。
將理解其他鋁源可用於廣泛實踐本發明,例如AlCl3 、其他AlR3 (烷基)化合物,其中R3 係有機基元、或其他揮發性Al化合物。其他氧源亦可偕同鋁源試劑使用來沉積Al2 O3 或相關AlOx 材料,例如N2 O、O2 、醇、過氧化物等,以實踐本發明。
以下實例將更充分顯示本發明的特徵和優點,實例示例說明特性,以助於理解本發明。
實例1
用異丙醇潤濕電拋光361L不銹鋼樣品來清洗表面。二個樣品以原子層沉積(ALD)塗覆Al2 O3 。其一樣品經100次三甲基鋁/淨化/水/淨化的ALD循環,另一樣品經1000次相同ALD製程循環。沉積溫度為150℃。二個樣品不塗佈。在充氮手套箱中,把二塗覆樣品與一未塗覆樣品裝到具固體AlCl3 粉末的玻璃安瓿內,以免水分或氧與樣品或AlCl3 相互作用。接著用PTFE罩密封玻璃安瓿。加熱具AlCl3 與不銹鋼樣品的安瓿達120℃,計10天。10天結束後,使安瓿冷卻及放回手套箱。在此惰性環境中自AlCl3 移除樣品。樣品質量從0.4增值成0.7毫克(mg)(<0.15%)。所有表面看起來和原來一樣。接著以掃描式電子顯微鏡(SEM)檢查三個樣品和未接觸AlCl3 的附加樣品的頂表面,再用聚焦離子束(FIB)橫切截面,以測定表面是否遭侵蝕。
第5圖顯示樣品表面圖像,從圖未看到任何AlCl3 。樣品表面很乾淨,不銹鋼的主要元素為Fe、Cr和Ni。
第6圖顯示接觸AlCl3 的未塗覆樣品。從圖可見樣品上有明顯表面殘留物,不銹鋼的主要組分多了Al與Cl。
第7圖顯示未接觸AlCl3 的樣品截面。從圖清楚可見無表面侵蝕。
第8圖顯示接觸AlCl3 的未塗覆樣品。有一條線比較表面,由此清楚可見在含Al與Cl殘留物的區域底下的表面遭侵蝕0.1至0.2微米。
第9圖顯示接觸AlCl3 且無表面塗層的不同樣品區域。原生氧化物存於未處理不銹鋼表面。在此區域中,清楚可見多個凹坑。
反之,第10圖顯示在120℃下接觸AlCl3 前經100次TMA/H2 O循環塗佈的表面截面。在此例中,仍有含Al與Cl殘留物黏著表面,但不銹鋼表面無任何侵蝕跡象。
同樣地,第11圖顯示在120℃下接觸AlCl3 前經1000次TMA/H2 O循環塗佈的表面截面。在此例中,仍有含Al與Cl殘留物黏著表面,但不銹鋼表面無任何侵蝕跡象。
實例2
在特定經驗評量中,評估在第一試驗中接觸三氯化鋁(AlCl3 )及在第二試驗中接觸五氯化鎢(WCl5 )的氧化鋁塗層功效。
在第一試驗中,電拋光316L不銹鋼樣品試件用470埃(Å)Al2 O3 塗覆或不塗佈。把各類型的一個樣品放到具固體AlCl3 的二容器之一。容器均在N2 充氣、O2 與H2 O量少於0.1 ppm的手套箱內裝填、密封及用氦加壓達3磅/平方吋表壓。外側He測漏測定一容器的漏率為小於1E-6標準立方公分每秒(scc/s),此乃測量鑑別極限,另一容器的漏率為2.5E-6 scc/s。在同一烘箱中加熱容器達155℃,計9天,然後冷卻及將試件移出手套箱。表2列出不同試件的質量變化。
表2  不同試件在155℃下浸泡AlCl3 9天的質量變化。
樣品類型 ID 漏率 最初質量 後質量 變化 變化%
      scc He/s   
塗覆試件 2 2.50E-06 3.3986 3.3967 -0.0019 -0.06%
塗覆試件 3 <1E-6 3.3896 3.3896 0.0000 0.00%
未塗覆試件 12 2.50E-06 3.3913 3.3824 -0.0089 -0.26%
未塗覆試件 13 <1E-6 3.4554 3.4554 0.0000 0.00%
第12圖係表2的樣品試件在155℃下接觸AlCl3 九天後的合成照片,其中各試件以和表2所列一樣的ID編號標示。
從表2可知,只有當容器具可測漏率時才能定量質量變化。在腐蝕接觸下,表2所列樣品質量損失和第12圖的各樣品試件合成照片顯示,在155℃下接觸AlCl3 九天後,塗覆樣品試件2處於實質較未塗覆樣品試件12佳的條件。依XRF測量,Al2 O3 塗層厚度無變化。
在第二試驗中,電拋光316L不銹鋼樣品試件用470 Å厚的Al2 O3 塗層塗覆或不塗佈。把樣品試件放到具固體WCl5 的容器,容器各自維持在165℃、180℃和220℃的溫度條件。所有容器皆在N2 充氣、O2 與H2 O量少於0.1 ppm的手套箱內裝填及密封。接著在烘箱中加熱容器10天,然後冷卻及在手套箱中將樣品試件移出容器。
以X光螢光(XRF)光譜技術進行厚度測量,以估計氧化鋁塗層相差最初測量厚度的塗層厚度變化。表3含有接觸WCl5 前後的XRF測量Al2 O3 厚度,其中二個樣品試件維持在165℃並接觸10天,二個樣品試件維持在180℃並接觸10天,一個樣品試件維持在220℃並接觸10天。清洗過程通常會蝕去約15-30 Å的塗層。
表3  在不同溫度下接觸WCl5 10天前後的XRF測量Al2 O3 膜厚。
T ℃ 最初AlOx厚度;Å 最終AlOx厚度;Å 厚度變化;Å
165 462.4 439.6 -22.8
165 467.5 450.8 -16.7
180 474.8 447.8 -27.0
180 477.5 411.7 -65.8
220 476.1 182.8 -293.4
第13圖係樣品在220℃下接觸WCl5 10天後的由上而下掃描式電子顯微鏡(SEM)顯微照片,第14圖係此樣品的塗層邊緣的聚焦離子束(FIB)截面。
在第二試驗中,由目視、SEM檢查或重量變化結果都未發現塗覆和未塗覆樣品遭腐蝕。然在高溫下會移除大量Al2 O3 塗層。在165℃下的二個樣品的蝕刻量與清洗過程一致。在180℃下的一個樣品損失27 Å的厚度,此與清洗一致,但另一樣品損失約66 Å的厚度,此遠多於清洗所致。在220℃下將移除約60%的塗層,如第13圖所示,氧化鋁塗層在一些區域(亮域部分)遭移除,另一區域則完好無損(暗域部分)。在第14圖中,顯微照片顯示右邊塗層完好無損,塗覆區域邊緣以箭頭指示。
應理解雖然本發明係針對半導體製造裝備說明,但本發明的保護塗層方式也可應用到其他氣體處理設備來製造其他產品,例如平面顯示器、光伏電池、太陽能板等,其中處理設備的表面易遭氣相組分侵蝕,使用時,氣相組分與氧化物反應形成反應產物,以致對裝備製作產品和進行製程不利。
以下提出本發明與薄膜原子層沉積塗層相關的另一態樣。
儘管已描述各種組成和方法,但應理解本發明不限於所述特定分子、組成、設計、方法或規程,因為這些都可予以改變。亦應理解說明書所用術語僅為描述特定方案或實施例,而無意限定本發明的範圍。
亦須注意除非內文清楚指明,否則本文所用單數形式「一」和「該」包括複數意涵。故例如,指稱「一層」係指一或更多層和熟諳此技術者已知均等物等。除非另行定義,否則本文所用技術與科學用語的意義和一般技術人士所理解的一樣。
類似或等同所述方法和材料可用於實踐或測試本發明實施例。在此提及的所有刊物全文以引用方式併入本文中。此不應解釋成承認在此主張的本發明無權憑藉在先發明而早於這些刊物。「選擇性」或「視情況而定」意指隨後描述的事件或狀況可以或可不發生,該描述包括事件或狀況發生的情況和不發生的情況。無論是否清楚指出,所述所有數值可以「約」一詞修飾。「約」通常係指熟諳此技術者認為等同提及數值的數字範圍(即具類似功能或結果)。在一些實施例中,「約」係指提及數值的±10%以內,在其他實施例中,「約」係指提及數值的±2%以內。雖然組成和方法係就「妥協」各種組分與步驟來描述,但此用法應解釋為定義本質限定或限定式構件群組。
在此所用「膜」一詞係指厚度小於1000微米的沉積材料層,例如從該值往下到原子單層厚度值。在不同實施例中,實踐本發明時,沉積材料層的膜厚例如小於100、50、20、10或1微米,或為小於200、100、50、20或10奈米的各種薄膜轄域,此視涉及特定應用而定。在此所用「薄膜」一詞意指厚度小於1微米的材料層。
雖然本發明已以一或更多實施方式揭示如上,但熟諳此技術者在閱讀及理解本說明書後當可作相等更動與潤飾。本發明包括所有潤飾與更動。此外,儘管本發明的特定特徵或態樣只以數種實施方式之一描述,然此特徵或態樣可依所需及有利任何給定或特定應用方式結合其他實施方式的一或更多特徵或態樣。另外,在「包括」、「具備」、「具有」、「帶有」或上述變體字等用語方面,此用語旨在以類似「包含」一詞的方式包括在內。又,「示例性」一詞僅指範例、而非最佳。亦應理解為簡化及便於瞭解,所述特徵結構、層及/或元件為圖示及/或描述成相對彼此具特定尺寸及/或定向,實際尺寸及/或定向實質上可能不同於所示及/或所述者。
故本文在特殊實施方式中提及的各種特徵、態樣和實施例可建構成包含、由或實質由部分或所有特徵、態樣與實施例和該等聚集構成本文其他實施方式的元件與部件組成。在本發明的保護範圍內,本發明涵蓋對特徵、態樣與實施例、或一或更多選定特徵、態樣與實施例作各種變更與組合。另外,本發明涵蓋排除與本發明其他實施例揭示有關的任一或更多特定特徵、態樣或元件定義的實施例。
根據本發明一態樣,提供包含一或更多層的薄膜塗層,其中至少一層係利用原子層沉積來沉積。
根據本發明的態樣,提供以下事項:
-ALD塗層且膜厚大於1 Å,在一些應用中為大於10000 Å。
-ALD塗層,提供非常緻密、無針孔、無缺陷層。
-薄膜塗層,用於許多零件的沉積應用,但不直接用於在Si晶圓上製造實際IC裝置(電晶體)。
-ALD塗層可包含諸如氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氧化鋯(ZrO2 )、氧化鈦(TiO2 )等絕緣金屬氧化物和金屬,例如鉑、鈮或鎳。
-ALD塗層可在RT(室溫)至400℃下沉積。
-ALD塗層可為具定義化學計量的單一膜,例如1微米厚氧化鋁層,或多層,例如(0.25微米氧化鈦+0.5微米氧化鋁+0.25微米氧化鋯),或準多層結構,例如(1原子層氧化鈦+2原子層氧化鋁)×n,其中n係1至10000,或為上述組合物。
-薄膜塗層,其中ALD層結合以不同沉積技術沉積的另一層,例如PE-CVD、PVD、旋塗或溶凝膠沉積、大氣電漿沉積等。
-總膜厚為1微米至100微米。
-整個堆疊的ALD塗層厚度部分為小於或等於2微米,且2微米係在一或更多不同層。
-其他塗佈材料選自由氧化物群組,例如氧化鋁、氮氧化鋁、氧化釔、氧化釔-氧化鋁、氧化矽、氮氧化矽、過渡金屬氧化物、過渡金屬氮氧化物、稀土金屬氧化物、稀土金屬氮氧化物。
-能圖案化ALD塗層。
-方法1:均勻塗佈零件,接著利用遮罩,回蝕無用材料(回蝕可為機械式,例如珠擊、物理(例如電漿離子)或化學(例如電漿或濕蝕刻))。
-方法2:遮蔽無用區域、ALD塗佈,接著移除遮蔽區域。遮罩可為密封片、固定物或光阻(剝離技術)。
-方法3:在具表面終止物的基材上形成圖案,表面終止物可阻斷ALD膜成長。例如,可使用對H2 O和TMA(三甲基鋁)的黏附係數為「零」的表面終止層。在此,表面終止層係自限層,例如自限ALD層。在此,黏附係數為吸附或「黏附」表面的被吸附原子(或分子)數量與相同時間內撞擊表面的物品總數量的比率。
根據本發明的態樣,提供以下應用:
應用:
-無缺陷、無針孔、緻密、電氣絕緣的零件。
-能塗佈具高深寬比特徵結構的零件。實例:(1)具深孔、流道與3維特徵結構的零件,(2)硬體,例如螺栓與螺帽,(3)多孔薄膜、濾器、3維網狀結構,(4)具連接孔基質的結構。
-電氣絕緣層:高介電崩潰強度和高電阻(低漏電)。此可由ALD Al2 O3 達成。使用多層氧化鈦-氧化鋁-氧化鋯(TAZ)可進一步改善電氣絕緣性。有各種多層構造:
X nm TiO2 +Y nm Al2 O3 +Z nm ZrO2
[U nm TiO2 +V nm Al2 O3 +W nm ZrO2 T]×n
X nm TiO2 +[V nm Al2 O3 +W nm ZrO2 T]×m
等;其中X、Y、Z、U、V和W各自為0.02 nm至500 nm,n和m各自為2至2000。
-抗化學與抗蝕刻塗層:ALD層可為氧化鋁、氧化釔、氧化鈰或類似物。整體抗蝕刻塗層包含(1)僅ALD層,(2)結合PVD、CVD和ALD,(3)ALD為披覆層及當作密封層,此將詳述於後,(4)ALD為底層,以提供堅固基礎,及(5)ALD散置在CVD及/或PVD塗層之間。
-ALD塗層可提供抗化學性,以用於如先進電池、氣體濾器、液體濾器、電鍍工具部件、電漿濕潤部件(以防遭氟與其他鹵素侵蝕)等應用。
-ALD塗層可用作耐腐蝕塗層。
-擴散阻障層:緻密、共形、無針孔的ALD層提供極佳的微量金屬擴散阻障特性。
-ALD層可當作底下基材(玻璃、石英、鋁、陽極處理鋁、氧化鋁、不銹鋼、矽、SiOx 、AlON等)與上覆塗層(PVD氧化釔、PVD AlON、PVD Al2 O3 、CVD SiOx、CVD SiOx Ny 、CVD Al2 O3 、CVD AlOx Ny 、DLC、Si、SiC等)間的黏著層。
根據本發明的另一態樣,ALD沉積之表面密封層用於塗層。ALD(原子層沉積)乃成熟的技術,ALD利用二或更多交替前驅物化學吸附而形成非常緻密、近乎完美排列(物理與化學計量)的薄膜。此技術能精確控制膜成長、幾乎100%共形,及在前驅物可抵達的任何表面位置長膜,包括極高深寬比特徵結構。在此方面,ALD沉積之密封塗層可用於下列應用:
(1)披覆及密封現有表面,因而提供該表面/零件增強與優異的性質。
(2)將ALD密封塗層施用於CVD、PVD、噴塗或其他塗層頂部,以密封該塗層的缺陷,例如:
(i)填充塗層表面附近的任何裂痕,因而提供耐腐蝕與蝕刻環境的表面。
(ii)填充及密封任何大孔隙、塗佈缺陷、侵入體等,以提供氣體與液體不滲透且由控制平滑、共形密封層封端的塗佈表層。
(iii)減小表面粗糙度和塗層的總表面積,從而提供平滑緻密表層,以減少腐蝕環境侵蝕。
(iv)利用披覆來提供緻密平滑密封表面,以最小化粒子產生、改善硬度、堅韌度與耐刮性。
在本發明的不同態樣中,ALD密封層可施用於有下列需求的零件和表面:
(a)改善抗蝕刻與腐蝕性,及/或
(b)減少摩擦、磨損及改善機械耐磨性。
同時,ALD密封層亦可當作擴散阻障層,且能控制表面電性和表面終止反應,例如親水性和疏水性。
本發明的另一態樣涉及利用ALD技術加上具抗化學性塗層的纖維金屬隔膜,例如氧化鋁、氧化釔或其他此類塗層。ALD技術容許氣體滲透多孔濾器,並塗覆纖維隔膜,以抵抗腐蝕性氣體。
本發明的此態樣提供運用沉積氣體的技術,此可滲透微米級小開口,並均勻塗覆纖維。
本發明的此態樣已藉由沉積氧化鋁塗層至位於美國麻州Billerica的Entegris公司製作的4微米Ni系氣體濾器上而實證。
本發明的ALD技術提供許多優點,例如:
1)塗層滲透到小特徵結構內,例如濾器的微米級孔隙,以確保完全覆蓋。
2)氣密密封纖維,以保護過濾隔膜。
3)各種不同塗層可由此技術沉積。
本發明亦思忖利用ALD塗層來改善塗覆基材製品或裝備的處理特性。例如,ALD膜可用於對抗退火處理基材製品期間因多層膜製品的各層熱膨脹係數不匹配導致起泡或產生其他不當現象。故ALD膜可用於多層膜結構,以改良材料性質差異,或改善最終產品製品的電、化學、熱和其他性能性質。
本發明更思忖利用ALD塗層來保護流體操縱設備的流體接觸表面,此設備使用時可能有化學品侵蝕的風險。設備例如包括流體儲存及分配包裝件,用以供應氣體至半導體製造工具,其中流體將不當影響流動路徑部件和下游處理裝備。特殊應用流體包括鹵化物氣體,例如氟化物或硼或鍺。在上述和其他應用中,本發明的塗層可用於加強處理裝備、流路和系統部件的性能。
在又一態樣中,本發明係關於複合ALD塗層,包含不同的ALD產物材料層。不同的ALD產物材料可為任何適合類型,例如包含不同金屬氧化物,例如至少二金屬氧化物選自由氧化鈦、氧化鋁、氧化鋯、具式MO之氧化物,其中M係Ca、Mg或Be、具式M’O2 之氧化物,其中M’係化學計量可接受金屬、及具式Ln2 O3 之氧化物所組成的群組,其中Ln係鑭系元素,例如La、Sc或Y。在其他實施例中,複合ALD塗層包括至少一氧化鋁層。在又一些其他實施例中,複合ALD塗層包括至少一層氧化鈦或氧化鋯或其他適合材料。
複合ALD塗層可包含不同金屬做為不同的ALD產物材料,例如至少二金屬選自由鉑、鈮和鎳所組成的群組。任何適合的不同金屬皆可使用。
在其他實施例中,不同的ALD產物材料包含金屬氧化物材料做為複合塗層中第一層的第一ALD產物材料和金屬做為複合塗層中第二層的第二ALD產物材料。金屬氧化物材料例如選自由氧化鋁、氧化鈦和氧化鋯所組成的群組,金屬選自由鉑、鈮和鎳所組成的群組。
上述複合ALD塗層可具有任何適當層數,例如塗層中有2至10000層。
在另一態樣中,本發明係關於複合塗層,包含至少一ALD層和至少一非ALD層的沉積層。複合塗層例如可建構成使至少一非ALD層的沉積層選自由CVD層、PE-CVD層、PVD層、旋塗層、噴塗層、溶凝膠層和大氣電漿沉積層所組成的群組。在不同實施例中,複合塗層中的各層可包含至少一層選自由氧化鋁、氮氧化鋁、氧化釔、氧化釔-氧化鋁、氧化矽、氮氧化矽、過渡金屬氧化物、過渡金屬氮氧化物、稀土金屬氧化物和稀土金屬氮氧化物所組成群組的材料。
本發明更思忖形成圖案化ALD塗層至基材上的方法,包含在具一層表面終止材料的基材上形成圖案,表面終止材料能有效防止ALD膜成長。在特定實施方式中,表面終止材料展現對水和三甲基鋁而言本質為零的黏附係數。在不同實施例中,ALD塗層包含氧化鋁。
本發明更思忖填充及/或密封材料表面弱點的方法,方法包含依能有效填充及/或密封弱點的厚度,施用ALD塗層至材料表面弱點上。弱點可為任何類型,例如選自由裂痕、形貌缺陷、孔隙、針孔、不連續、侵入體、表面粗糙度和表面突點所組成的群組。
本發明的另一態樣係關於濾器,包含纖維及/或粒子基質,纖維及/或粒子由金屬及/或聚合材料形成,其中纖維及/或粒子基質具有ALD塗層於上,其中相較於缺少ALD塗層於上的對應纖維及/或粒子基質,ALD塗層不會使纖維及/或粒子基質的孔隙體積改變超過5%,其中當纖維及/或粒子由金屬形成且ALD塗層包含金屬時,ALD塗層的金屬不同於纖維及/或粒子的金屬。
濾器可由外殼中的纖維及/或粒子基質構成,濾器配置讓流體流過基質而過濾流體。在不同實施例中,ALD塗層可包含適合類型的過渡金屬、金屬氧化物或過渡金屬氧化物。例如,ALD塗層可包含選自由氧化鈦、氧化鋁、氧化鋯、具式MO之氧化物,其中M係Ca、Mg或Be、及具式Ln2 O3 之氧化物所組成群組的金屬氧化物,其中Ln係鑭系元素、La、Sc或Y。在不同實施方式中,ALD塗層包含氧化鋁。濾器的基質可包含鎳纖維及/或粒子、不銹鋼纖維及/或粒子或其他材料纖維及/或粒子,例如聚合材料,例如聚四氟乙烯。在不同實施例中,ALD塗層可包含具任何適當直徑的孔隙。例如,在一些實施例中,孔隙為1 μm至40 μm,在其他實施例中為小於20 μm、小於10 μm、小於5 μm或其他適當數值,在其他實施例中可為1至10 μm、1至20 μm、20至40 μm或其他適當數值範圍。ALD塗層本身可具任何適合厚度,在不同實施例中,厚度為2至500 nm。通常,可依特定最終用途或應用採用任何適合孔徑與厚度特徵。
濾器可具適當滯留率特性。例如,在特定實施例中,就粒子大於3 nm、氣體流率為30標準公升每分鐘氣流或以下而言,濾器的滯留率可以9個對數降減值(標示為9LRV)特性化。本發明的ALD塗覆濾器可用於各種應用,其中濾器期達到高效移除率,例如依多數滲透粒徑、在額定流量下測定,移除率達99.9999999%,即9LRV。評估9LRV定率的測試方法描述於Rubow, K. L與Davis, C. B.之「"Particle Penetration Characteristics of Porous Metal Filter Media For High Purity Gas Filtration", Proceedings of the 37rd Annual Technical Meeting of the Institute of Environmental Sciences, pp. 834-840 (1991)」、Rubow, K. L.、D. S. Prause與M. R. Eisenmann之「"A Low Pressure Drop Sintered Metal Filter for Ultra-High Purity Gas Systems", Proc. of the 43rd Annual Technical Meeting of the Institute of Environmental Sciences (1997)」和半導體設備與材料學會「SEMI」測試方法SEMI F38-0699 "Test Method for Efficiency Qualification of Point-of-Use Gas Filters",上述文獻皆以引用方式併入本文中。
根據本發明以ALD塗覆保護塗層的燒結金屬濾器/擴散板包括描述於美國專利案第5,114,447號、第5,487,771號、第8,932,381號和美國專利申請公開案第2013/0305673號的燒結金屬濾器/擴散板。
根據本發明,塗覆保護塗層的氣體濾器可具各式各樣構造。在特定示例性實施例中,濾器的孔徑可為1至40 μm或1至20 μm或20至40 μm或其他適當數值。氣體濾器可存於不銹鋼與鎳構造中。二者接觸侵蝕性氣體環境時均易遭金屬污染。根據本發明,氣體濾器的過濾基質可利用ALD塗佈技術塗覆具化學惰性又強韌的氧化鋁薄膜。ALD製程可包括任何沉積循環次數,例如100至5000次循環。在特定實施方式中,ALD氧化鋁膜係利用三甲基鋁/H2 O製程及延長等待與淨化時間,在如200℃至300℃的溫度下,例如250℃,每循環沉積0.75 Å至1.25 Å,例如1.1 Å/循環,經50至1500次循環沉積。
在不同實施例中,ALD氧化鋁塗佈製程可施行以在氣體濾器上提供例如15 nm至200 nm的氧化鋁塗層厚度。在其他實施例中,ALD氧化鋁塗層厚度為20 nm至50 nm。
上述依ALD塗佈技術形成的氣體濾器塗層可施行以於氧化鋁膜內提供不同鋁含量。例如,在不同實施例中,膜的鋁含量可為25原子百分比至40原子百分比。在其他實施例中,鋁含量為28原子百分比至35原子百分比。在又一些其他實施例中,ALD塗層的鋁含量為氧化鋁膜的30原子百分比至32原子百分比。
在其他示例性實施例中,氣體濾器包含孔徑2至5 μm的沿線金屬氣體濾器,其中濾器包括鈦過濾基質,其中ALD氧化鋁塗層的厚度為10 nm至40 nm,例如20 nm厚。在又一些其他實施例中,氣體濾器包含孔徑2至5 μm的鎳系氣體過濾基質,其中ALD氧化鋁塗層的厚度為10 nm至40 nm,例如20 nm厚。
本發明的保護塗層亦可用於塗佈化學試劑供應包裝件表面,例如流體儲存及分配器皿、固體試劑汽化器皿等。除了器皿儲存及分配材料,流體儲存及分配器皿還可含有各種儲存介質供儲存材料用,儲存材料由此脫離而自材料供應包裝件的器皿分配。儲存介質可包括物理吸附劑讓流體可逆吸附於上、離子儲存介質供可逆流體儲存等。例如,此類固體輸送包裝件揭示於西元2008年3月6日公開的國際專利公開案WO2008/028170,該案全文內容以引用方式併入本文中,本發明保護塗層可塗覆於內表面。
可採用其他類型的化學試劑供應包裝件,其中供應器皿的內表面塗覆本發明保護塗層,例如用於輸送氣體的內部壓力調節流體供應器皿,例如三氟化硼、四氟化鍺、四氟化矽等氣體和其他氣體,用以製造半導體產品、平面顯示器和太陽能板。
本發明的另一態樣係關於輸送氣態或蒸汽流至半導體處理工具的方法,方法包含提供氣態或蒸汽流從氣態或蒸汽流來源到半導體處理工具的流動路徑,及使氣態或蒸汽流流過流動路徑上的濾器,以自流移除外來固體材料,其中濾器包含所述各種類型的濾器。
在此方法中,氣態或蒸汽流可包含任何適合流體物種,在特定實施例中,流包含六氯化二鋁。可用於流體應用的特定濾器包括包含氧化鋁的ALD塗層,其中基質包含不銹鋼纖維及/或粒子。
在上述方法中,半導體處理工具可為任何適合類型,例如包含氣相沉積爐。
如上所述,濾器在ALD塗層與基質中可不相同。在特定實施例中,濾器包含燒結不銹鋼纖維及/或粒子基質且基質塗覆ALD氧化鋁塗層,其中燒結基質包含直徑為1至40 μm的孔隙,例如1至20 μm、1至10 μm、10至20 μm或其他適當孔徑值範圍,在任一實施例中,ALD塗層的厚度為2至500 nm。
在另一態樣中,本發明係關於ALD的用途,用以控制精濾應用的孔徑,使特地修改濾器超出燒結金屬基質濾器獨自給予的能力。在此方面,控制燒結金屬基質濾器的孔徑變得益發困難,因為目標孔徑將縮減成小於5 μm。根據本發明,ALD塗層可用於有效縮減孔徑並高度控制孔徑和孔徑分佈。雖然ALD沉積塗層實質上可比用於其他應用厚,但ALD可格外控制孔徑和孔徑分佈,同時仍達成抗化學性,例如利用ALD氧化鋁塗層。
故燒結金屬基質材料的ALD塗層可依實質厚度施用於燒結金屬基質結構,塗層厚度量值為能減小塗覆金屬基質結構的孔徑至很小量級,例如至次微米級孔徑。
此方式亦可用於有效製造具孔隙度梯度的濾器,例如從氣體入口面到氣體排放面的孔隙度梯度,其中較大尺寸的孔隙存於氣體入口面,較小尺寸的孔隙存於濾器的氣體排放面,濾器各面間呈孔隙度梯度。利用孔隙度梯度,濾器可用於如擷取濾器入口側的大顆粒和濾器出口側的小顆粒,以完成整體高效過濾動作。
因此,本發明思忖濾器,包含多孔材料基質並塗覆ALD塗層,其中相對於未塗覆ALD塗層的對應多孔材料基質,ALD塗層可使多孔材料基質的平均孔徑減小如5%至95%。
本發明亦思忖濾器,包含多孔材料基質並塗覆ALD塗層,其中塗層厚度方向性改變而於濾器中提供對應孔徑梯度,例如如上述般從濾器的入口相到出口面。
本發明的又一態樣係關於製造多孔濾器的方法,包含用ALD塗層塗覆多孔材料基質,以減小多孔材料基質的平均孔徑。方法可用於使多孔材料基質的平均孔徑依預定減小,及/或方向性改變多孔材料基質中的孔徑梯度。
在上述任一態樣和實施例中,多孔材料基質可包含燒結金屬基質,例如鈦、不銹鋼或其他金屬基質材料。
在另一態樣中,本發明係關於固體汽化設備,包含界定內部容積的器皿,內部容積包括支撐表面讓裡面的固體材料汽化,其中至少一部分的支撐表面具有ALD塗層於上。支撐表面可包含器皿內表面,例如器皿壁面及/或器皿地面或與壁面及/或板面一體成型的延伸表面,使支撐表面包含器皿內表面,及/或支撐表面可包含內部容積中的支撐構件表面,例如交換提供支撐表面給待汽化固體材料。托盤可部分或完全塗覆ALD塗層。在其他實施例中,器皿含有垂直隔開的托盤陣列,各托盤提供固體材料支撐表面。陣列中的托盤可各自塗覆ALD塗層。
器皿可由器皿的內壁表面製成,內壁表面界定內部容積並塗覆ALD塗層。ALD塗層例如包含氧化鋁,例如厚度為2至500 nm。在上述任一實施例中,塗覆ALD塗層的支撐表面可為不銹鋼表面。汽化器皿本身可由不銹鋼形成。汽化設備可以裝有固體狀態提供,器皿支撐表面可含有可汽化固體材料,例如器皿內部容積中的堆疊托盤的支撐表面。可汽化固體材料可為任何適合類型,例如包含用於氣相沉積或離子佈植操作的前驅物材料。可汽化固體材料可包含有機金屬化合物或金屬鹵化物化合物,例如三氟化鋁。應理解施用於器皿支撐表面的ALD塗層特別適合特定可汽化固體材料。亦應理解ALD塗層可施用於器皿內部容積中的所有內表面,包括器皿壁面與地面和供可汽化固體置於器皿內部容積的任何托盤或其他支撐結構存有的表面。
以下內容係針對本發明的各種示例性塗覆基材製品、裝置和設備實例,及舉例說明所述塗佈技術的特定特徵、態樣和特性。
根據本發明,氧化鋁塗層可施用於用於汽化器安瓿的支架表面,例如前述第3圖所示安瓿類型。第15圖係可用於汽化器安瓿的不銹鋼支架透視圖,用以輸送三氯化鋁(AlCl3 )固體前驅物至鋁製程,其中三氯化鋁前驅物由支架支撐並揮發形成三氯化鋁前驅物蒸汽,用以排出汽化器安瓿及輸送過相關流路而至鋁製程。鋁製程例如用於金屬化適合晶圓基材上及/或內的半導體裝置結構。
第16圖係第15圖所示不銹鋼支架類型的透視圖,並利用原子層沉積塗覆氧化鋁塗層於上,使不銹鋼表面在腐蝕環境中被氧化鋁塗層包覆,此涉及當汽化器安瓿使用及操作時,支架將接觸三氯化鋁(AlCl3 )。藉由使用氧化鋁塗層,支架得免遭腐蝕,及實質減少前驅物蒸汽遭金屬污染。除了支架的氧化鋁塗層,也可塗覆汽化器安瓿的整個內表面和安瓿的外表面,以擴大防護腐蝕環境,腐蝕環境源自處理三氯化鋁(AlCl3 )固體前驅物,使之揮發而產生用於鋁製程或其他用途的前驅物蒸汽。
支架及/或其他汽化器安瓿設施表面的氧化鋁塗層可具任何適合厚度,例如厚度可為20 nm至250 nm或以上。在不同實施例中,支架表面的塗層厚度可為50至125 nm。應理解對應氣相沉積操作可進行對應沉積循環次數和沉積時間來施用任何適合厚度的氧化鋁塗層,適合厚度可由經驗方法決定,以提供金屬表面預定的腐蝕防護程度。
第17圖係利用原子層沉積施用氧化鋁塗層至不銹鋼基材的正視示意圖,如上所述,塗層施用於用於汽化器安瓿的固體前驅物支架。氧化鋁塗層提供耐腐蝕性、防止與基材產生化學反應,及減少三氯化鋁前驅物蒸汽產生用汽化器使用時遭金屬污染。
在另一應用中,氧化釔塗層可施用於蝕刻設備或設備部件的表面,例如用於電漿蝕刻裝備的注入噴嘴表面。第18圖圖示電漿蝕刻設備的氧化釔(Y2 O3 )塗覆流道。氧化釔提供抗蝕刻塗層,此適合複雜形狀的表面和零件,例如高深寬比特徵結構。利用原子層沉積來沉積時,氧化釔形成緻密、共形、無針孔、抗蝕刻塗層,且相對於缺少氧化釔塗層的表面,可實質減少粒子脫落與侵蝕。
如第19圖正視圖所示,氧化釔塗層可利用原子層沉積施用於氧化鋁上面。應用到電漿蝕刻裝備和裝備部件時,ALD氧化釔層提供增強的耐腐蝕與抗蝕刻性,以保護底下表面免於有害電漿接觸,例如接觸氯、氟與其他鹵素系電漿。ALD氧化釔層因而可減少不當粒子產生,及增長表面塗覆氧化釔塗層的電漿蝕刻裝備零件使用期限。
在又一應用中,用於蝕刻腔室設備的負載鎖定部件使用時將接觸蝕刻腔室殘留的蝕刻化學品,導致金屬部件嚴重腐蝕。一例為擴散板,此可由不銹鋼或其他金屬或金屬合金構成,並具有由如鎳或其他金屬或金屬合金形成的過濾隔膜。擴散板組件可塗覆氧化鋁塗層,以包覆及保護擴散板和過濾隔膜。藉由完全包覆過濾隔膜,可防止構件腐蝕。
第20圖係擴散板組件照片,包括不銹鋼框架和鎳過濾隔膜,同樣塗覆氧化鋁塗層。第21圖係擴散板組件的正視示意圖,其中不銹鋼框架和鎳隔膜被ALD氧化鋁包覆。ALD塗層提供耐腐蝕與抗蝕刻層,以防護有害化學品,例如溴化氫系化學品、減少粒子及增長組件使用期限。
另一應用係關於半導體處理裝備,裝備將接觸來自ALD處理的氯系前驅物和出自腔室清洗操作的氟系電漿。在此應用中,氧化釔塗層可用於提供良好的抗蝕刻性及塗覆複雜形狀的零件。此應用的一方式為使用物理氣相沉積(PVD)與原子層沉積(ALD)氧化釔組合物,其中ALD用於高深寬比特徵結構和關鍵元件的薄塗層,PVD厚塗層則用於其餘零件。在此應用中,ALD氧化釔層提供耐腐蝕與抗蝕刻性、防護氟系化學品與氟系電漿、減少粒子產生及增長塗覆保護氧化釔塗層的零件使用期限。
又一應用係關於塗佈石英包封結構,例如紫外線(UV)硬化燈具的燈泡,此用於後段(BEOL)和前段(FEOL)UV硬化操作。UV燈具操作時,例如燈泡由石英製成者,在高溫操作期間,例如1000℃,汞將擴散到石英內,汞擴散會造成UV燈具劣化而實質縮短操作使用壽命。為對抗汞遷移到石英包封(燈泡)材料內,氧化鋁及/或氧化釔可塗覆於燈泡的內表面,以提供擴散阻障層而防止汞侵入石英包封材料。
更大體而言,氧化鋁塗層可用於披覆及包覆各種類型的金屬部件、提供耐腐蝕性、防止與基材產生化學反應,及減少金屬污染,如此可相應延長諸如氣體管線、閥門、流管、外殼等部件的操作使用壽命。利用原子層沉積可塗佈零件內表面,包括具複雜內表面幾何形狀的零件,氧化鋁層或其他保護塗層可用於在基材表面上面提供緻密、無針孔、共形保護層。
本發明保護塗層的再一應用為電漿源表面的保護塗層,例如用於半導體製造、平面顯示器製造和太陽能板製造。電漿源可為任何適合類型,例如可產生氨電漿、氫電漿、三氟化氮電漿和其他多種電漿。保護塗層可用於取代電漿濕潤零件的陽極處理表面、增強抗電漿蝕刻性,例如接觸NF3 電漿時大於1000小時,同時能接納氫(H*)與氟(F*)表面復合和高電氣隔絕電壓,例如大於1000 V。
第22圖的正視圖圖示鋁基材、ALD氧化鋁塗層和PVD AlON塗層。氧化鋁和氮氧化鋁塗層的厚度各自可為任何適當厚度。舉例來說,氧化鋁塗層厚度可為0.05至5 μm,PVD塗層厚度可為2至25 μm。在特定實施例中,氧化鋁塗層厚度為1 μm,PVD AlON塗層厚度為10 μm。在此結構中,PVD AlON塗層提供設備抗蝕刻性與電漿表面復合能力,氧化鋁塗層除了提供抗蝕刻性,還提供電氣隔絕塗層。
又一應用係關於用於熱底盤部件的介電堆疊,具有如第23圖所示層結構。如圖所示,氧化鋁基材具有電極金屬(例如鎳)於上,電極金屬上為ALD氧化鋁電氣隔絕層。PVD氮氧化鋁塗層沉積在氧化鋁層上,化學氣相沉積(CVD)沉積之氮氧化矽(SiON)層沉積在AlON層上。在此層結構中,CVD SiON層提供乾淨的通路來接觸表面與電氣間隔物,PVD AlON層提供熱膨脹係數(CTE)緩衝層,ALD氧化鋁層提供電氣隔絕層,鎳提供氧化鋁基材上的電極金屬層。
再一應用係關於電漿活化腔室的電漿活化夾盤部件,其中鋁零件塗覆多層堆疊,包括第24圖及第25圖所示多層堆疊。第24圖的多層堆疊包括化學氣相沉積應用矽層在鋁基材上,ALD氧化鋯層在CVD Si層上。在此多層堆疊中,ALD氧化鋯層用於提供乾淨緻密通路來接觸表面、當作擴散阻障層與電氣隔絕層。CVD矽層在鋁基材上提供乾淨緩衝層。第25圖的多層堆疊包括CVD氮氧化矽層在鋁基材上,ALD氧化鋁層在CVD SiON塗層上,其中ALD氧化鋁層用作電氣隔絕層、擴散阻障層和提供乾淨緻密通路來接觸表面的層。CVD SiON層在多層塗層結構上提供乾淨緩衝層。
本發明塗佈技術的另一應用係關於塗佈多孔基質和濾器製品,其中諸如氧化鋁塗層可利用原子層沉積來沉積,此可個別控制多孔基質或過濾材料的滲透深度和塗層厚度。視製品和特定最終用途而定,可採取部分氧化鋁塗層滲透或完全氧化鋁塗層滲透。
第26圖係壁厚為1.5 mm、孔徑為2-4 μm並利用原子層沉積塗覆氧化鋁的多孔材料顯微照片。第27圖係包覆隔膜的示意圖,包含由不銹鋼、鎳、鈦或其他適合材料形成的隔膜,隔膜被ALD沉積之氧化鋁完全包覆,以提供具耐腐蝕與抗蝕刻性的包覆隔膜、防護化學品侵蝕、減少粒子產生及減少金屬污染。
如所述,利用原子層沉積能個別控制塗層滲透深度和塗層厚度。此有利於控制孔徑和超細隔膜的流量限制,例如標稱孔徑為20 nm至250 nm者,例如標稱孔徑為100 nm。
第28圖係塗覆濾器的顯微照片,其中塗層係氧化鋁,塗層滲透深度為35 μm。第29圖係塗覆濾器的顯微照片,其中塗層係氧化鋁,塗層滲透深度為175 μm。
與前述內容一致,在一態樣中,本發明係關於固體汽化設備,包含界定內部容積於內的容器、配置以將前驅物蒸汽排出容器的出口和在容器內部容積中的支撐結構,支撐結構適於支撐固體前驅物材料於上,以供材料汽化形成前驅物蒸汽,其中固體前驅物材料包含鋁前驅物,其中內部容積的至少一部分表面區域塗覆氧化鋁塗層。在不同固體汽化設備實施例中,表面區域可包含支撐結構的表面區域和內部容積中容器的表面區域的至少一者。在其他實施例中,表面區域包含支撐結構的表面區域和內部容積中容器的表面區域。在又一些其他實施例中,在內部容積中塗覆氧化鋁塗層的表面區域包含不銹鋼。在不同固體汽化設備實施方式中,氧化鋁塗層的厚度可為20至125 nm。在前述任一態樣和實施例中,氧化鋁塗層例如包含ALD氧化鋁塗層。
在另一態樣中,本發明係關於增強不銹鋼結構、材料或設備的耐腐蝕性的方法,不銹鋼結構、材料或設備使用或操作時將接觸鹵化鋁,方法包含用氧化鋁塗層塗覆不銹鋼結構、材料或設備。在此方法中,氧化鋁塗層的厚度例如為20至125 nm。氧化鋁塗層例如利用原子層沉積施用。
在又一態樣中,本發明係關於半導體處理蝕刻結構、部件或設備,結構、部件或設備使用或操作時將接觸蝕刻介質,結構、部件或設備塗覆包含氧化釔層的塗層,其中氧化釔層選擇性覆在塗層中的氧化鋁層上面。蝕刻結構、部件或設備例如包含蝕刻設備注入噴嘴。
本發明的另一態樣係關於增強半導體處理蝕刻結構、部件或設備的耐腐蝕性與抗蝕刻性的方法,結構、部件或設備使用或操作時將接觸蝕刻介質,方法包含用包含氧化釔層的塗層塗覆結構、部件或設備,其中氧化釔層選擇性覆在塗層中的氧化鋁層上面。
本發明的又一態樣係關於蝕刻腔室擴散板,包含鎳隔膜並包覆氧化鋁塗層。在此蝕刻腔室擴散板中,氧化鋁塗層包含ALD氧化鋁塗層。
本發明的再一態樣係關於增強蝕刻腔室擴散板的耐腐蝕性與抗蝕刻性的方法,蝕刻腔室擴散板包含鎳隔膜,方法包含用包覆氧化鋁塗層塗覆鎳隔膜。氧化鋁塗層例如包含ALD塗層。
在另一態樣中,本發明係關於氣相沉積處理結構、部件或設備,結構、部件或設備使用或操作時將接觸鹵化物介質,結構、部件或設備塗覆氧化釔塗層,塗層包含ALD氧化釔塗底層和PVD氧化釔披覆層。在此結構、部件或設備中,塗覆ALD氧化釔塗底層和PVD氧化釔披覆層的表面包含鋁。
本發明的再一態樣係關於增強氣相沉積處理結構、部件或設備的耐腐蝕性與抗蝕刻性的方法,結構、部件或設備使用或操作時將接觸鹵化物介質,方法包含用氧化釔塗層塗覆結構、部件或設備,氧化釔塗層包含ALD氧化釔塗底層和PVD氧化釔披覆層。如上所述,結構、部件或設備可包含塗覆氧化釔塗層的鋁表面。
本發明的另一態樣係關於石英包封結構,具有氧化鋁擴散阻障層塗覆於石英包封結構的內表面。
本發明的對應態樣係關於減少汞擴散至石英包封結構內的方法,石英包封結構操作時易受擴散影響,方法包含用氧化鋁擴散阻障層塗覆石英包封結構的內表面。
在又一態樣中,本發明係關於電漿源結構、部件或設備,結構、部件或設備使用或操作時將接觸電漿和超過1000 V的電壓,其中結構、部件或設備的電漿濕潤表面塗覆ALD氧化鋁塗層,且氧化鋁塗層披覆PVD氮氧化鋁塗層。電漿濕潤表面例如包含鋁或氮氧化鋁。
本發明的再一態樣係關於增強電漿源結構、部件或設備的使用壽命的方法,結構、部件或設備使用或操作時將接觸電漿和超過1000 V的電壓,方法包含用ALD氧化鋁塗層塗覆結構、部件或設備的電漿濕潤表面,及用PVD氮氧化鋁塗層披覆氧化鋁塗層。如上所述,電漿濕潤表面可包含鋁或氮氧化鋁。
本發明的附加態樣係關於介電堆疊,包含連續層,包括氧化鋁基層、鎳電極層於氧化鋁基層上、ALD氧化鋁電氣隔絕層於鎳電極層上、PVD氮氧化鋁熱膨脹緩衝層於ALD氧化鋁電氣隔絕層上和CVD氮氧化矽晶圓接觸表面與電氣間隔層於PVD氮氧化鋁熱膨脹緩衝層上。
在本發明的另一態樣中,思忖電漿活化結構、部件或設備,結構、部件或設備包含鋁表面,且鋁表面塗覆(i)和(ii)多層塗層之一:(i)CVD矽塗底層於鋁表面上和ALD氧化鋯層於CVD矽塗底層上;及(ii)CVD氮氧化矽塗底層於鋁表面上和ALD氧化鋁層於CVD氮氧化矽塗底層上。
對應方法思忖針對電漿活化結構、部件或設備的鋁表面減少粒子形成與金屬污染的方法,方法包含用(i)和(ii)多層塗層之一塗覆鋁表面:(i)CVD矽塗底層於鋁表面上和ALD氧化鋯層於CVD矽塗底層上;及(ii)CVD氮氧化矽塗底層於鋁表面上和ALD氧化鋁層於CVD氮氧化矽塗底層上。
在另一態樣中,本發明思忖多孔基質濾器,包含由不銹鋼、鎳或鈦形成的隔膜,其中隔膜被氧化鋁包覆達20至2000 μm的塗層滲透深度。更特定言之,在不同實施例中,孔隙度的標稱孔徑可為10至1000 nm。
本發明的又一態樣係關於製作多孔基質濾器的方法,包含用氧化鋁包覆由不銹鋼、鎳或鈦形成的隔膜達20至2000 μm的塗層滲透深度。在一特定方法實施例中,包覆包含原子層沉積氧化鋁,且方法施行以於多孔基質濾器提供標稱孔徑為10至1000 nm的孔隙度。
雖然本發明已以特定態樣、特徵和示例性實施例揭示如上,然應理解本發明的應用不限於此,而是擴及涵蓋本發明領域的一般技術人士依據所述內容所推衍的眾多其他變化、修改和替代實施例。同樣地,後附申請專利範圍主張的本發明擬廣泛推斷及解釋成包括落在本發明精神與範圍內的所有變化、修改和替代實施例。
100:處理工具 102:沉積爐 104:內部容積 106:外容積 108:內容積 110:襯層 112:載具 114:晶圓 116,120:氣源 118,122:供給管線 124:排放管線 126:減量單元 128:通氣管線 300:容器 301:底表面 302:側壁 304:支撐件 305:流管 306:蓋子 307:螺桿 308:O形環 310,320,330,340,350,360:支架 311,321,331,341,351,361:支撐表面 370:熔塊 381,382,383:閥門 391,392,397:管接頭 395:配管
第1圖係根據本發明一態樣,半導體晶圓處理工具的沉積爐示意圖。
第2圖係根據本發明另一態樣的沉積爐處理系統示意圖,系統使用Al2 Cl6 蒸汽塗佈晶圓,及利用安瓿型固體源輸送汽化器使AlCl3 汽化形成Al2 Cl6 蒸汽,其中托盤和安瓿內表面塗覆Al2 O3 ,且安瓿下游所有閥門、配管和濾器皆塗覆Al2 O3
第3圖係汽化器容器的分離局部透視圖,容器具有支架,以促使氣體接觸支架支撐的材料蒸汽。
第4圖係根據本發明另一態樣,可用於過濾元件的多孔金屬熔塊表面以15K放大的顯微照片。
第5圖係未接觸AlCl3 的電拋光316L不銹鋼表面以20000倍放大的顯微照片。
第6圖係電拋光316L不銹鋼表面在120℃、無水環境下接觸AlCl3 10天後以1000倍放大的顯微照片。
第7圖係未接觸AlCl3 的電拋光316L不銹鋼截面以50000倍放大的顯微照片。
第8圖係未塗覆316L不銹鋼在120℃、無水環境下接觸AlCl3 10天後以20000倍放大的顯微照片。
第9圖係電拋光316L不銹鋼在120℃、無水環境下接觸AlCl3 10天後以35000倍放大的顯微照片,照片顯示多個凹坑沿著表面。
第10圖係在120℃下接觸無水AlCl3 10天前,使用三甲基鋁與水進行100次ALD Al2 O3 循環塗佈的電拋光316L不銹鋼以35000倍放大的顯微照片。
第11圖係在120℃下接觸無水AlCl3 10天前,使用三甲基鋁與水進行1000次ALD Al2 O3 循環塗佈的電拋光316L不銹鋼以35000倍放大的顯微照片。
第12圖係不銹鋼樣品試件在155℃下接觸AlCl3 九天後拍照的合成照片,其中樣品試件2、3用470 Å厚氧化鋁塗層塗覆,樣品試件12、13未塗覆。
第13圖係氧化鋁塗覆不銹鋼樣品在220℃下接觸WCl5 10天後的由上而下掃描式電子顯微鏡(SEM)顯微照片。
第14圖係第13圖樣品的塗層邊緣在220℃下接觸WCl5 10天後的聚焦離子束(FIB)截面。
第15圖係可用於汽化器安瓿的不銹鋼支架透視圖,用以輸送三氯化鋁(AlCl3 )固體前驅物至鋁製程,其中三氯化鋁前驅物由支架支撐並揮發形成三氯化鋁前驅物蒸汽,用以排出汽化器安瓿及輸送過相關流路而至鋁製程。
第16圖係第15圖所示不銹鋼支架類型的透視圖,並利用原子層沉積塗覆氧化鋁塗層於上,使不銹鋼表面在腐蝕環境中被氧化鋁塗層包覆,此涉及當汽化器安瓿使用及操作時,支架將接觸三氯化鋁(AlCl3 )。
第17圖係利用原子層沉積施用氧化鋁塗層至不銹鋼基材的正視示意圖,藉以提供耐腐蝕性、防止與基材產生化學反應,及減少使用時遭金屬污染。
第18圖圖示電漿蝕刻設備的氧化釔(Y2 O3 )塗覆流道。第19圖係利用原子層沉積施用氧化釔塗層至氧化鋁上面的正視示意圖。
第20圖係擴散板組件照片,包括不銹鋼框架和鎳過濾隔膜,同樣塗覆氧化鋁塗層。
第21圖係擴散板組件的正視示意圖,其中不銹鋼框架和鎳隔膜被ALD氧化鋁包覆。
第22圖係塗層結構的正視示意圖,包括鋁基材、ALD氧化鋁塗層和PVD氮氧化鋁(AlON)塗層。
第23圖係可用於熱底盤部件的介電堆疊層結構正視示意圖,其中氧化鋁基材具有電極金屬於上,ALD氧化鋁電氣隔絕層在電極金屬上,PVD氮氧化鋁塗層在ALD氧化鋁電氣隔絕層上,化學氣相沉積(CVD)沉積之氮氧化矽(SiON)層在PVD氮氧化鋁塗層上。
第24圖係多層堆疊的正視示意圖,包括化學氣相沉積應用矽層在鋁基材上,ALD氧化鋯層在CVD Si層上。
第25圖係多層堆疊的正視示意圖,包括CVD氮氧化矽層在鋁基材上,ALD氧化鋁層在CVD SiON塗層上。
第26圖係壁厚為1.5毫米(mm)、孔徑為2-4 μm並利用原子層沉積塗覆氧化鋁的多孔材料顯微照片。
第27圖係包覆隔膜的示意圖,包含由不銹鋼、鎳、鈦或其他適合材料形成的隔膜,隔膜被ALD沉積之氧化鋁完全包覆。
第28圖係塗覆濾器的顯微照片,其中塗層係氧化鋁,塗層滲透深度為35 μm。
第29圖係塗覆濾器的顯微照片,其中塗層係氧化鋁,塗層滲透深度為175 μm。
100:處理工具
102:沉積爐
104:內部容積
106:外容積
108:內容積
110:襯層
112:載具
114:晶圓
116,120:氣源
118,122:供給管線
124:排放管線
126:減量單元
128:通氣管線

Claims (13)

  1. 一種包含金屬隔膜之多孔基質濾器,其中該金屬隔膜被具有20至2000μm的滲透深度之金屬氧化物塗層包覆。
  2. 如請求項1之多孔基質濾器,其中該金屬隔膜由不銹鋼、鎳或鈦所形成。
  3. 如請求項1之多孔基質濾器,其中該金屬隔膜係不銹鋼纖維、粒子或兩者之燒結基質。
  4. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層包含選自下述所構成群組之金屬氧化物:氧化鈦、氧化鋁及氧化鋯。
  5. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層包含選自由式MO之氧化物所構成群組之金屬氧化物,其中M係Ca、Mg或Be。
  6. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層包含選自由式M’O2之氧化物所構成群組之金屬氧化物,其中M’係化學計量可接受金屬。
  7. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層包含選自由式Ln2O3之氧化物所構成群組之金屬氧化物,其中Ln係鑭系元素、Sc或Y。
  8. 如請求項7之多孔基質濾器,其中Ln係La、Sc或Y。
  9. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層係氧化鋁塗層。
  10. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層係為具有厚度為2至500奈米之ALD塗層。
  11. 如請求項1之多孔基質濾器,其中該金屬氧化物塗層係具有方向性變化之厚度而於該多孔基質濾器中提供對應孔徑梯度之ALD塗層。
  12. 一種製作多孔基質濾器之方法,其包含藉由ALD用金屬氧化物塗層包覆金屬隔膜達20至2000μm的滲透深度。
  13. 如請求項12之方法,其中該塗層具有方向性變化之厚度而於該多孔基質濾器中提供對應孔徑梯度。
TW109119338A 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層 TWI758744B (zh)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201562116181P 2015-02-13 2015-02-13
US62/116,181 2015-02-13
US201562167890P 2015-05-28 2015-05-28
US62/167,890 2015-05-28
US201562188333P 2015-07-02 2015-07-02
US62/188,333 2015-07-02
US201562221594P 2015-09-21 2015-09-21
US62/221,594 2015-09-21
WOPCT/US16/17910 2016-02-13
PCT/US2016/017910 WO2016131024A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus

Publications (2)

Publication Number Publication Date
TW202035129A TW202035129A (zh) 2020-10-01
TWI758744B true TWI758744B (zh) 2022-03-21

Family

ID=56615146

Family Applications (4)

Application Number Title Priority Date Filing Date
TW105104340A TWI710471B (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層
TW109119338A TWI758744B (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層
TW108132575A TWI717012B (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層
TW111104567A TW202218871A (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105104340A TWI710471B (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW108132575A TWI717012B (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層
TW111104567A TW202218871A (zh) 2015-02-13 2016-02-15 用於增強基材製品及設備之性質與表現的塗層

Country Status (8)

Country Link
US (3) US20180044800A1 (zh)
EP (3) EP3460093A3 (zh)
JP (9) JP2018506859A (zh)
KR (9) KR20190126202A (zh)
CN (5) CN111593324A (zh)
SG (2) SG10201807630PA (zh)
TW (4) TWI710471B (zh)
WO (1) WO2016131024A1 (zh)

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20190126202A (ko) * 2015-02-13 2019-11-08 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6662520B2 (ja) * 2015-10-02 2020-03-11 国立大学法人山形大学 内面コーティング方法及び装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20160046408A1 (en) * 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10961620B2 (en) * 2016-03-04 2021-03-30 Beneq Oy Plasma etch-resistant film and a method for its fabrication
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102017113515B4 (de) * 2017-06-20 2019-01-24 Infineon Technologies Ag Verfahren zum Bilden eines elektrisch leitfähigen Kontakts und elektronische Vorrichtung
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102005565B1 (ko) * 2017-11-03 2019-07-30 한국원자력연구원 연마광택기 및 이의 제조방법
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20230023820A (ko) 2017-12-18 2023-02-17 엔테그리스, 아이엔씨. 원자 층 증착에 의해 도포되는 내화학약품성 다층 코팅
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11486042B2 (en) * 2018-01-18 2022-11-01 Viavi Solutions Inc. Silicon coating on hard shields
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
JP2021138972A (ja) * 2018-05-09 2021-09-16 株式会社高純度化学研究所 蒸発原料用容器
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7161192B2 (ja) * 2018-12-17 2022-10-26 国立大学法人山形大学 積層コーティング層、積層コーティング層を形成する方法及び積層構造の判定方法
TWI819180B (zh) * 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020175104A1 (ja) 2019-02-28 2020-09-03 ソニー株式会社 コンタクトレンズ、一組のコンタクトレンズおよび装着装置
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11371137B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools
US11371145B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within a housing, apparatus, or tool using a coating system positioned therein
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11821087B2 (en) 2019-04-26 2023-11-21 Entegris, Inc. Vaporization vessel and method
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021030557A1 (en) * 2019-08-13 2021-02-18 Pt Creations Synthetic diamond jewelry and fabrication method thereof
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023504743A (ja) * 2019-12-09 2023-02-06 インテグリス・インコーポレーテッド 複数の障壁材料から作製される拡散障壁、並びに関連する物品及び方法
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11788189B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing pressurized cells
US11788187B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing counter current flow of reactants
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11729909B2 (en) 2021-07-20 2023-08-15 Honeywell Federal Manufacturing & Technologies, Llc Multi-layered diamond-like carbon coating for electronic components
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961896B2 (en) 2021-09-14 2024-04-16 Honeywell Federal Manufacturing & Technologies, Llc Diamond-like carbon coating for passive and active electronics
FR3128708B1 (fr) * 2021-10-28 2024-04-05 Safran Ceram Procédé de transfert d'au moins un oxyde métallique sur et dans une préforme fibreuse en carbone
US20230287564A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor
US20230323531A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Coating interior surfaces of complex bodies by atomic layer deposition
US20230420259A1 (en) * 2022-06-09 2023-12-28 Applied Materials, Inc. Selective etch of a substrate
JP2024063360A (ja) * 2022-10-26 2024-05-13 東京エレクトロン株式会社 ガス流路を有する部材の製造方法、およびガス流路を有する部材
CN116354740B (zh) * 2023-03-30 2024-02-06 中国科学院上海硅酸盐研究所 一种氧化铝陶瓷-钢材复合结构及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080254312A1 (en) * 2005-10-11 2008-10-16 Nv Bekaert Sa Coated Porous Metal Medium

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680085A (en) * 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
JPH0818883B2 (ja) * 1986-05-16 1996-02-28 エヌオーケー株式会社 多孔質フィルタの製造法
US4877480A (en) * 1986-08-08 1989-10-31 Digital Equipment Corporation Lithographic technique using laser for fabrication of electronic components and the like
US4888114A (en) * 1989-02-10 1989-12-19 E. I. Du Pont De Nemours And Company Sintered coating for porous metallic filter surfaces
US5114447A (en) 1991-03-12 1992-05-19 Mott Metallurgical Corporation Ultra-high efficiency porous metal filter
EP0627256B1 (en) 1993-06-04 1996-12-04 Millipore Corporation High-efficiency metal filter element and process for the manufacture thereof
JP3600321B2 (ja) * 1995-08-25 2004-12-15 日本精線株式会社 高純度ガス用の精密フィルター及びその製造方法
JP3677329B2 (ja) * 1995-09-25 2005-07-27 新東工業株式会社 排ガス中の炭素系微粒子処理用フィルタ及びこれを用いた炭素系微粒子処理装置
JPH10272317A (ja) * 1997-03-31 1998-10-13 Kubota Corp 耐高温腐食性にすぐれた多孔質材及び高温排ガス用フィルタ
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JPH1121601A (ja) * 1997-07-07 1999-01-26 Kubota Corp 複層多孔質体およびその製造方法
JPH11104421A (ja) * 1997-10-02 1999-04-20 Toray Ind Inc 板状濾材
JPH11222679A (ja) * 1998-02-04 1999-08-17 Hitachi Ltd Cvd装置および半導体装置の製造方法
JP2000042320A (ja) * 1998-07-27 2000-02-15 Suzuki Sogyo Co Ltd 機能性フィルター
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6432308B1 (en) * 2000-09-25 2002-08-13 Graver Technologies, Inc. Filter element with porous nickel-based alloy substrate and metal oxide membrane
JP4435111B2 (ja) * 2001-01-11 2010-03-17 株式会社日立国際電気 Ald装置および半導体装置の製造方法
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
KR100471408B1 (ko) * 2003-06-30 2005-03-14 주식회사 하이닉스반도체 반도체 소자의 금속선 패터닝 방법
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
JP4865214B2 (ja) * 2004-12-20 2012-02-01 東京エレクトロン株式会社 成膜方法および記憶媒体
GB2423634A (en) * 2005-02-25 2006-08-30 Seiko Epson Corp A patterning method for manufacturing high resolution structures
US7666494B2 (en) * 2005-05-04 2010-02-23 3M Innovative Properties Company Microporous article having metallic nanoparticle coating
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100771546B1 (ko) * 2006-06-29 2007-10-31 주식회사 하이닉스반도체 메모리 소자의 커패시터 및 형성 방법
US8436519B2 (en) * 2006-07-25 2013-05-07 David W. Cunningham Incandescent lamp incorporating infrared-reflective coating system, and lighting fixture incorporating such a lamp
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
WO2008034190A1 (en) * 2006-09-21 2008-03-27 Queensland University Of Technology Metal oxide nanofibre filter
JP2008120654A (ja) * 2006-11-15 2008-05-29 Nihon Ceratec Co Ltd セラミックコーティング部材およびその製造方法
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7782569B2 (en) * 2007-01-18 2010-08-24 Sae Magnetics (Hk) Ltd. Magnetic recording head and media comprising aluminum oxynitride underlayer and a diamond-like carbon overcoat
JP5412294B2 (ja) * 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20090041986A1 (en) * 2007-06-21 2009-02-12 3M Innovative Properties Company Method of making hierarchical articles
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090159005A1 (en) * 2007-12-21 2009-06-25 Epicrew Corporation Coatings for semiconductor processing equipment
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
EP2396817A4 (en) * 2009-02-12 2014-08-20 Laor Consulting Llc SINTERED NANOPORENE ELECTROCONDENSOR, ELECTROCHEMICAL CAPACITOR AND BATTERY THEREFOR, AND METHOD OF MANUFACTURING THEREOF
EP2442898B1 (en) 2009-06-18 2019-01-02 Entegris, Inc. Sintered porous material comprising particles of different average sizes
WO2011037798A1 (en) * 2009-09-22 2011-03-31 3M Innovative Properties Company Method of applying atomic layer deposition coatings onto porous non-ceramic substrates
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP2012011081A (ja) * 2010-07-02 2012-01-19 Daito Giken:Kk 遊技台
WO2012057987A2 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Deposition ring and electrostatic chuck for physical vapor deposition chamber
SG192249A1 (en) 2011-02-04 2013-09-30 Entegris Inc Porous metal body of sintered metal powders and metal fibers
WO2012138671A2 (en) * 2011-04-04 2012-10-11 The Regents Of The University Of Colorado Highly porous ceramic material and method of use and forming same
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
EP2763782A4 (en) * 2011-10-06 2015-08-05 Basf Corp METHODS OF APPLYING SORBENT COATING TO SUBSTRATE, SUPPORT AND / OR SUBSTRATE COATED WITH SUPPORT
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US20140287230A1 (en) * 2011-11-10 2014-09-25 Research Triangle Institute Nanostructured polymer-inorganic fiber media
US9089800B2 (en) * 2012-02-03 2015-07-28 Msp Corporation Method and apparatus for vapor and gas filtration
PL2628822T3 (pl) * 2012-02-15 2016-04-29 Picosun Oy Elementy łożysk i łożyska izolowane elektrycznie
CN104272440B (zh) * 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
FI20125988A (fi) * 2012-09-24 2014-03-25 Optitune Oy Menetelmä n-tyypin piisubstraatin modifioimiseksi
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8921236B1 (en) * 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
KR20190126202A (ko) * 2015-02-13 2019-11-08 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
JP6832772B2 (ja) * 2017-03-29 2021-02-24 株式会社クボタ 植播系作業機
JP7011983B2 (ja) * 2018-07-11 2022-01-27 日立Astemo株式会社 演算システム、演算装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080254312A1 (en) * 2005-10-11 2008-10-16 Nv Bekaert Sa Coated Porous Metal Medium

Also Published As

Publication number Publication date
TW202003230A (zh) 2020-01-16
CN109023303A (zh) 2018-12-18
US20190100842A1 (en) 2019-04-04
JP2018506859A (ja) 2018-03-08
CN113930746A (zh) 2022-01-14
EP3257070A1 (en) 2017-12-20
JP2022084687A (ja) 2022-06-07
TWI710471B (zh) 2020-11-21
EP3460093A3 (en) 2019-06-19
EP3460093A2 (en) 2019-03-27
TWI717012B (zh) 2021-01-21
SG11201706564UA (en) 2017-09-28
JP2024023231A (ja) 2024-02-21
JP2019035150A (ja) 2019-03-07
TW202218871A (zh) 2022-05-16
TW201634265A (zh) 2016-10-01
JP2020080408A (ja) 2020-05-28
EP3739079A1 (en) 2020-11-18
JP6909883B2 (ja) 2021-07-28
CN111519166A (zh) 2020-08-11
CN107615462A (zh) 2018-01-19
JP2023011660A (ja) 2023-01-24
US20180044800A1 (en) 2018-02-15
KR20210111885A (ko) 2021-09-13
TW202035129A (zh) 2020-10-01
WO2016131024A1 (en) 2016-08-18
KR20210099193A (ko) 2021-08-11
KR20180100734A (ko) 2018-09-11
KR20210083394A (ko) 2021-07-06
JP2020168625A (ja) 2020-10-15
EP3257070A4 (en) 2018-10-17
JP2020128594A (ja) 2020-08-27
US20200378011A1 (en) 2020-12-03
CN111593324A (zh) 2020-08-28
WO2016131024A9 (en) 2017-07-13
KR20190126202A (ko) 2019-11-08
KR20200080342A (ko) 2020-07-06
KR20220113847A (ko) 2022-08-16
SG10201807630PA (en) 2018-10-30
KR20170117490A (ko) 2017-10-23
KR20200103890A (ko) 2020-09-02
JP2021181622A (ja) 2021-11-25

Similar Documents

Publication Publication Date Title
TWI758744B (zh) 用於增強基材製品及設備之性質與表現的塗層
KR102481924B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
JP6425850B1 (ja) 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
WO2019112962A1 (en) Anti-wetting coating
KR20220084395A (ko) 원자층 증착에 의해 증착된 하프늄 알루미늄 산화물 코팅들
TW202307253A (zh) 抗電漿塗層、相關的製備方法和用途