KR20200080342A - 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅 - Google Patents

기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅 Download PDF

Info

Publication number
KR20200080342A
KR20200080342A KR1020207018617A KR20207018617A KR20200080342A KR 20200080342 A KR20200080342 A KR 20200080342A KR 1020207018617 A KR1020207018617 A KR 1020207018617A KR 20207018617 A KR20207018617 A KR 20207018617A KR 20200080342 A KR20200080342 A KR 20200080342A
Authority
KR
South Korea
Prior art keywords
coating
ald
metal
alumina
layer
Prior art date
Application number
KR1020207018617A
Other languages
English (en)
Inventor
브라이언 씨 헨드릭스
데이비드 더블유 피터스
웨이민 리
카를로 월드프라이드
리차드 에이 쿡
닐레쉬 군다
이-콴 린
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Priority to KR1020217024498A priority Critical patent/KR20210099193A/ko
Publication of KR20200080342A publication Critical patent/KR20200080342A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/404Oxides of alkaline earth metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/04Additives and treatments of the filtering material
    • B01D2239/0471Surface coating material
    • B01D2239/0478Surface coating material on a layer of the filter
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2239/00Aspects relating to filtering material for liquid or gaseous fluids
    • B01D2239/12Special parameters characterising the filtering material
    • B01D2239/1216Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/20Other self-supporting filtering material ; Other filtering material of inorganic material, e.g. asbestos paper, metallic filtering material of non-woven wires
    • B01D39/2027Metallic material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)
  • Laminated Bodies (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Filtering Materials (AREA)

Abstract

본원에는 다양한 기판 제품, 구조체, 물질 및 설비에 도포될 수 있는 코팅이 기재된다. 다양한 용도에서, 기판은, 금속의 산화물, 질화물, 플루오르화물 또는 염화물이 형성되기 쉬운 금속 표면을 포함하고, 이 때 상기 금속 표면은, 사용시 이 금속 표면과 반응성이어서 기판 제품, 구조체, 물질 또는 설비에 유해한 반응 생성물을 생성시키는 기체, 고체 또는 액체와 접촉하도록 구성되어 있다. 상기 금속 표면은, 코팅된 표면과 반응성 기체와의 반응을 방지하고/하거나 기판 제품 또는 설비의 전기적, 화학적, 열적 또는 구조적 특성을 달리 개선하는 보호 코팅으로 코팅된다. 금속 표면을 코팅하는 다양한 방법 및 사용되는 코팅 물질을 선택하는 방법이 기재된다.

Description

기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅{COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATE ARTICLES AND APPARATUS}
본원은 일반적으로 예컨대 바람직하지 못한 산화물, 질화물, 플루오르화물, 염화물, 또는 다른 할로겐화물 오염물질이 형성되기 쉬운 표면을 갖는 구조체 및 장치와 관련하여 다양한 기판 제품 및 설비에 도포될 수 있는 코팅에 관한 것이다. 구체적인 양태에서, 본원은 반도체 제조 설비 및 그의 성능을 향상시키는 방법, 더욱 구체적으로는 반도체 제조 설비에서 육염화이알루미늄 증기의 존재와 관련하여 오염 및 입자 침착이 일어나기 쉬운 반도체 제조 설비, 및 이러한 불리한 오염 및 입자 침착에 대항하는 조성물 및 방법에 관한 것이다.
관련 출원에 대한 교차 참조
본원은 하기 U.S. 특허 가출원에 대해 미국 특허법 제119조 하에서의 우선권을 주장한다: 왈드프리드(Carlo Waldfried) 등의 명의로 2015년 2월 13일자로 출원된 U.S. 특허 가출원 제 62/116,181 호("THIN FILM ATOMIC LAYER DEPOSITION COATINGS"); 헨드릭스(Bryan C. Hendrix) 등의 명의로 2015년 5월 28일자로 출원된 U.S. 특허 가출원 제 62/167,890 호("COATINGS TO PREVENT TRANSPORT OF TRACE METALS BY AL2CL6 VAPOR"); 헨드릭스 등의 명의로 2015년 7월 2일자로 출원된 U.S. 특허 가출원 제 62/188,333 호("COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATE ARTICLES AND APPARATUS"); 및 헨드릭스 등의 명의로 2015년 9월 21일자로 출원된 U.S. 특허 가출원 제 62/221,594 호("COATINGS FOR ENHANCEMENT OF PROPERTIES AND PERFORMANCE OF SUBSTRATE ARTICLES AND APPARATUS"). 이러한 U.S. 특허 가출원 제 61/116,181 호, 제 62/167,890 호, 제 62/188,333 호, 및 제 62/221,594 호의 개시내용은 각각 본원에 참고로 인용된다.
다수의 분야에서, 바람직하지 못한 산화물, 질화물 및 할로겐화물(예컨대, 플루오르화물 및/또는 염화물) 오염물질이 형성되기 쉬운 알루미늄, 애노드화된 알루미늄, 석영, 스테인레스 강 등의 표면과 같은, 오염물질(이는 관련 제품, 설비 또는 물질의 사용, 효용 또는 기능을 방해함)이 형성되기 쉬운 표면을 포함하는 구조체, 물질 및 장치를 만나게 된다.
반도체 제조 분야에서는, 알루미늄 및 알루미늄-함유 물질이 폭넓게 사용된다. 금속화 물질로서의 알루미늄은 나노규모의 집적 회로 용도에서 구리에 의해 상당히 대체되었지만, 그럼에도 불구하고 알루미늄은 여전히 와이어 결합 및 연결 물질로서 널리 사용될 뿐만 아니라, 박막 물질, 예컨대 차단층으로서의 AlN 박막, 압전 장치 구성요소, 저온 캐쏘드 물질 등에, 또한 LED 및 다른 광전자 장치, 또는 유전체, 유전성 도판트, 차단층, 광학 코팅 등으로서의 Al2O3 층 같은 용도를 위해 화합물 반도체 조성물에 사용되고 있다.
이러한 용도중 다수에서, 할로겐 기체는 장치 제조 작업에서 필름을 가공하기 위한 반도체 제조 설비에, 또는 반도체 제조 설비의 표면 및 구성요소 상에 축적된 오염물질 침착물의 제거를 위한 동시 유동(co-flow) 세정제로서 사용된다. 이들 할로겐 기체는 클로로 물질을 포함할 수 있으며, 이 물질은 설비, 예컨대 웨이퍼, 또는 설비의 표면 또는 구성요소에 존재하는 알루미늄과 접촉할 때 반응에 의해 육염화이알루미늄(Al2Cl6) 증기를 형성할 수 있다. 이러한 육염화이알루미늄 증기는 다시 반도체 제조 설비의 스테인레스 강 표면 및 구성요소를 공격하고 크롬, 철 및 니켈 같은 금속의 측정가능한 양을 가공되는 웨이퍼로 수송하는 역할을 할 수 있다.
다른 부류의 용도에서는 알루미늄 함유 필름을 침착시키는데 Al2Cl6 증기를 사용한다. 공급 시약으로서 트리메틸 알루미늄을 사용하여 ALD에 의해 Al2O3를 광범위하게 침착시키지만, 그럼에도 불구하고 트리메틸 알루미늄은 상당한 안전 및 규제 비용이 들어가는 자연 발화성 액체이다. Al2Cl6 증기는 미국 메사추세츠주에 소재하는 엔테그리스, 인코포레이티드(Entegris, Inc.)에서 상표명 ProE-Vap로 시판하고 있는 유형의 고체 기화기 같은 고체 기화기에서 고체 AlCl3 위에서 용이하게 생성될 수 있다.
반도체 및 제조 설비의 스테인레스 강 구성요소는 316 스테인레스 강 또는 일반적으로 전해 연마되는 다른 스테인레스 강 합금으로 제조될 수 있다. 이러한 전해 연마는 통상적으로 크롬, 철, 니켈 및 다른 합금 성분을 함유하는 부동 산화물의 층으로 코팅된 표면을 남긴다. 또한, 이러한 금속 성분은 자연적인 산화 공정에 의해 상응하는 산화물의 표면 흔적을 형성시킬 수 있다. 그 결과, 육염화이알루미늄이 이러한 금속 산화물과 만나는 경우, 금속 산화물은 육염화이알루미늄과 반응하여, 웨이퍼 및 반도체 장치 또는 장치 전구체 구조체로 수송될 수 있고 미량 금속을 침착시키거나 또는 설비에서 제조되는 제품을 달리 손상시킬 수 있는 상응하는 증기상 메탈로알루미늄 염화물 화합물을 형성시킨다. 다르게는, 금속 산화물은 Al2Cl6 증기와 반응하여, Al2O3, 및 장치 구조체로 수송되고 손상을 야기할 수 있는 미립자 금속 염화물을 형성시킬 수 있다. 또한, AlCl3 고체는 금속 산화물 표면과 접촉하여, 증기 메탈로알루미늄 염화물 또는 고체 염화물 입자를 형성시킬 수 있다.
결과적으로, 이러한 반도체 제조 설비 및 다른 박막 침착 또는 에칭 설비에서 금속 표면 및 구성요소와 육염화이알루미늄의 유해한 상호작용을 억제하는 것은 상당한 개선점이 될 것이다.
또한, 조밀하고 핀홀이 없으며 결함이 없고, 부품의 전기적 절연, 부품을 동형(conformal) 코팅하는 능력, 내약품성 및 에칭 저항성, 내식성, 확산 차단 특성 및 접착 층 특성 같은 다른 코팅 품질 및 이점을 제공하는, 다양한 산업용 코팅에 대한 요구가 지속되고 있다.
본원은 일반적으로 다양한 기판 제품, 구조체, 물질 및 설비에 도포될 수 있는 코팅에 관한 것이고, 구체적인 양태에서는 반도체 제조 설비 및 그의 성능을 향상시키는 방법, 더욱 구체적으로는 반도체 제조 설비에서 육염화이알루미늄과 관련되어 오염 및 입자 침착이 일어나기 쉬운 반도체 제조 설비, 및 이러한 불리한 오염 및 입자 침착에 대항하는 조성물 및 방법에 관한 것이다.
본원은 하나의 양태에서 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면이 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅되는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 구조체, 물질 또는 장치에 관한 것이며, 이 때 상기 금속 표면은 상기 구조체, 물질 또는 장치의 사용 또는 작동시에 이러한 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 상기 구조체, 물질 또는 장치 및 그의 사용 및 작동에 유해한 반응 생성물을 형성시키는 기체, 고체 또는 액체와 접촉하도록 구성되어 있다.
하나의 양태에서, 본원은 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면이 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅되는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 반도체 제조 장치에 관한 것이며, 이 때 상기 금속 표면은 상기 장치의 작동시에 이러한 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 상기 장치 및 그의 작동에 유해한 반응 생성물, 예를 들어 미립자 반응 생성물 및/또는 증기 반응 생성물을 형성시키는 기체, 고체 또는 액체와 접촉하도록 구성되어 있다.
본원의 추가적인 양태는 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅함을 포함하는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 구조체, 물질 또는 장치의 성능을 개선하는 방법에 관한 것이며, 이 때 상기 금속 표면은 상기 구조체, 물질 또는 장치의 사용 또는 작동시에 이러한 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 상기 구조체, 물질 또는 장치 및 그의 사용 및 작동에 유해한 반응 생성물을 형성시키는 기체, 고체 또는 액체와 접촉하도록 구성되어 있다.
다른 양태에서, 본원은 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅함을 포함하는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 반도체 제조 장치의 성능을 개선하는 방법에 관한 것이며, 이 때 상기 금속 표면은 상기 장치의 작동시에 이러한 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 상기 장치 및 그의 작동에 유해한 반응 생성물, 예를 들어 미립자 반응 생성물 및/또는 증기 반응 생성물을 형성시키는 기체, 고체 또는 액체와 접촉하도록 구성되어 있다.
또 다른 양태에서, 본원은 반응성 고체와 접촉하는 반도체 제조 장치의 성능을 개선함에 관한 것이다.
본원의 추가적인 양태에 따라, 산업용 박막 원자 층 침착 코팅이 제공된다. 본원에 따른 박막 코팅은 본원의 상세한 설명에 기재된다.
본원의 또 다른 양태는 상이한 ALD 생성물의 층을 포함하는 복합 ALD 코팅에 관한 것이다.
본원의 추가적인 양태는 하나 이상의 ALD 층 및 ALD 층이 아닌 하나 이상의 침착된 층을 포함하는 복합 코팅에 관한 것이다.
다른 양태에서, 본원은 ALD 필름 성장을 방지하는데 효과적인 표면 종결 물질의 층의 패턴을 기판 상에 형성시킴을 포함하는, 기판 상에 패턴화된 ALD 코팅을 형성시키는 방법에 관한 것이다.
다른 양태에서, 본원은 물질의 약화된 표면 상에 약화된 부분을 채우고/채우거나 밀봉하는 두께로 ALD 코팅을 도포함을 포함하는, 물질의 약화된 표면을 채우고/채우거나 밀봉하는 방법에 관한 것이다.
본원의 추가적인 양태는 섬유 및/또는 입자의 매트릭스를 포함하는 필터에 관한 것으로, 이 때 상기 섬유 및/또는 입자는 금속 및/또는 중합체 물질로 이루어지고, 상기 섬유 및/또는 입자의 매트릭스는 그 위에 ALD 코팅을 가지며, 상기 ALD 코팅은 상기 ALD 코팅을 그 위에 갖지 않는 섬유 및/또는 입자의 상응하는 매트릭스와 비교하여 섬유 및/또는 입자의 매트릭스의 공극 부피를 5%보다 많이 변화시키지 않으며, 상기 섬유 및/또는 입자가 금속으로 이루어지는 경우 상기 ALD 코팅은 금속을 포함하고 ALD 코팅의 금속은 섬유 및/또는 입자의 금속과 상이하다.
본원의 또 다른 양태는 기체 또는 증기 스트림의 공급원으로부터 반도체 가공 도구로의 기체 또는 증기 스트림용 유동 경로를 제공하고, 유동 경로에서 필터를 통해 기체 또는 증기 스트림을 유동시켜 스트림으로부터 관련없는 고체 물질을 제거함을 포함하는, 반도체 가공 도구로 기체 또는 증기 스트림을 전달하는 방법에 관한 것으로, 이 때 상기 필터는 본원에서 다양하게 기재되는 본원의 필터를 포함한다.
본원은 추가적인 양태에서 알루미나의 ALD 코팅으로 코팅된 스테인레스 강 섬유 및/또는 입자의 소결된 매트릭스를 포함하는 필터에 관한 것으로, 이 때 상기 소결된 매트릭스는 1 내지 40㎛, 예를 들면 10 내지 20㎛ 직경의 공극을 포함하고, 상기 ALD 코팅은 2 내지 500nm의 두께를 갖는다.
본원의 다른 양태는 기화되어야 하는 고체 물질에 대한 지지 표면을 포함하는 내부 부피를 한정하는 용기를 포함하는 고체 기화기 장치에 관한 것으로, 이 때 상기 지지 표면의 적어도 일부는 그 위에 ALD 코팅을 갖는다.
본원은 하나 이상의 층으로 이루어진 박막 코팅에 관한 것으로, 이 때 상기 하나 이상의 층은 원자 층 침착에 의해 침착된다.
본원의 또 다른 양태는 1000Å을 초과하는 필름 두께를 갖는 ALD 코팅에 관한 것이다.
본 발명의 추가적인 양태는 매우 조밀하고 핀홀이 없는 무결함 층을 포함하는 ALD 코팅에 관한 것이다.
본원의 또 다른 양태는 규소 웨이퍼 상에서 집적 회로 장치 외의 부품 표면 상에 침착된 박막 코팅에 관한 것이다.
추가적인 양태에서, 본원은 절연 금속 산화물 및 금속으로 이루어진 ALD 코팅에 관한 것이다.
본원의 또 다른 양태는 20℃ 내지 400℃의 온도에서 침착될 수 있는 ALD 코팅에 관한 것이다.
본원의 추가적인 양태는 한정된 화학량론을 갖는 단일 필름을 포함하는 ALD 코팅에 관한 것이다.
본원의 다른 양태는 상이한 침착 기법에 의해 침착된 하나 이상의 다른 층과 함께 ALD 층을 포함하는 박막 코팅에 관한 것이다.
또 다른 양태에서, 본원은 2㎛를 초과하지 않는 코팅 두께를 갖는 다층 ALD 코팅에 관한 것이다.
본원의 다른 양태는 산화물, 알루미나, 옥시질화알루미늄, 이트리아, 이트리아-알루미나 믹스, 산화규소, 옥시질화규소, 전이금속 산화물, 전이금속 옥시-질화물, 희토류 금속 산화물, 및 희토류 금속 옥시-질화물로 이루어진 군으로부터 선택되는 물질의 ALD 코팅에 관한 것이다.
본원의 추가적인 양태는 기판 부품을 ALD 코팅으로 균일하게 코팅하고 원치 않는 코팅 물질을 마스크를 통해 에칭해냄을 포함하는, 기판 부품 상에 패턴화된 ALD 코팅을 형성시키는 방법에 관한 것이다.
본원의 또 다른 방법 양태는 부품의 구역을 마스킹하고; 부품을 ALD 코팅으로 코팅하고; 부품의 마스크 구역으로부터 ALD 코팅을 제거함을 포함하는, 기판 부품 상에 패턴화된 ALD 코팅을 형성시키는 방법에 관한 것이다.
본원의 또 다른 방법 양태는 ALD 필름 성장을 차단하는 표면 종결 성분을 포함하는 물질로 기판 부품을 패턴화시키고; 패턴화된 기판 부품을 ALD 코팅으로 코팅함을 포함하는, 기판 부품 상에 패턴화된 ALD 코팅을 형성시키는 방법에 관한 것이다.
본원의 추가적인 양태는 조밀하고 핀홀이 없는 무결함 전기 절연 ALD 코팅을 기판 부품에 도포함을 포함하는, 기판 부품을 전기 절연시키는 방법에 관한 것이다.
본원은 또 다른 양태에서 내약품성 및 에칭 저항성 특징을 갖는 ALD 코팅을 포함하는, 기판 표면 상의 코팅에 관한 것이다.
본원의 다른 양태는 ALD 내식성 코팅을 포함하는, 기판 표면 상의 코팅에 관한 것이다.
본원의 추가적인 양태는 ALD 확산 차단 층을 포함하는, 기판 표면 상의 코팅에 관한 것이다.
본원의 또 다른 양태는 ALD 접착 층을 포함하는, 기판 표면 상의 코팅에 관한 것이다.
본원의 또 다른 양태는 ALD 표면 밀봉 층을 포함하는, 기판 표면 상의 코팅에 관한 것이다.
다른 양태에서, 본원은 내약품성 ALD 코팅으로 코팅된 섬유상 금속 막을 포함하는 다공성 필터에 관한 것이다.
본원의 추가적인 양태는 ALD 코팅으로 코팅되지 않은 상응하는 다공성 물질 매트릭스에 비해 다공성 금속 매트릭스의 평균 공극 크기가 ALD 코팅에 의해 감소되는, ALD 코팅으로 코팅된 다공성 물질 매트릭스를 포함하는 필터에 관한 것이다.
본원의 다른 양태는 코팅 두께가 필터에서의 상응하는 공극 크기 구배를 제공하도록 방향성 있게 변화되는, ALD 코팅으로 코팅된 다공성 물질 매트릭스를 포함하는 필터에 관한 것이다.
추가적인 양태에서, 본원은 ALD 코팅으로 다공성 물질 매트릭스를 코팅하여 다공성 물질 매트릭스의 평균 공극 크기를 감소시키는, 다공성 필터를 제조하는 방법에 관한 것이다.
다른 양태에서, 본원은 내부 부피를 한정하는 용기, 전구체 증기를 용기로부터 방출하도록 구성된 출구, 및 고체 전구체 물질을 기화시켜 전구체 증기를 생성시키기 위하여 이들 고체 전구체 물질을 지지하도록 적합화된 용기의 내부 부피 내의 지지 구조체를 포함하는 고체 기화기 장치에 관한 것으로, 이 때 상기 고체 전구체 물질은 알루미늄 전구체를 포함하고, 상기 내부 부피에서 표면적의 적어도 일부는 알루미나 코팅으로 코팅된다.
본원의 추가적인 양태는 스테인레스 강 구조체, 물질 또는 장치를 알루미나 코팅으로 코팅함을 포함하는, 사용되거나 작동될 때 할로겐화알루미늄에 노출되는 스테인레스 강 구조체, 물질 또는 장치의 내식성을 향상시키는 방법에 관한 것이다.
본원의 다른 양태는 사용되거나 작동될 때 에칭 매질에 노출되는 반도체 가공 에칭 구조체, 구성요소 또는 장치에 관한 것으로, 이 때 상기 구조체, 구성요소 또는 장치는 이트리아 층을 포함하는 코팅으로 코팅되고, 상기 이트리아 층은 임의적으로는 상기 코팅에서 알루미나 층 위에 놓인다.
본원의 또 다른 양태는 사용되거나 작동될 때 에칭 매질에 노출되는 반도체 가공 에칭 구조체, 구성요소 또는 장치의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것으로, 이 때 상기 방법은 이트리아 층을 포함하는 코팅으로 상기 구조체, 구성요소 또는 장치를 코팅함을 포함하고, 상기 이트리아 층은 임의적으로는 상기 코팅에서 알루미나 층 위에 놓인다.
다른 양태에서, 본원은 알루미나 코팅으로 봉입된 니켈 막을 포함하는 에칭 챔버 확산판에 관한 것이다.
본원의 추가적인 양태는 니켈 막을 알루미나의 봉입 코팅으로 코팅함을 포함하는, 니켈 막을 포함하는 에칭 챔버 확산판의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것이다.
다른 양태에서, 본원은 사용되거나 작동될 때 할로겐화물 매질에 노출되는 증착 가공 구조체, 구성요소 또는 장치에 관한 것으로, 이 때 상기 구조체, 구성요소 또는 장치는 이트리아의 ALD 기본 코팅 및 이트리아의 PVD 상부 코팅(over coating)을 포함하는 이트리아의 코팅으로 코팅된다.
또 다른 양태에서, 본원은 이트리아의 ALD 기본 코팅 및 이트리아의 PVD 상부 코팅을 포함하는 이트리아의 코팅으로 구조체, 구성요소 또는 장치를 코팅함을 포함하는, 사용되거나 작동될 때 할로겐화물 매질에 노출되는 증착 가공 구조체, 구성요소 또는 장치의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것이다.
본원의 또 다른 양태는 알루미나 확산 차단 층으로 내표면 상에서 코팅된 석영 봉입(envelope) 구조체에 관한 것이다.
본원의 추가적인 양태는 석영 봉입 구조체의 내표면을 알루미나 확산 차단 층으로 코팅함을 포함하는, 작동시에 수은 확산이 이루어지기 쉬운 석영 봉입 구조체 내로의 수은 확산을 감소시키는 방법에 관한 것이다.
본원의 또 다른 양태는 사용되거나 작동될 때 플라즈마 및 1000V가 넘는 전압에 노출되는 플라즈마 공급 구조체, 구성요소 또는 장치에 관한 것으로, 이 때 상기 구조체, 구성요소 또는 장치의 플라즈마-습윤되는 표면은 알루미나의 ALD 코팅으로 코팅되고, 상기 알루미나 코팅은 옥시질화알루미늄의 PVD 코팅으로 상부 코팅된다.
하나의 양태에서 본원은 사용되거나 작동될 때 플라즈마 및 1000V가 넘는 전압에 노출되는 플라즈마 공급 구조체, 구성요소 또는 장치의 사용 수명을 향상시키는 방법에 관한 것으로, 이 때 상기 방법은 상기 구조체, 구성요소 또는 장치의 플라즈마-습윤되는 표면을 알루미나의 ALD 코팅으로 코팅하고, 상기 알루미나 코팅을 옥시질화알루미늄의 PVD 코팅으로 상부 코팅함을 포함한다.
본원은 다른 양태에서 알루미나의 기본 층, 그 위의 니켈 전극 층, 니켈 전극 층 위의 ALD 알루미나 전기 격리(stand-off) 층, ALD 알루미나 전기 격리 층 위의 PVD 옥시질화알루미늄 열 팽창 완충 층, 및 PVD 옥시질화알루미늄 열 팽창 완충 층 위의 CVD 옥시질화규소 웨이퍼 접촉 표면 및 전기 스페이서 층을 포함하는 유전성 적층체(dielectric stack)에 관한 것이다.
본원은 다른 양태에서 하기 다층 코팅중 하나로 코팅된 알루미늄 표면을 포함하는 플라즈마 활성화 구조체, 구성요소 또는 장치에 관한 것이다: (i) 알루미늄 표면 상의 CVD 규소의 기본 코팅, 및 CVD 규소의 기본 코팅 위의 ALD 지르코니아 층, 및 (ii) 알루미늄 표면 상의 CVD 옥시질화규소 기본 코팅, 및 CVD 옥시질화규소 기본 코팅 상의 ALD 알루미나 층.
본원의 또 다른 양태는 하기 다층 코팅중 하나로 알루미늄 표면을 코팅함을 포함하는, 플라즈마 활성화 구조체, 구성요소 또는 장치의 알루미늄 표면의 입자 형성 및 금속 오염을 감소시키는 방법에 관한 것이다: (i) 알루미늄 표면 상의 CVD 규소의 기본 코팅, 및 CVD 규소의 기본 코팅 위의 ALD 지르코니아 층, 및 (ii) 알루미늄 표면 상의 CVD 옥시질화규소 기본 코팅, 및 CVD 옥시질화규소 기본 코팅 상의 ALD 알루미나 층.
다공성 매트릭스 필터가 본원의 다른 양태에서 고려되는데, 상기 다공성 매트릭스 필터는 스테인레스 강, 니켈 또는 티탄으로 이루어진 막을 포함하고, 상기 막은 20 내지 2000㎛의 코팅 침투 깊이까지 알루미나로 봉입된다.
상응하는 방법 양태에서, 본원은 스테인레스 강, 니켈 또는 티탄으로 이루어진 막을 20 내지 2000㎛의 코팅 침투 깊이까지 알루미나로 봉입시킴을 포함하는, 다공성 매트릭스 필터를 제조하는 방법에 관한 것이다.
본원의 다른 양태, 특징 및 실시양태는 뒤이은 상세한 설명 및 첨부된 특허청구범위로부터 더욱 충분히 명백해질 것이다.
도 1은 본원의 한 양태에 따른 반도체 웨이퍼 가공 도구의 침착로의 개략도이다.
도 2는 AlCl3를 기화시켜 Al2Cl6 증기를 형성하기 위한 앰풀 형태로 고체 공급원 전달 기화기를 이용하는, Al2Cl6 증기를 사용하여 웨이퍼를 코팅하기 위한 본원의 다른 양태에 따른 침착로 공정 시스템의 개략도이며, 여기에서 앰풀의 트레이 및 내표면은 Al2O3로 코팅되고 또한 앰풀의 밸브, 관 및 필터도 모두 Al2O3로 코팅된다.
도 3은 홀더에 의해 지지되는 물질로부터의 증기와 기체의 접촉을 촉진시키기 위하여 홀더를 갖는 기화기 용기의 부분 절단 사시도이다.
도 4는 본원의 다른 양태에 따라 필터 요소에 유용하게 사용되는 유형의 다공성 금속 프릿(frit) 표면의 15K 배율의 현미경 사진이다.
도 5는 AlCl3에 노출되지 않은 전해 연마된 316L 스테인레스 강의 표면의 20,000배 배율의 현미경 사진이다.
도 6은 무수성 환경에서 120℃에서 10일동안 AlCl3에 노출시킨 후 전해 연마된 316L 스테인레스 강 표면의 1000배 배율의 현미경 사진이다.
도 7은 AlCl3에 노출되지 않은 전해 연마된 316L 스테인레스 강의 단면의 50,000배 배율의 현미경 사진이다.
도 8은 무수성 환경에서 120℃에서 AlCl3에 10일간 노출된 후 코팅되지 않은 316L 스테인레스 강의 20,000배 배율의 현미경 사진이다.
도 9는 표면을 따라 다수개의 피트(pit)를 보여주는, 무수성 환경에서 120℃에서 AlCl3에 10일간 노출된 후 전해 연마된 316L 스테인레스 강의 35,000배 배율의 현미경 사진이다.
도 10은 10일동안 120℃에서 무수 AlCl3에 노출되기 전에, 트리메틸 알루미늄 및 물을 사용하여 Al2O3의 100 ALD 사이클에 의해 코팅된 전해 연마된 316L 스테인레스 강의 35,000배 배율의 현미경 사진이다.
도 11은 10일동안 120℃에서 무수 AlCl3에 노출되기 전에, 트리메틸 알루미늄 및 물을 사용하여 Al2O3의 1000 ALD 사이클에 의해 코팅된 전해 연마된 316L 스테인레스 강의 35,000배 배율의 현미경 사진이다.
도 12는 155℃에서 AlCl3에 9일간 노출된 후 사진을 찍은, 샘플 스테인레스 강 쿠폰(샘플 쿠폰 2 및 3은 470Å 두께의 알루미나 코팅으로 코팅되고, 샘플 쿠폰 12 및 13은 코팅되지 않음)의 합성 사진이다.
도 13은 10일동안 220℃에서 WCl5에 노출된 후 알루미나-코팅된 스테인레스 강 샘플의 상부-하향 주사 전자 현미경(SEM) 현미경사진이다.
도 14는 10일동안 220℃에서 WCl5에 노출된 후 도 13의 샘플중 코팅 가장자리의 집속 이온 빔(FIB) 단면이다.
도 15는 알루미늄 공정에서 삼염화알루미늄(AlCl3) 고체 전구체 전달을 위해 기화기 앰풀에 유용하게 사용되는 스테인레스 강 홀더의 사시도이며, 여기에서 삼염화알루미늄 전구체는 홀더에 의해 지지되고 기화되어, 기화기 앰풀로부터 방출하기 위하여 또한 알루미늄 공정으로의 관련 유동 회로를 통해 수송하기 위하여 삼염화알루미늄 전구체 증기를 형성시킨다.
도 16은 기화기 앰풀의 사용 및 작동시에 홀더가 겪게 되는 삼염화알루미늄(AlCl3) 노출을 포함하는 부식성 환경에서 알루미나 코팅에 의해 스테인레스 강 표면이 봉입되도록 알루미나 코팅으로 원자 층 침착에 의해 코팅된 도 15에 도시된 유형의 스테인레스 강 홀더의 사시도이다.
도 17은 내식성을 제공하고 기판과의 화학 반응을 방지하며 사용시 금속 오염을 감소시키기 위하여, 스테인레스 강 기판에 원자 층 침착에 의해 도포되는 알루미나 코팅의 개략적인 입면도이다.
도 18은 이트리아(Y2O3)로 코팅된 플라즈마 에칭 장치의 채널을 도시한다.
도 19는 알루미나 위에 원자 층 침착에 의해 도포되는 이트리아 코팅의 개략적인 입면도이다.
도 20은 알루미나 코팅으로 코팅된, 스테인레스 강 프레임 및 니켈 필터 막을 포함하는 확산판 어셈블리의 사진이다.
도 21은 스테인레스 강 프레임 및 니켈 막이 ALD 알루미나로 봉입된 확산판 어셈블리의 개략적인 입면도이다.
도 22는 알루미늄 기판, 알루미나의 ALD 코팅, 및 AlON의 PVD 코팅을 포함하는 코팅 구조체의 개략적인 입면도이다.
도 23은 알루미나 기판이 그 위에 전극 금속을 갖고, 그 위에 ALD 알루미나의 전기 격리 층이 있으며, 그 위에 옥시질화알루미늄의 PVD 코팅이 있고, 그 위에 화학적 증착(CVD) 침착된 옥시질화규소(SiON) 층이 있는, 고온 척(hot chuck) 구성요소에 유용한 유전성 적층체의 층 구조의 개략적인 입면도이다.
도 24는 CVD Si 층 위에 지르코니아의 ALD 층이 있는, 알루미늄 기판 상의 규소의 화학적 증착-도포된 층을 포함하는 다층 적층체의 개략적인 입면도이다.
도 25는 알루미늄 기판 상의 옥시질화규소의 CVD 층 및 CVD SiON 코팅 층 상의 알루미나의 ALD 층을 포함하는 다층 적층체의 개략적인 입면도이다.
도 26은 전자 층 침착에 의해 알루미나로 코팅된, 1.5mm 벽 두께 및 2 내지 4㎛의 공극 크기를 갖는 다공성 물질의 현미경 사진이다.
도 27은 ALD에 의해 침착된 알루미나로 완전히 봉입된, 스테인레스 강, 니켈, 티탄 또는 다른 적합한 물질로 이루어진 막을 포함하는 봉입된 막의 개략도이다.
도 28은 코팅이 35㎛의 코팅 침투 깊이를 갖는 알루미나인, 코팅된 필터의 현미경 사진이다.
도 29는 코팅이 175㎛의 코팅 침투 깊이를 갖는 알루미나인, 코팅된 필터의 현미경 사진이다.
본 발명은 일반적으로 다양한 기판 제품, 물질, 구조체 및 설비에 도포될 수 있는 코팅에 관한 것이다. 다양한 양태에서, 본원은 반도체 제조 설비 및 그의 성능을 향상시키는 방법에 관한 것이고, 더욱 구체적으로는 반도체 제조 설비에서 육염화이알루미늄의 존재에 수반되는 오염 및 입자 침착이 일어나기 쉬운 이러한 반도체 제조 설비, 및 이러한 불리한 오염 및 입자 침착에 대항할 수 있는 조성물 및 방법에 관한 것이다.
본원에 사용되는 경우, 예컨대 C1-C12 알킬에서 탄소 수 범위의 표시는, 이 언급된 범위에서 각각의 중간에 끼이는 탄소 수 및 임의의 다른 언급되거나 중간에 끼인 탄소 수 값이 포괄되도록, 이러한 범위 내의 구성 탄소 수 일부분 각각을 포함하고자 하며, 명시된 탄소 수 범위 내의 탄소 수의 부분 범위는 본 발명의 영역 내에서 더 작은 탄소 수 범위에 독립적으로 포함될 수 있고 탄소 수 또는 수들을 특정적으로 배제하는 탄소 수의 범위가 본 발명에 포함되며 명시된 범위의 탄소 수 한도중 어느 하나 또는 둘 다를 배제하는 부분 범위도 본 발명에 포함되는 것으로 생각된다. 따라서, C1-C12 알킬은 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 운데실 및 도데실(이러한 유형의 직쇄뿐만 아니라 분지된 기도 포함함)을 포함하고자 한다. 그러므로, 치환기 잔기에 넓게 적용될 수 있는 탄소 수 범위의 표시, 예를 들어 C1-C12는 본 발명의 특정 실시양태에서 탄소 수 범위가 치환기 잔기의 더 넓은 내역 내의 탄소 수 범위를 갖는 잔기의 부분집합으로서 추가로 제한되게 할 수 있음을 알아야 한다. 예로서, 탄소수 범위, 예컨대 C1-C12 알킬은 본 발명의 특정 실시양태에서 C1-C4 알킬, C2-C8 알킬, C2-C4 알킬, C3-C5 알킬 또는 넓은 탄소 수 범위 내의 임의의 다른 부분 범위를 포괄하도록 더욱 제한적으로 명시될 수 있다. 달리 말해, 탄소 수 범위는 이러한 범위가 적용되는 치환기, 잔기 또는 화합물에 대해서 이 범위의 탄소 수 종류 각각을 선택 군(이로부터 선택 군의 일원중 특정적인 하나가 연속적인 탄소 수 부분 범위로서 또는 이러한 선택 군 내의 특정 탄소 수 종류로서 선택될 수 있음)으로서 확정적으로 기재하는 것으로 간주된다.
명시된 범위, 수치 값 제한(예를 들어, 동일하지 않음, 제한보다 더 큼, 더 작음)뿐만 아니라, 산화 상태 및 특정 형태, 전하 상태 및 본원의 넓은 영역 내에서 도판트 공급원, 주입 물질 및 화합물에 적용될 수 있는 조성을 결정하는 다른 변수에 대해서 화학량론적 계수 및 원자, 작용기, 이온 또는 잔기의 수를 명시하는 수치 값에 동일한 구성 및 선택 융통성이 적용될 수 있다.
본원에 사용되는 "알킬"은 메틸, 에틸, 프로필, 이소프로필, 부틸, s-부틸, t-부틸, 펜틸 및 이소펜틸 등을 포함하지만 이들로 한정되지는 않는다. 본원에 사용되는 "아릴"은 탄소 원자 6 내지 10개의 불포화 방향족 탄소환상 기인 벤젠 또는 벤젠 유도체로부터 유도되는 탄화수소를 포함한다. 아릴은 단일 고리 또는 다중 고리를 가질 수 있다. 본원에 사용되는 용어 "아릴"은 치환된 아릴도 포함한다. 예는 페닐, 나프틸, 자일렌, 페닐에탄, 치환된 페닐, 치환된 나프틸, 치환된 자일렌, 치환된 페닐에탄 등을 포함하지만, 이들로 국한되지는 않는다. 본원에 사용되는 "사이클로알킬"은 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실 등을 포함하지만, 이들로 한정되지는 않는다. 본원의 모든 화학식에서, 탄소 수의 범위는 명시된 범위에서 탄소 수의 종결점 값 중간의 탄소 원자의 수를 함유하는 모든 잔기 및 특정 범위의 종결점 값과 동일한 탄소 원자의 수를 함유하는 잔기를 포함하여 일련의 연속적인 다른 탄소-함유 잔기를 명시하는 것으로 간주되는데, 예를 들어 C1-C6은 C1, C2, C3, C4, C5 및 C6을 포함하고, 이러한 더 넓은 범위 각각은 그의 부분 범위로서 이러한 범위 내의 탄소 수를 참조하여 추가로 한정적으로 명시될 수 있다. 그러므로, 예를 들어 범위 C1-C6은 더 넓은 범위의 영역 내의 C1-C3, C1-C4, C2-C6, C4-C6 등과 같은 부분 범위를 포함하고 이러한 부분 범위를 명시함으로써 추가로 한정될 수 있다.
본원은 한 양태에서 금속의 산화물, 질화물 또는 할로겐화물(플루오르화물, 염화물, 요오드화물 및/또는 브롬화물)이 형성되기 쉬운 금속 표면이 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅되는, 금속의 산화물, 질화물 또는 할로겐화물(플루오르화물, 염화물, 요오드화물 및/또는 브롬화물)이 형성되기 쉬운 금속 표면을 포함하는 구조체, 물질 또는 장치에 관한 것이며, 이 때 상기 금속 표면은 이러한 구조체, 물질 또는 장치의 사용 또는 작동시에 상기 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 구조체, 물질 또는 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 생성시키는 기체, 고체 또는 액체와 접촉되도록 구성되어 있다.
하나의 양태에서, 본원은 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면이 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅되는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 반도체 제조 장치에 관한 것이며, 이 때 상기 금속 표면은 이러한 장치의 사용 또는 작동시에 상기 금속과 반응성이어서 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 생성시키는 기체, 고체 또는 액체와 접촉되도록 구성되어 있다.
이러한 반도체 제조 장치에서, 금속 산화물은 다양한 실시양태에서 Cr, Fe, Co 및 Ni중 하나 이상의 하나 이상의 산화물을 포함할 수 있거나, 또는 다른 실시양태에서 금속 산화물은 Cr, Fe 및 Ni중 하나 이상의 하나 이상의 산화물을 포함할 수 있다. 금속 질화물은 예를 들어 암모니아가 존재할 때 가공 동안 암모니아의 존재하에 철 또는 코발트로부터 형성될 수 있으며, 생성되는 질화철 또는 질화코발트는 이어 AlCl3 또는 TiCl4와 반응한다. 금속 할로겐화물은 에칭 공정 또는 세정 사이클 작업 동안 금속 표면 상에 형성될 수 있다. 다양한 실시양태에서 금속 표면은 스테인레스 강 표면을 포함할 수 있다. 특정 실시양태에서, 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 생성시키는 기체는 Al2Cl6를 포함한다.
특정 용도에서 보호 코팅은 Al2O3, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 화학식 M'O2(여기에서, M'은 화학량론적으로 허용가능한 금속임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 란탄족 원소, 예를 들어 La, Sc 또는 Y임)의 산화물로 이루어진 군으로부터 선택되는 코팅 물질중 하나 이상을 포함할 수 있다. 더욱 일반적으로, 보호 코팅은 장치의 작동시 금속 표면과 접촉하는 물질과의 반응의 자유 에너지가 0 이상인 금속 산화물을 포함할 수 있다.
본원의 추가적인 양태는 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅함을 포함하는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 구조체, 물질 또는 장치의 성능을 개선하는 방법에 관한 것이며, 이 때 상기 금속 표면은 이러한 구조체, 물질 또는 장치의 사용 또는 작동시에 상기 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 구조체, 물질 또는 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 생성시키는 기체, 고체 또는 액체와 접촉되도록 구성되어 있다.
다른 양태에서, 본원은 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 반응성 기체와 코팅된 표면의 반응을 방지하는 보호 코팅으로 코팅함을 포함하는, 금속의 산화물, 질화물 또는 할로겐화물이 형성되기 쉬운 금속 표면을 포함하는 반도체 제조 장치의 성능을 개선하는 방법에 관한 것이며, 이 때 상기 금속 표면은 이러한 장치의 사용 또는 작동시에 상기 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 생성시키는 기체와 접촉되도록 구성되어 있다.
다양한 실시양태에서 금속 산화물, 질화물 또는 할로겐화물은 Cr, Fe, Co 및 Ni중 하나 이상의 하나 이상의 산화물, 질화물 또는 할로겐화물을 포함할 수 있고, 다른 실시양태에서는 Cr, Fe 및 Ni중 하나 이상의 하나 이상의 산화물, 질화물 또는 할로겐화물, 또는 임의의 다른 적합한 금속 산화물, 질화물 또는 할로겐화물 물질을 포함할 수 있다. 금속 표면은 예를 들어 스테인레스 강을 포함할 수 있다. 금속 산화물, 질화물 또는 할로겐화물과 반응성이어서 구조체, 물질 또는 장치 및 그의 사용 또는 작동에 유해한 반응 생성물을 형성하는 기체는 Al2Cl6를 포함할 수 있다.
전술한 방법에서 금속 표면에 도포되는 보호 코팅은 Al2O3, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 화학식 M'O2(여기에서, M'은 화학량론적으로 허용가능한 금속임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 란탄족 원소, 예를 들어 La, Sc 또는 Y임)의 산화물로 이루어진 군으로부터 선택되는 코팅 물질중 하나 이상을 포함할 수 있다. 더욱 일반적으로, 보호 코팅은 상기 구조체, 물질 또는 장치의 사용 또는 작동시 금속 표면과 접촉하는 기체와의 반응의 자유 에너지가 0 이상인 금속 산화물을 포함할 수 있다.
보호 코팅은 임의의 적합한 기법에 의해 본원의 방법에서 금속 표면에 도포될 수 있으며, 특정 용도에서 코팅 작업은 보호 코팅의 물리적 증착(PVD), 화학적 증착(CVD), 용액 침착, 또는 원자 층 침착(ALD)을 포함할 수 있다.
ALD는 금속 표면에 보호 코팅을 도포하기에 바람직한 기법이다. 특정 용도에서, 플라즈마-향상 ALD는 금속 표면 상에 보호 코팅을 형성시키기 위한 ALD 방법으로서 아용될 수 있다. 다양한 ALD 실시양태에서, 보호 코팅은 Al2O3를 포함할 수 있다. 이러한 보호 코팅은 예컨대 트리메틸알루미늄과 오존이 순환 ALD 공정에 사용되어 보호 코팅을 형성하는 일련의 공정을 포함하는 원자 층 침착에 의해, 또는 다르게는 트리메틸알루미늄과 물이 순환 ALD 공정에 사용되어 보호 코팅을 형성하는 일련의 공정을 포함하는 원자 층 침착에 의해 도포될 수 있다.
방법의 다른 ALD 실행에서, 보호 코팅은 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 금속 산화물을 포함할 수 있다. 그의 도포를 위해, 원자 층 침착은 사이클로펜타디에닐 M 화합물과 오존을 순환 ALD 공정에 사용하여 보호 코팅을 형성하는 일련의 공정, 또는 사이클로펜타디에닐 M 화합물과 물을 순환 ALD 공정에 사용하여 보호 코팅을 형성하는 일련의 공정, 또는 M 베타-디케토네이트 화합물과 오존을 순환 ALD 공정에 사용하여 보호 코팅을 형성하는 일련의 공정, 또는 임의의 적합한 일련의 공정 및 금속 산화물 전구체 화합물을 포함할 수 있다. H, C1-C10 알킬(선형, 분지형 또는 환상 포화 또는 불포화), 방향족, 헤테로환상, 알콕시, 사이클로알킬, 실릴, 실릴알킬, 실릴아미드, 트리메틸실릴 실릴-치환된 알킬, 트리알킬실릴-치환된 알킨, 및 트리알킬실릴아미도-치환된 알킨, 디알킬아미드, 에틸렌, 아세틸렌, 알킨, 치환된 알켄, 치환된 알킨, 디엔, 사이클로펜타디에닐 알렌, 아민, 알킬 아민 또는 2좌 배위자(bidendate) 아민, 암모니아, RNH2(여기에서, R은 유기, 예컨대 하이드로카빌 치환기임), 아미디네이트, 구아니디네이트, 디아자디엔 사이클로펜타디에닐, 옥심, 하이드록시아민, 아세테이트, 베타-디케토네이트, 베타-케토이미네이트, 니트릴, 니트레이트, 설페이트, 포스페이트, 할로, 하이드록실, 치환된 하이드록실, 및 이들의 조합과 유도체를 포함하는(이들로 한정되지는 않음) 다양한 전구체 리간드를 보호 코팅의 침착에 사용할 수 있다.
보호 코팅을 금속 표면에 도포하는 방법의 또 다른 ALD 실행에서, 보호 코팅은 화학식 Ln2O3(여기에서, Ln은 란탄족 원소임)의 금속 산화물을 포함할 수 있다. Ln은 예컨대 La, Sc 또는 Y일 수 있다. 란탄족 산화물 보호 코팅을 도포함에 있어서, 원자 층 침착은 사이클로펜타디에닐 Ln 화합물과 오존을 순환 ALD 공정에 사용하여 보호 코팅을 형성시키는 일련의 공정, 또는 사이클로펜타디에닐 Ln 화합물과 물을 순환 ALD 공정에 사용하여 보호 코팅을 형성하는 일련의 공정, 또는 Ln 베타-디케토네이트 화합물과 오존을 순환 ALD 공정에 사용하여 보호 코팅을 형성하는 일련의 공정, 또는 임의의 적합한 일련의 공정 및 란탄족 전구체 화합물을 포함할 수 있다.
보호 코팅은 임의의 적합한 두께, 예를 들어 5nm 내지 5㎛의 코팅 두께로 금속 표면에 코팅될 수 있다.
다양한 실시양태에서, 금속 표면은 금속 표면을 보호 코팅으로 코팅하는 동안 25℃ 내지 400℃일 수 있다. 다른 실시양태에서, 이러한 금속 표면은 코팅 작업 동안 150℃ 내지 350℃일 수 있다. 또 다른 실시양태에서, 금속 표면의 온도는 그에 보호 코팅을 도포하기 위해 다른 범위일 수 있다.
반도체 제조 작업에서 화학적 공격 및 오염물질의 수송 같은 본원에 의해 해결되는 문제는 특히 웨이퍼가 미소전자 장치 및 다른 반도체 제조 제품의 제조를 위해 가공되는 스테인레스 강 로에서 특히 격심하다. 이러한 로에서, 육염화이알루미늄 증기의 유동은 Al2Cl6 증기가 시스템을 통해 이동할 때 측정가능한 수준의 Cr, Fe 및 Ni를 웨이퍼로 수송하는 것으로 밝혀졌다. 측정되는 흐름 수준은 천연 산화에 의해 또는 전해 연마에 의해 스테인레스 강, 예컨대 316L 스테인레스 강의 표면 상에 남겨지는 이러한 금속의 상응하는 산화물의 제거와 일치한다.
본원은 Al2Cl6와 반응하지 않는 물질의 코팅으로 로의 표면 및 구성요소를 코팅함으로써 이 문제를 해결한다. 이는 스테인레스 강 표면 및 구성요소로부터 표면 산화물, 질화물 및 할로겐화물을 제거하여 Al2Cl6와 반응하지 않도록 하는 해결책보다 훨씬 더 바람직한 해결책을 달성하는데, 왜냐하면 이러한 표면 및 구성요소를 산소, 질소 및 할로겐에 노출시키게 되는 주위 수분 누출 또는 유지보수가 항상 낮은 수준으로 존재하기 때문이다. 또한, 금속 산화물, 질화물 및 할로겐화물을 반응에 의해 제거하기 위해 Al2Cl6가 로를 통해 다량으로 유동되어야 하는 경우, 이러한 해결책은 도구 처리량을 심각하게 떨어뜨리게 되고 성공가능한 해결책이 되지 못한다.
본원은 대조적으로 로 또는 다른 반도체 제조 설비에서의 표면 및 구성요소의 코팅을 사용하여, 표면 및 구성요소가 부동태화되고 Al2Cl6와 반응하지 않도록 한다. 논의된 바와 같이, 코팅은 유리하게는 Al2O3, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 화학식 M'O2(여기에서, M'은 화학량론적으로 허용가능한 금속임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 란탄족 원소, 예를 들어 La, Sc 또는 Y임)의 산화물로 이루어진 군으로부터 선택되는 코팅 물질중 하나 이상을 포함한다.
코팅은 물리적 증착(PVD), 화학적 증착(CVD), 용액 침착 및 원자 층 침착(ALD) 기법을 비롯한, 반도체 제조 설비의 표면 및 구성요소 상에서 연속적인 동형 코팅을 생성시키는 임의의 적합한 방식으로 도포될 수 있다.
ALD 침착은 필터 요소 및 관 내부를 코팅하는데 특히 유리하다. 트리메틸알루미늄/오존(TMA/O3) 또는 트리메틸알루미늄/물(TMA/H2O)은 Al2O3를 침착시키는데 유용한 조성물이다. 금속 M 또는 Ln의 사이클로펜타디에닐 화합물을 사용하여, 오존(O3) 또는 수증기(H2O)를 사용하는 순환 ALD 공정에서 MO 또는 Ln2O3를 침착시킬 수 있다. M 또는 Ln의 베타-디케토네이트를 사용하여, 베타-디케토네이트 금속 전구체의 반응성 펄스가 O3의 펄스와 교대하는 순환 ALD 공정에서 MO 또는 Ln2O3를 침착시킬 수 있다.
산화알루미늄 보호 코팅을 침착시키기 위하여, 금속의 전구체, 예컨대 트리메틸알루미늄이 오존 또는 물 같은 호산소성(oxic) 성분과 함께 선택되며, 예컨대 150℃ 내지 350℃일 수 있는 기판 온도 및 5nm 내지 5㎛의 코팅 두께와 함께 TMA/퍼지/H2O/퍼지의 ALD 시퀀스 또는 TMA/퍼지/O3/퍼지의 시퀀스를 예시적으로 포함할 수 있는 코팅 조건이 확인된다. 이어, 특정 반응기 및 코팅되는 표면 또는 구성요소의 기하학적 형태에 대해 공정 시퀀스의 펄스 및 펄스 시간이 결정될 수 있다.
일반적인 해결책으로서, 하기 방법에 기초하여 육염화이알루미늄으로부터 표면을 보호하기에 적합한 금속 산화물 및 금속 할로겐화물 증기로부터 표면을 보호하는데 적합한 금속 산화물이 선택될 수 있다.
반도체 설비에서 육염화이알루미늄 노출이 일어나는 온도가 먼저 명시되고, 이어 반도체 제조 설비의 표면 및 구성요소의 금속과 이러한 표면 및 구성요소와 접촉하는 화학 시약의 화학 반응이 확인된다. 명시된 온도에서의 이들 화학 반응에 대해, 예컨대 아래 표 1에서 보이는 바와 같이 엔탈피 및 엔트로피 변화뿐만 아니라 자유 에너지 및 반응 상수가 확인될 수 있다.
Figure pat00001
Figure pat00002
상기 표에서, A는 몰수이고, X는 할로겐화물이며, N은 임의의 금속이다. 예를 들어, NXy는 HfCl4 또는 WCl6일 수 있다.
표 1의 첫째 줄의 반응은 반도체 제조 설비에서 금속의 부식을 야기하지 않는데, 왜냐하면 반응의 자유 에너지가 양이기 때문이다. 그러나, 표 1의 두번째 줄의 반응은 부식을 야기할 수 있다. 스테인레스 강 반도체 제조 설비의 표면 산화물을 Cr2O3에서 Al2O3로 변화시킴으로써, 반응 구동력이 0이 되어간다. 다르게는, 표 1의 세번째 줄에서 보이는 바와 같이, 보호 산화물은 반응의 자유 에너지가 0 이상인 임의의 금속 산화물 MOx(여기에서, x는 화학량론적으로 적절한 값을 가짐)로부터 선택될 수 있다. 또한, 표 1의 네번째 줄에서 보이는 바와 같이, 일반적인 금속 할로겐화물 증기 NXy(예컨대, NF3)가 전달되는 경우, 보호 산화물은 반응의 자유 에너지가 0 이상인 금속 산화물 MOx로부터 선택될 수 있다.
본원의 보호 코팅을 이용하여 NF3, Al2Cl6, HfCl4, TiCl4, ZrCl4, WCl6, WCl5, VCl4, NbCl5, TaCl5 및 다른 금속 염화물 같은 부식성 시약에 대해 보호할 수 있다. 예를 들면, Al2O3를 이들 부식성 시약에 대한 보호 코팅 물질로서 사용할 수 있다. 플루오르, 염소, 브롬, 플루오르화수소, 염화수소, 브롬화수소, 이플루오르화제논, 삼플루오르화붕소, 사플루오르화규소, 사플루오르화게르마늄, 삼플루오르화인, 삼플루오르화비소, 삼염화붕소, 사염화규소, 오존 같은 기체 또는 증기로서 전달될 수 있는 반도체 물질은 부식 행태를 매개할 수 있고, Al2O3 코팅을 유용하게 사용하여 이러한 부식성 시약에 대해 보호 필름을 제공할 수 있다. 사염화티탄은 상당히 부식성이고 Y2O3에 대해 양의 ΔG를 갖는다.
구체적인 실시양태에서, Al2O3는 스테인레스 강 표면의 브롬화수소 노출에 대해 양의 ΔG를 갖는 보호 코팅 물질로서 사용된다. 다른 실시양태에서는, Al2O3가 스테인레스 강 표면의 염화수소 노출에 대해 양의 ΔG를 갖는 보호 코팅 물질로서 사용된다. 또 다른 실시양태에서는, 스테인레스 강 표면의 사염화규소 노출에 대해 양의 ΔG를 갖는 보호 코팅 물질로서 니켈이 사용된다.
추가적인 실시양태에서, 사플루오르화게르마늄에의 노출시 스테인레스 강 표면 상에서 양의 ΔG를 갖는 보호 코팅은 니켈, Al2O3 , Cr2O3, 금, 질화티탄(TiN) 같은 질화물, 유리 및 구리중 임의의 것을 포함할 수 있다. 사플루오르화게르마늄을 사용한 부동태화가 표면 Ni-F, Cr-F 및 Fe-F 물질(이는 니켈 또는 스테인레스 강 위에 놓이는 NiF2, CrF3 또는 FeF3 층으로서 생각될 수 있음)의 형성으로 인해 스테인레스 강에 대해 효과적이다.
다른 실시양태에서, 금은 스테인레스 강 표면의 플루오르화수소 노출에 대해 양의 ΔG를 갖는 보호 코팅 물질로서 사용된다.
다양한 실시양태에서, 스테인레스 강 및 탄소 강용 보호 코팅은 니켈 같은 금속 및 금속 합금을 포함한다. 다른 실시양태에서, 이러한 작업을 위한 보호 코팅은 상표면 테플론(Teflon)® 및 칼레즈(Kalrez)®로 시판되고 있는 물질의 보호 코팅을 비롯하여 폴리테트라플루오로에틸렌(PEFE) 또는 PTFE-유사 물질 같은 중합체 물질을 포함할 수 있다. 보호 코팅은 또한 하이드라이드 기체에의 노출에 의해 야기되는 스테인레스 강의 약화(embrittlement)를 피하기 위하여 사용될 수 있고, 이러한 보호 코팅은 알루미늄, 구리 또는 금 같은 물질로 제조될 수 있거나 또는 달리 이러한 물질을 포함할 수 있다.
표면 상에 보호 코팅이 제공되는 반응성 시약은 고체, 액체 및/또는 기체 형태일 수 있고, 혼합물 또는 하나 이상의 용매를 포함하는 용액일 수 있다.
더욱 일반적으로 ΔG와 관련하여, 압력 또는 온도 변화에 의해 10-4<K<10+4의 범위에서 안정성이 변동될 수 있으며, K>10+4인 경우에는 임의의 조건 하에서 부식이 거의 없어진다.
ALD 또는 다른 기상 침착 기법에 의해 형성되는 본원의 조밀하고 핀홀이 없는 코팅은 천연 산화물 표면과는 구별된다. 천연 산화물 필름은 전형적으로 실온에서 또는 실온 근처에서 형성되고, 결정질이며, 이러한 천연 산화물 필름에 관련된 산화는 불완전할 수 있다. 이러한 천연 산화물 필름은 본원의 기상 침착 코팅, 예컨대 ALD 코팅보다 더 반응성이다. 본원의 조밀하고 두꺼우며 핀홀이 없는 기상 침착 코팅은 비정질이고 동형이다.
본원에 따라 형성되는 스테인레스 강 상의 알루미나 코팅의 경우, Al2O3 코팅의 침착 전에 세정 또는 다른 전처리 단계를 이용할 수 있다. 예를 들면, 본원의 특정 실행에서 바람직하거나 유리할 수 있는 바와 같이 전해 연마 또는 감소 처리, 또는 이러한 처리의 조합을 이용할 수 있다. 임의의 다른 적합한 세정 또는 전처리 단계를 추가로 또는 선택적으로 사용할 수 있다.
삼염화알루미늄과 관련하여, AlCl3가 용매에, 또는 오일 또는 그리스(grease)에 용해되지 않지만, 예컨대 기화기가 가열될 때 기화를 위해 AlCl3 또는 다른 화학약품이 제공되는 고체 전달 기화기에서 오일 또는 그리스가 열 전달제로서 존재하여 용기로부터 분배되는 증기 스트림을 제공할 수 있음을 알게 된다. 예를 들어, 전달되어야 하는 AlCl3 또는 다른 화학약품을 고비점 불활성 오일 또는 그리스와 혼합하여, 고체 전달 용기에서 트레이 또는 다른 지지 표면 상으로 로딩되는 페이스트를 형성할 수 있다. 오일 또는 그리스는 열 전달제로서, 또한 작은 입자를 포획하고 이들 입자가 증기 유동에 연행되지 않게 방지하는 매질로서 작용한다. 이들 포획된 작은 입자는 기화됨으로써 열 전달제를 통과하고 궁극적으로는 기화기 용기에서 빠져나올 때까지 오일 또는 그리스에 보유된다. 이러한 방식으로, 오일 또는 그리스는 열 전달율을 개선할 수 있고, 기화기의 더 낮은 전달 온도가 달성될 수 있게 한다.
이제, 도면을 참조하면, 도 1은 본원의 한 양태에 따른 반도체 웨이퍼 가공 도구(100)의 침착 로(102)의 개략도이다.
로(102)는 도시된 바와 같이 내부 부피를 라이너(110) 내의 내부피(108) 및 라이너 외부의 외부 부피(106)로 분리하는 라이너(110)가 배치되는 가열된 내부 부피(104)를 한정한다. 웨이퍼가 로에서 공정 기체와 접촉할 수 있도록, 웨이퍼(114)가 장착된 웨이퍼 캐리어(112)가 라이너(110) 내의 내부피(108)에 위치한다.
도 1에 도시되어 있는 바와 같이, 제 1 공정 기체가 제 1 공정 기체 공급 라인(118)을 통해 제 1 공정 기체 공급원(116)으로부터 로의 내부피(108)로 공급될 수 있다. 유사한 방식으로, 제 2 공정 기체가 제 2 공정 기체 공급 라인(122)을 통해 제 2 공정 기체 공급원(120)으로부터 로의 내부피(108)로 공급될 수 있다. 제 1 및 제 2 공정 기체는 도구의 작업시 동시에 또는 연속적으로 로에 도입될 수 있다. 제 1 공정 기체는 예를 들어 웨이퍼 캐리어(112)의 웨이퍼 기판 상에 금속 성분을 증착시키기 위한 유기 금속 전구체를 포함할 수 있다. 제 2 공정 기체는 예를 들어 할로겐화물 세정 기체를 포함할 수 있다. 로의 내부피(108)에 도입된 기체는 라이너 내에서 상향 유동하고, 라이너(110)의 상부 개방 말단에서 흘러나갈 때 환상 외부 부피(106)에서 하향 유동한다. 이 기체는 이어 방출 라인(124)에서 로로부터 감쇠 단위장치(126)로 흘러나가고, 여기에서 로로부터의 유출 기체가 처리되어 그로부터 유해 성분이 제거되며, 처리된 기체는 배기 라인(128)에서 추가적인 처리 또는 다른 처분을 위해 방출된다. 감쇠 단위장치(126)는 습식 및/또는 건식 스크러버, 촉매에 의한 산화 장치, 또는 다른 적합한 감쇠 설비를 포함할 수 있다.
본원에 따라, 로 및 라이너 구성요소의 표면이 육염화이알루미늄(이는 로 안의 웨이퍼(114)가 의도된 목적에 모자라거나 또는 심지어 쓸모없게 되도록 함)으로부터의 화학적 공격에 저항하도록 이들 표면을 Al2O3 층으로 코팅한다.
도 2는 AlCl3를 기화시켜 Al2Cl6 증기를 형성시키기 위한 앰풀 형태의 고체 공급원 전달 기화기를 사용하는, Al2Cl6 증기를 사용하여 웨이퍼를 코팅하기 위한 본원의 다른 양태에 따른 침착 로 공정 시스템의 개략도이며, 이 때 상기 앰풀의 트레이 및 내표면은 Al2O3로 코팅되며 앰풀 하류의 밸브, 관 및 필터도 모두 Al2O3로 코팅된다.
도시된 바와 같이, 앰풀에는 공급 용기("Ar")로부터의 아르곤 캐리어 기체가 공급되고, 캐리어 기체는 물질 유동 제어기("MFC")를 함유하는 캐리어 기체 공급 라인을 통해 앰풀로 유동된다. 앰풀에서는, 트레이 상에 지지되는 고체 AlCl3를 기화시키기 위하여 앰풀을 가열함으로써 생성되는 Al2Cl6 증기와 캐리어 기체가 접촉하고, 기화된 Al2Cl6는 웨이퍼(여기에는 Al2Cl6 증기로부터 알루미늄이 침착됨)를 함유하는 로로 유동된다. 도시된 바와 같이 침착을 위한 공동 반응물이 로로의 공동 반응물 공급 라인에 의해 로로 도입될 수 있다. 로를 통한 유체 유동은 펌프 및 압력 제어 밸브 어셈블리에 의해 제어되어, 로 내의 조건을 침착 작업에 적절하게 유지한다.
언급된 바와 같이, 앰풀의 트레이 및 내표면은 앰풀 하류의 모든 유동 회로 표면 및 구성요소에서와 마찬가지로 Al2O3로 코팅되어 육염화이알루미늄 증기에 의한 공격을 방지한다. 유동 회로 내의 필터는 금속 필터 요소를 갖는, 미국 매사추세츠주 빌러리카 소재의 엔테그리스, 인코포레이티드에서 상표명 웨이퍼가드(Wafergard)™ 및 가스켓가드(Gasketgard)™로 시판중인 유형의 것일 수 있다.
도 3은 도 2의 침착 로 공정 시스템에 사용하기 적합한 유형의 기화기 앰풀의 일부가 절단된 사시도이다. 기화기 앰풀은 기체와 홀더에 의해 지지되는 물질로부터의 증기 사이의 접촉을 촉진하기 위하여 홀더를 갖는 용기(300)를 포함한다. 용기는 개별적인 지지 표면(311, 321, 331, 341, 351 및 361)을 한정하는 복수개의 홀더(310, 320, 330, 340, 350 및 360)를 갖는다. 용기는 표면(301)을 갖는 바닥 벽과 측벽(302)을 가져서, 용기(300)에서 대략 원통형 내부 영역을 한정하도록 하고, 용기(300) 상부 또는 그 근처에는 대략 원형 개구가 존재한다. 특정 실시양태에서 대략 원통형 내부 영역의 내경은 예를 들어 약 3인치 내지 약 6인치일 수 있다.
용기(300)가 일체형 본체를 갖는 것으로 도 3에 도시되어 있으나, 용기는 별도의 부분으로부터 제조될 수 있다. 이 용기는 가공 설비로 전달하기 위하여 물질을 기화시키기 위한 앰풀을 제공한다.
도 3에 도시되어 있는 바와 같이, 홀더(310)는 바닥 표면(301) 위에서 지지 표면(311)을 한정하도록 바닥 표면(301) 위에 위치할 수 있고, 홀더(320)는 지지 표면(311) 위에서 지지 표면(321)을 한정하도록 홀더(310) 위에 위치할 수 있고, 홀더(330)는 지지 표면(321) 위에서 지지 표면(331)을 한정하도록 홀더(320) 위에 위치할 수 있고, 홀더(340)는 지지 표면(331) 위에서 지지 표면(341)을 한정하도록 홀더(330) 위에 위치할 수 있고, 홀더(350)는 지지 표면(341) 위에서 지지 표면(351)을 한정하도록 홀더(340) 위에 위치할 수 있고, 홀더(360)는 지지 표면(351) 위에서 지지 표면(361)을 한정하도록 홀더(350) 위에 위치할 수 있다. 도 3에는 6개의 홀더(310, 320, 330, 340, 350 및 360)를 사용하는 것으로 도시되어 있으나, 임의의 적합한 수의 홀더를 기화기의 다양한 실시양태에서 사용할 수 있다.
도 3에 도시되어 있는 바와 같이, 대략 환형 지지체(304)가 용기(300)의 내부 영역에서 바닥 표면(301) 상에 위치하여, 홀더(310)를 바닥 표면(301) 위로 지지할 수 있다. 이어, 관(305)이 용기(300)의 내부 영역의 대략 중심 부위에서 홀더(360, 350, 340, 330, 320 및 310)의 개구를 통해 홀더(310)와 바닥 표면(301) 사이의 위치로 연장될 수 있다.
일례로서, 도 3의 기화기는 관(305)의 말단에 배플 또는 확산기를 연결함으로써 변형되어 바닥 표면(301) 상에 지지되는 물질 위로의 직접적인 기체 유동을 도울 수 있다. 기체가 기화되어야 하는 물질을 지지하는 최저 홀더에서 또는 그 근처에서 도입되는 실시양태에서, 도입된 기체는 임의의 적합한 구조체를 이용하여 최저 홀더에 의해 지지되는 물질 위로 및/또는 물질을 통해 유동하도록 유도될 수 있다.
도 3에 도시된 바와 같이, 용기(300)는 용기(300) 꼭대기의 개구 둘레에 칼라를 가질 수 있고, 뚜껑(306)을 칼라 위에 위치시키고 예컨대 나사(307) 같은 나사를 사용하여 칼라에 고정시킬 수 있다. 임의적으로는 칼라 꼭대기의 개구 둘레에 홈을 한정하여, 용기(300)와 뚜껑(3060) 사이에 O-링(308)을 위치시키는데 도움을 줄 수 있다. O-링(308)은 예컨대 테플론®, 임의의 적합한 엘라스토머, 또는 예를 들어 스테인레스 강 같은 임의의 적합한 금속 등의 임의의 적합한 물질로 제조될 수 있다. 뚜껑(306)은 뚜껑(306)의 대략 중심 영역을 통해 개구를 한정할 수 있고, 이 개구를 통해 적어도 부분적으로는 관(305)에 의해 한정되는 통로 또는 입구가 용기(300)의 내부 영역 내로 연장될 수 있다. 뚜껑(306)이 용기(300)의 칼라에 고정될 때, 뚜껑(306)을 O-링(308) 쪽으로 가압하여 뚜껑(306)을 칼라 위에 밀봉시키는데 도움을 줄 수 있으며, 관(306) 둘레에서 칼라 쪽으로 가압하여 뚜껑(306)을 홀더(360, 350, 340, 330, 320 및 310) 쪽으로 가압하는데 도움을 줄 수 있다. 이어, 홀더(360, 350, 340, 330, 320 및 310)의 O-링을 압축시켜 홀더(360, 350, 340, 330, 320 및 310)를 서로에 대해 및/또는 관(305)에 대해 밀봉시키는데 도움을 줄 수 있다. 입구 연결장치(391)를 갖는 밸브(381)를 관(305)에 연결하여 용기(300) 내로의 기체의 도입을 조절하는데 도움을 줄 수 있다. 또한, 뚜껑(306)은 개구를 한정할 수 있고, 이를 통해 적어도 부분적으로는 관에 의해 한정되는 통로 또는 출구가 용기(300) 내로 연장될 수 있다. 출구 연결장치(392)를 갖는 밸브(382)를 관에 연결하여, 용기로부터의 기체 전달을 조절하는데 도움을 줄 수 있다.
도 3에 도시된 바와 같이, 대량 원형 프릿(370)을 상부 홀더(360) 위에 위치시켜, 뚜껑(306)을 통해 한정되는 출구를 통해 전달하기 전에 홀더(360)에 의해 지지되는 물질 위로 유도되는 기체 유동으로부터 고체 물질을 여과하는데 도움을 줄 수 있다. 프릿(370)은 프릿(370)의 대략 중심 영역을 통해 대략 원형 개구를 한정할 수 있고, 이를 통해 관(305)이 연장될 수 있다. 뚜껑(306)이 용기(300)에 고정될 때 임의의 적합한 구조체를 사용하여 임의의 적합한 방식으로 프릿(370)을 홀더(360) 위로 가압하여, 프릿(370)을 홀더(360) 위에 밀봉시키는데 도움을 줄 수 있다. 기화기는 프릿(370)에 부가하여 또는 이 대신에 용기(300)로부터의 기체 전달을 위한 통로 또는 출구에 위치하는 프릿 및/또는 홀더(310, 320, 330, 340, 350 및 360)중 하나 이상을 통한 하나 이상의 통로에 위치하는 하나 이상의 프릿을 포함할 수 있다. 기화기의 프릿(들)도 Al2O3로 코팅될 수 있다. 유사한 방식으로, 기화기의 임의의 다른 내부 구성요소를 Al2O3로 코팅하여, 기화기의 내부 부피의 모든 표면 및 구성요소가 Al2O3로 코팅되도록 할 수 있다.
도 3의 기화기에서는, 밸브(381, 382) 사이에서 연결되는 관(395)에 의해 한정되는 우회 통로를 이용하여, 밸브(381, 382), 입구 연결장치(391) 및/또는 출구 연결장치(392)를 퍼지시키는데 도움을 줄 수 있다. 밸브(383)를 임의적으로 관(395)에 연결하여, 우회 통로를 통한 유체 유동을 조절하는데 도움을 줄 수 있다. 입구/출구 연결장치(397)을 임의적으로 이용하여, 용기(300)의 내부 영역에 대한 추가적인 입구/출구를 한정하는데 도움을 줌으로써, 내부 영역을 퍼지시키는데 도움을 줄 수 있다.
도 4는 본원의 다른 양태에 따라 필터 요소에 유용하게 사용되는 유형의 다공성 금속 프릿의 표면의 15K 배율의 현미경 사진이다.
프릿의 높은 표면적은 유리하게는 ALD에 의해 코팅될 수 있으며, 이 때 금속 전구체 및 산화성 공동 반응물은 별도의 자기-한정 펄스에서 표면에 도달한다. 프릿을 Al2O3로 코팅하기 위하여, 트리메틸알루미늄 및 물 또는 O3/O2 혼합물의 교대하는 펄스를 사용할 수 있다. 구체적인 조건은 모든 표면이 코팅될 때까지 각 단계의 펄스 길이를 증가시킴으로써 실험적으로 결정될 수 있다. 100 내지 400℃의 침착 온도를 이용하여 구체적인 실시양태에서 유용한 필름을 침착시킬 수 있다.
본원의 폭 넓은 실행에 예컨대 AlCl3, 다른 AlR3(알킬) 화합물(이 때, R3은 유기 잔기임), 또는 다른 휘발성 Al 화합물 같은 다른 알루미늄 공급원을 사용할 수 있음을 알게 될 것이다. N2O, O2, 알콜, 퍼산화물 등과 같은 다른 산소 공급원을 또한 알루미늄 공급 시약과 함께 사용하여, 본원의 이러한 실행에서 Al2O3 또는 관련된 AlOx 물질을 침착시킬 수 있다.
본원의 특징 및 이점은 하기 실시예에 의해 더욱 충분히 기재되는데, 이들 실시예는 본원의 이해를 돕기 위한 예시적인 특성을 갖는다.
실시예 1
전해 연마된 316L 스테인레스 강 샘플을 이소프로판올로 세정하여 표면을 깨끗하게 만들었다. 2개의 샘플을 원자 층 침착(ALD)에 의해 Al2O3로 코팅하였다. 하나의 샘플은 트리메틸알루미늄/퍼지/물/퍼지의 100 ALD 사이클을 거쳤고, 다른 하나의 샘플은 동일한 ALD 공정의 1000 사이클을 거쳤다. 침착 온도는 150℃였다. 2개의 샘플은 코팅하지 않았다. 2개의 코팅된 샘플 및 하나의 코팅되지 않은 샘플을, 수분 또는 산소가 샘플 또는 AlCl3와 상호작용하지 않도록 방지하기 위하여 질소-퍼지되는 글로브박스에서, 고체 AlCl3 분말을 갖는 유리 앰풀 내로 로딩하였다. 이어, 유리 앰풀을 PTFE 캡으로 밀봉하였다. AlCl3 및 스테인레스 강 샘플을 갖는 앰풀을 10일동안 120℃로 가열하였다. 10일이 끝난 후, 앰풀을 냉각시키고 다시 글로브박스 내로 갖고 왔다. 이 불활성 환경 하에서 샘플을 AlCl3로부터 제거하였다. 샘플의 질량 증가는 0.4 내지 0.7mg(<0.15%)이었다. 모든 표면은 육안으로 깨끗해 보였다. 이어, 이들 세 개의 샘플 및 AlCl3에 노출되지 않은 추가의 샘플을 상부 표면에서 주사 전자 현미경(SEM)으로 시험하고, 이어 집속 이온 빔(FIB)에 의해 절단하여 표면 공격이 있는지의 여부를 결정하였다.
도 5는 AlCl3에 노출되지 않은 샘플의 표면 이미지를 도시한다. 이 샘플의 표면은 깨끗하고 스테인레스 강의 주요 원소, 즉 Fe, Cr 및 Ni를 보여준다.
도 6은 AlCl3에 노출된 코팅되지 않은 샘플을 보여준다. 이 샘플 상에 상당한 표면 잔류물이 있고 스테인레스 강의 주성분에 Al 및 Cl이 부가되어 있음을 볼 수 있다.
도 7은 AlCl3에 노출되지 않은 샘플의 단면을 보여준다. 표면 공격이 없음이 명백하다.
도 8은 AlCl3에 노출된 코팅되지 않은 샘플을 도시한다. Al- 및 Cl-함유 잔류물을 갖는 구역 아래에 0.1 내지 0.2마이크론의 표면 공격이 있었음이 명백하도록 표면에 대해 비교되는 선이 있다.
도 9는 표면 코팅 없이 AlCl3에 노출된 샘플의 다른 구역을 보여준다. 처리되지 않은 스테인레스 강 표면에 천연 산화물이 존재한다. 이 구역에서는, 다수개의 피트가 명백히 보인다.
대조적으로, 도 10은 120℃에서 AlCl3에 노출되기 전에 TMA/H2O 100 사이클의 코팅을 가진 표면의 단면을 도시한다. 이 경우, 표면에 접착된 Al- 및 Cl-함유 잔류물이 여전히 존재하지만, 스테인레스 강 표면의 임의의 공격의 증거는 없다.
마찬가지로, 도 11은 120℃에서 AlCl3에 노출되기 전에 TMA/H2O 1000 사이클의 코팅을 가진 표면의 단면을 도시한다. 이 경우, 표면에 접착된 Al- 및 Cl-함유 잔류물이 여전히 존재하지만, 스테인레스 강 표면의 임의의 공격의 증거는 없다.
실시예 2
구체적인 실험적 평가에서, 첫 번째 시험에서는 삼염화알루미늄(AlCl3)에 노출시켜, 또한 두 번째 시험에서는 오염화텅스텐(WCl5)에 노출시켜, 알루미나 코팅의 효능을 평가하였다.
첫 번째 시험에서는, 전해 연마된 316L 스테인레스 강의 샘플 쿠폰을 Al2O3 470Å으로 코팅하거나 코팅하지 않았다. 각 유형의 샘플 하나를 고체 AlCl3가 든 2개의 용기중 하나에 위치시켰다. 두 용기 모두를 로딩하고 밀봉하고 N2 퍼지되는 글로브박스(0.1ppm 미만의 O2 및 H2O 수준) 내부에서 헬륨으로 3psig까지 가압하였다. 외부(outboard) He 누출 시험은 용기중 하나가 1E-6scc/s(이는 측정의 분석 한계임) 미만의 누출율을 가졌고 다른 하나의 용기가 2.5E-6scc/s의 누출율을 가진 것으로 결정하였다. 용기를 동일한 오븐에서 155℃로 9일간 가열하고 냉각시키고, 글로브박스에서 쿠폰을 제거하였다. 표 2는 다양한 쿠폰의 질량 변화를 보여준다.
155℃에서 9일간 AlCl3에 침지된 다양한 쿠폰의 질량 변화
샘플 유형 ID 누출율 최초 질량 후 질량 변화 % 변화
scc He/s g g g
코팅된 쿠폰 2 2.50E-06 3.3986 3.3967 -0.0019 -0.06%
코팅된 쿠폰 3 <1E-6 3.3896 3.3896 0.0000 0.00%
코팅되지 않은 쿠폰 12 2.50E-06 3.3913 3.3824 -0.0089 -0.26%
코팅되지 않은 쿠폰 13 <1E-6 3.4554 3.4554 0.0000 0.00%
도 12는 155℃에서 AlCl3에 9일간 노출된 후 표 2의 샘플 쿠폰의 합성 사진이며, 여기에서 개별적인 쿠폰은 표 2에 기재된 것과 동일한 ID 번호로 확인된다.표 2로부터, 용기의 측정가능한 누출이 있는 경우에만 질량 변화가 칭량가능하다는 것이 명백하다. 이 부식성 노출에서, 표 2에 기재된 샘플의 질량 손실 및 도 12의 개별적인 샘플 쿠폰의 합성 사진은 코팅된 샘플 쿠폰(2)이 155℃에서 AlCl3에 9일간 노출된 후 코팅되지 않은 샘플 쿠폰(12)보다 상당히 더 우수한 상태로 있음을 보여준다. XRF에 의해 측정된 Al2O3 코팅 두께는 변화가 없었다.
두 번째 시험에서는, 전해 연마된 316L 스테인레스 강의 샘플 쿠폰을 Al2O3 470Å 두께 코팅으로 코팅하거나 코팅하지 않았다. 샘플 쿠폰을 고체 WCl5가 든 용기에 넣었으며, 개별적인 용기에서 165℃, 180℃ 및 220℃의 온도 조건을 유지하였다. 모든 용기를 로딩하고 N2 퍼지되는 글로브박스(O2 및 H2O 수준은 0.1ppm 미만임) 내에서 밀봉하였다. 이어, 용기를 오븐에서 10일간 가열하고 냉각한 후, 샘플 쿠폰을 글로브박스에서 개별적인 용기로부터 제거하였다.
x-선 형광(XRF) 분광 기법에 의해 두께를 측정하여, 최초 측정된 두께로부터 알루미나 코팅의 코팅 두께 면에서의 변화를 평가하였다. 표 3은 WCl5에 노출되기 전 및 후 Al2O3 두께의 XRF 측정치를 함유하며, 이 때 2개의 샘플 쿠폰은 이러한 노출시 165℃에서 10일간 유지시켰고, 2개의 샘플 쿠폰은 이러한 노출시 180℃에서 10일간 유지시켰으며, 1개의 샘플 쿠폰은 이러한 노출시 220℃에서 10일간 유지시켰다. 에칭 공정시 코팅 약 15 내지 30Å이 전형적으로 에칭되었다.
10일동안 다양한 온도에서 WCl5에 노출시키기 전 및 후의 Al2O3 필름 두께의 XRF 측정
T(℃) 최초 AlOx 두께, Å 최종 AlOx 두께, Å 두께 변화, Å
165 462.4 439.6 -22.8
165 467.5 450.8 -16.7
180 474.8 447.8 -27.0
180 477.5 411.7 -65.8
220 476.1 182,8 -293.4
도 13은 220℃에서 10일간 WCl5에 노출된 샘플의 상부-하향 주사 전자 현미경(SEM) 현미경사진이고, 도 14는 이러한 샘플에서 코팅의 가장자리의 집속 이온 빔(FIB) 단면이다. 이 두 번째 시험에서 코팅된 샘플 및 코팅되지 않은 샘플은 육안에 의해 또는 SEM 시험에 의해 또는 중량 변화에 의해 부식의 징후를 보이지 않았다. 그러나, 더 높은 온도에서는 상당량의 Al2O3 코팅이 제거되었다. 165℃에서의 두 샘플은 모두 세정 공정에 일치되는 양으로 에칭되었다. 180℃에서의 샘플중 하나는 세정에 상응하는 27Å을 상실하였으나, 다른 하나의 샘플은 약 66Å의 두께를 상실하였고 이는 세정시 상실보다 상당히 더 높은 값이다. 220℃에서는, 알루미나 코팅이 일부 구역(더 밝은 구역)에서는 제거되고 다른 구역(더 어두운 구역)에서는 완전하게 유지되는 도 13에 도시된 바와 같이 코팅의 약 60%가 제거되었다. 도 14에서, 현미경사진은 오른쪽에 완전하게 유지되는 코팅을 보여주고 코팅된 구역의 가장자리는 화살표로 표시된다.
본원이 예시적으로 반도체 제조 설비에 관한 것이긴 하지만, 공정 설비에서의 표면이, 작업시 산화물과 반응하여 이러한 설비를 이용하여 제조되는 제품 및 이러한 설비를 이용하여 수행되는 공정에 유해한 반응 생성물을 생성시키는 증기 상 성분에 의해 공격을 받기 쉬운, 평면 패널 디스플레이, 태양 전지, 태양광 패널 등과 같은 다른 제품을 제조하기 위한 다른 기체 가공 장치에, 본원의 보호 코팅 해결책이 마찬가지로 적용될 수 있음을 알게 될 것이다.
아래에는 박막 원자 층 침착 코팅에 관련된 본원의 추가적인 양태가 기재된다.
다양한 조성물 및 방법이 기재되었으나, 본 발명은 기재된 특정한 분자, 조성물, 디자인, 방법 또는 프로토콜로 한정되지 않음(왜냐하면 이들은 변할 수 있기 때문에)을 알아야 한다. 또한, 본원에 사용되는 용어는 특정 버전 또는 실시양태를 기재하는 목적만을 위한 것이며 본 발명의 영역을 한정하고자 하지 않음을 알아야 한다.
본원에 사용되는 단수형은 문맥상 명백하게 달리 해석되지 않는 한 복수 인용물을 포함한다. 그러므로, 예를 들어 "층"을 인용하는 것은 당 업자에게 공지되어 있는 하나 이상의 층 및 그의 등가물 등을 인용하는 것이다. 달리 한정되지 않는 한, 본원에 사용되는 모든 기술적 용어 및 과학적 용어는 당 업자가 통상적으로 아는 것과 동일한 의미를 갖는다.
본원에 기재된 것과 유사하거나 본원에 기재된 것의 등가물인 방법 및 물질을, 본원의 실시양태의 실행 또는 시험에 사용할 수 있다. 본원에 언급되는 모든 간행물은 본원에 참고로 인용된다. 본원에서 특허청구되는 발명이 종래의 발명 때문에 이러한 간행물에 선행하는 것으로 인정되지 않는다고 간주되어야 하는 것은 아니다. "임의적임" 또는 "임의적으로"는 후속 기재되는 사건 또는 상황이 일어날 수 있거나 일어나지 않을 수 있고 이 기재가 사건이 일어나는 경우 및 일어나지 않는 경우를 포함함을 의미한다. 본원의 모든 수치 값은 명시적으로 표시되든 표시되지 않든 상관없이 용어 "약"으로 수식될 수 있다. 용어 "약"은 일반적으로 당 업자가 인용된 값에 상응하는 것으로(즉, 유사한 기능 또는 결과를 갖는 것으로) 생각하는 수치의 범위를 가리킨다. 일부 실시양태에서, 용어 "약"은 언급된 값의 ±10%를 가리키고, 다른 실시양태에서 용어 "약"은 언급된 값의 ±2%를 가리킨다. 조성물 및 방법이 다양한 성분 및 단계를 "포함하는"이라는 용어로 기재되지만, 이러한 용어는 본질적으로 닫힌 또는 닫힌 일원의 군을 한정하는 것으로 해석되어서는 안된다.
본원에 사용되는 용어 "필름"은 1000㎛ 미만, 예를 들어 이 값으로부터 원자 단일 층 두께 값까지의 두께를 갖는 침착된 물질의 층을 가리킨다. 다양한 실시양태에서, 본 발명의 실행시 침착된 물질 층의 필름 두께는 관련되는 특정 용도에 따라 예를 들어 100, 50, 20, 10 또는 1㎛ 미만일 수 있거나, 또는 다양한 박막 체계에서는 200, 100, 50, 20 또는 10nm 미만일 수 있다. 본원에 사용되는 용어 "박막"은 1㎛ 미만의 두께를 갖는 물질의 층을 의미한다.
본원이 하나 이상의 실행과 관련하여 본원에 기재되었으나, 당 업자는 본원을 읽고 이해한 바에 기초하여 상응하는 변화 및 변형을 이끌어내게 된다. 본원은 이러한 변화 및 변형을 모두 포함한다. 또한, 본원의 특정 특징 또는 양태가 몇 가지 실행중 하나만과 관련하여 개시되었으나, 이러한 특징 또는 양태는 임의의 소정 또는 특정 용도에 요구되고 유리할 수 있는 바와 같이 다른 실행의 하나 이상의 다른 특징 또는 양태와 결합될 수 있다. 뿐만 아니라, 용어 "포함하다", "갖는", "가지다", "갖고" 또는 이들의 변형 용어가 본원에 사용되는 한도내에서, 이 용어는 용어 "포함하는"과 유사한 방식으로 포함하고자 한다. 또한, 용어 "예시적인"은 최선이라기보다는 일례를 의미할 뿐이다. 또한, 본원에 묘사된 특징, 층 및/또는 요소는 간단하게 하고 용이하게 이해하도록 하기 위하여 서로에 대한 특정 치수 및/또는 배향으로 예시 및/또는 교시되고, 실제 치수 및/또는 배향은 본원에 도시되고/되거나 교시된 것과는 실질적으로 상이할 수 있음을 또한 알아야 한다.
그러므로, 본원은 그의 특징, 양태 또는 실시양태와 관련하여 본원에 다양하게 기재된 바와 같이 특정 실행에서 이러한 특징, 양태 및 실시양태중 일부 또는 모두를 포함하거나, 이들로 이루어지거나, 본질적으로 이들로 이루어질 수 있으며, 이의 요소 및 구성요소는 본원의 다양한 다른 실행을 구성하도록 합쳐진다. 따라서 본원은 다양하게 치환 및 조합되는 이러한 특징, 양태 및 실시양태, 또는 이들중 선택된 하나 또는 여럿이 본원의 영역 내에 속하는 것으로 고려한다. 또한, 본원은 본원의 다른 실시양태와 관련하여 본원에 개시된 임의의 하나 이상의 특정 특징, 양태 또는 요소를 배제함으로써 한정될 수 있는 실시양태를 고려한다.
본원의 한 양태에 따라, 하나 이상의 층으로 구성되는 박막 코팅이 제공되며, 이 때 하나 이상의 층은 원자 층 침착에 의해 침착된다.
본원의 양태에 따라, 하기가 제공된다:
- 1Å보다 큰 필름 두께, 일부 용도에서는 10,000Å보다 큰 필름 두께를 갖는 ALD 코팅.
- 매우 조밀하고 핀홀이 없는 무결함 층을 제공하는 ALD 코팅.
- 다수개의 부품 상에 침착시키고자 하는(그러나 Si 웨이퍼 상에서 제조되는 실제 IC 장치(트랜지스터)의 경우에는 직접은 아님) 박막 코팅.
- ALD 코팅은 알루미나(Al2O3), 이트리아(Y2O3), 지르코니아(ZrO2), 티타니아(TiO2) 등과 같은 절연 금속 산화물, 및 백금, 니오브 또는 니켈 같은 금속으로 이루어질 수 있다.
- ALD 코팅은 RT(실온) 내지 400℃에서 침착될 수 있다.
- ALD 코팅은 예컨대 1μ 두께의 알루미나 층 같은 한정된 화학량론을 갖는 단일 필름, 또는 예를 들어 {0.25μ 티타니아+0.5μ 알루미나+0.25μ 지르코니아} 같은 수 개의 층, 또는 예를 들어 {1개의 원자 층 티타니아+2개의 원자 층 알루미나}×n(여기에서, n은 1 내지 10,000임) 같은 진정한 다층 구조체, 또는 이들의 조합일 수 있다.
- ALD 층이 PE-CVD, PVD, 스핀-온 또는 졸-겔 침착, 대기 플라즈마 침착 등과 같은 상이한 침착 기법에 의해 침착된 다른 층과 조합된 박막 코팅.
- 1μ 내지 100μ의 총 필름 두께.
- 전체 적층체의 ALD 코팅 두께 부분이 2μ 이하임(2μ은 하나 이상의 개별적인 층임).
- 알루미나, 옥시질화알루미늄, 이트리아, 이트리아-알루미나 믹스, 산화규소, 옥시질화규소, 전이금속 산화물, 전이금속 옥시-질화물, 희토류 금속 산화물, 희토류 금속 옥시-질화물 같은 산화물의 군으로부터 선택되는 다른 코팅 물질.
- ALD 코팅을 패턴화하는 능력.
- 방법 1: 부품을 균일하게 코팅한 다음 마스크를 통해 원치 않는 물질을 에칭함(기계적, 예를 들어 비드 블라스트, 물리적, 예를 들어 플라즈마 이온, 또는 화학적, 예컨대 플라즈마 또는 습식 에칭에 의해 에칭해낼 수 있음).
- 방법 2: 원치 않는 구역을 마스킹하고, ALD 코팅한 다음, 마스킹된 구역을 제거함. 마스크는 밀봉된 시트, 또는 고정 비품 또는 포토레지스트(박리 기법)일 수 있다.
- 방법 3: ALD 필름 성장을 차단하는 표면 종결 층으로 기판 상에 패턴을 생성시킴. 예를 들어, H2O 및 TMA(트리메틸알루미늄)에 대한 점착 계수가 "0"인 표면 종결 층을 사용할 수 있다. 본원에 사용되는 표면 종결 층은 자가-한정 층, 예를 들어 자가-한정 ALD 층이다. 본원에 사용되는 점착 계수는 표면에 흡착되거나 "들러붙는" 흡착제 원자(또는 분자)의 수 대 동일한 기간 동안 그 표면 상에 충돌하는 아이템의 총 수의 비이다.
본원의 양태에 따라, 하기 용도가 제공된다:
용도:
- 부품의 조밀하고 핀홀 없는 무결함 전기 절연.
- 높은 종횡비의 특징부를 갖는 부품을 코팅하는 능력. 예: (1) 깊은 구멍, 채널 및 3차원 특징부가 있는 부품, (2) 나사 및 너트 같은 장비, (3) 다공성 막, 필터, 3차원 망상조직 구조체, (4) 연속 공극 매트릭스를 갖는 구조체.
- 전기 절연 층: 높은 유전성 파괴 강도 및 높은 전기 저항(낮은 누전). 이는 ALD Al2O3로 달성됨. 티타니아-알루미나-지르코니아(TAZ)의 다층을 사용하면 전기 절연체 성능을 추가로 개선시킨다. 다양한 다층 구성이 있다:
Xnm TiO2 + Ynm Al2O3 + Znm ZrO2
[Unm TiO2 + Vnm Al2O3 + Wnm ZrO2T] × n
Xnm TiO2 + [Vnm Al2O3 + Wnm ZrO2T] × m 등.
여기에서, X, Y, Z, U, V 및 W는 각각 0.02nm 내지 500nm일 수 있고, n 및 m은 각각 2 내지 2000일 수 있다.
- 내약품성 및 에칭 저항성 코팅: ALD 층은 알루미나, 이트리아, 세리아 등일 수 있다. 전체 에칭 저항성 코팅은 (1) ALD 층으로만 구성될 수 있고, (2) PVD, CVD 및 ALD의 조합으로 이루어질 수 있으며, (3) ALD가 이후 더욱 상세하게 논의되는 바와 같이 상부 코팅되고 밀봉제 층으로서의 역할을 할 수 있으며, (4) ALD가 하부 층이어서 강건항 토대를 제공할 수 있고, (5) ALD가 CVD 코팅 층 및/또는 PVD 코팅 층 사이에 끼일 수 있다.
- ALD 코팅은 고급(advanced) 배터리, 기체 필터, 액체 필터, 전기-도금 도구 구성요소, 플라즈마-습윤되는 구성요소(플루오르 및 다른 할로겐 공격에 대해 보호하기 위하여) 등과 같은 용도에 내약품성을 제공할 수 있다.
- ALD 코팅은 내식성 코팅으로서의 역할을 할 수 있다.
- 확산 차단 층; 조밀하고 핀홀이 없는 동형 ALD 층은 탁월한 미량 금속 확산 차단 특징을 제공한다.
- ALD 층은 아래에 놓이는 기판(유리, 석영, 알루미늄, 애노드화된 알루미늄, 알루미나, 스테인레스 강, 규소, SiOx, AlON 등)과 위에 놓이는 코팅 층(PVD 이트리아, PVD AlON, PVD Al2O3, CVD SiOx, CVD SiOxNy, CVD Al2O3, CVD AlOxNy, DLC, Si, SiC 등) 사이의 접착 층으로서의 역할을 할 수 있다.
본원의 다른 양태에 따라, ALD-침착된 표면 밀봉 층을 코팅에 사용한다. ALD(원자 층 침착)는 둘 이상의 교대하는 전구체의 화학적 흡착을 이용하여 매우 조밀하고 거의 완벽하게 배열된(물리적으로, 또한 화학량론적으로) 박막을 형성하는 확립된 기법이다. 이 기법은 정밀하게 제어되는 필름 성장을 허용하고, 거의 100% 동형이며, 매우 높은 종횡비의 특징부 내를 비롯하여 전구체 기체가 도달할 수 있는 임의의 표면 위치에서 필름을 성장시킨다. 이와 관련하여, ALD-침착되는 밀봉 코팅을 하기 용도에 사용할 수 있다:
(1) 기존 표면 위를 코팅 및 밀봉하여, 이 표면/부품의 향상되고 탁월한 특성을 제공함,
(2) CVD, PVD, 분무 또는 다른 코팅 위에 ALD 밀봉 코팅을 도포하여, 코팅의 결함에 대한 밀봉제를 제공함, 예를 들어
(i) 코팅 표면 근처의 임의의 균열을 채우고, 따라서 부식성의 에칭 환경에 불투과성인 표면을 제공함,
(ii) 임의의 거대 공극, 코팅 결함, 관입부(intrusion) 등을 채우고 밀봉하여, 기체 및 액체에 대해 불투과성이고 제어되는 매끈한 동형 밀봉 층으로 종결되는 코팅 표면 층을 제공함,
(iii) 코팅의 표면 조도 및 전체적인 표면적을 감소시키고, 따라서 부식성 환경에서 최소한으로만 공격받는 매끈하고 조밀한 표면 층을 제공함,
(iv) 상부 코팅으로 조밀하고 매끈하게 밀봉된 표면을 제공함으로써 입자 생성을 최소화하고, 경도, 인성 및 내긁힘성을 개선함.
본원의 다양한 양태에서, ALD 밀봉제는 (a) 개선된 에칭 저항성 및 내식성, 및/또는 (b) 감소된 마찰, 마모 및 개선된 기계적 내마모성을 필요로 하는 부품 및 표면에 도포될 수 있다.
동시에 ALD 밀봉 층은 확산 차단벽으로서의 역할도 할 수 있으며, 이는 표면 전기 특성 뿐만 아니라 친수성 및 소수성 같은 표면 종결 특성을 제어하는 능력을 갖는다.
본원의 추가적인 양태는 알루미나, 이트리아 또는 이 유형의 다른 코팅 같은 내약품성 코팅을 갖는 섬유상 금속 막을 이용하는 ALD 기법의 사용을 포함한다. ALD 기법은 기체가 섬유상 막 위에서 다공성 필터 및 코팅을 침투하여 부식성 기체에 대한 저항성을 제공하도록 할 수 있다.
본원의 이 양태는 작은 미크론 크기의 개구를 침투하고 섬유 상에서 균일하게 코팅할 수 있는 침착 기체에 기초한 기법을 제공한다.
본원의 이 양태는 미국 매사추세츠주 빌러리카 소재의 엔테그리스, 인코포레이티드에서 제조된 4μ Ni-계 기체 필터 상에 알루미나 코팅을 침착시킴으로써 입증되었다.
본원의 ALD 기법은 1) 필터의 미크론 크기의 공극 같은 작은 특징부 내로의 코팅 침투(완벽한 도포율을 보장함), 2) 섬유의 밀폐성 밀봉(따라서, 필터 막을 보호함), 3) 이 기법을 이용하여 다양하고 상이한 코팅을 침착시킬 수 있는 것과 같은 다양한 이점을 제공한다.
본원은 또한 코팅되는 기판 제품 또는 설비의 가공 특징을 개선하기 위한 ALD 코팅의 용도를 고려한다. 예를 들어, 기판 제품의 어닐링 동안 발생될 수 있는 블리스터링(blistering) 또는 다른 바람직하지 못한 현상(다층 필름 제품의 층사이의 열 팽창 계수의 미스매치 때문에)에 대항하기 위해 ALD 필름을 사용할 수 있다. 그러므로, ALD 필름을 다층 필름 구조체에 사용하여, 이러한 물질 특성 차이를 경감시키거나 또는 최종 생성물 제품의 전기적, 화학적, 열적 및 다른 성능 특성을 개선할 수 있다.
본원은 또한 유체 취급 장치의 사용시 화학적 공격의 위험을 제공할 수 있는 유체 취급 장치의 유체-접촉 표면을 보호하기 위한 ALD 코팅의 용도를 고려한다. 이러한 장치는 예를 들어 기체를 반도체 제조 도구에 공급하기 위해 사용되는 유체 저장 및 분배 패키지를 포함할 수 있으며, 여기에서 유체는 유동 경로 구성요소 및 하류 공정 설비에 불리하게 영향을 끼칠 수 있다. 특정 용도에서 특정 문제를 야기할 수 있는 유체는 붕소 또는 게르마늄의 플루오르화물 같은 할로겐화물 기체를 포함할 수 있다. 따라서, 본원의 코팅을 사용하여 이들 및 다른 용도에서 공정 설비, 유동 회로 및 시스템 구성요소의 성능을 향상시킬 수 있다.
추가적인 양태에서, 본원은 상이한 ALD 생성물의 층을 포함하는 복합 ALD 코팅에 관한 것이다. 상이한 ALD 생성물은 임의의 적합한 유형일 수 있고, 예를 들어 상이한 금속 산화물, 예컨대 티타니아, 알루미나, 지르코니아, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 화학식 M'O2(여기에서, M'은 화학량론적으로 허용가능한 금속임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 La, Sc 또는 Y 같은 란탄족 원소임)의 산화물로 이루어진 군으로부터 선택되는 둘 이상의 금속 산화물을 포함할 수 있다. 다른 실시양태에서, 복합 ALD 코팅은 하나 이상의 알루미나 층을 포함할 수 있다. 또 다른 실시양태에서, 복합 ALD 코팅은 티타니아, 또는 지르코니아, 또는 다른 적합한 물질의 하나 이상의 층을 포함할 수 있다.
이러한 복합 ALD 코팅은 상이한 ALD 생성물로서 상이한 금속, 예를 들어 백금, 니오브 및 니켈로 이루어진 군으로부터 선택되는 둘 이상의 금속을 포함할 수 있다. 임의의 적합한 상이한 금속을 사용할 수 있다.
다른 실시양태에서, 상이한 ALD 생성물은 복합 코팅의 제 1 층의 제 1 ALD 생성물로서 금속 산화물 물질, 및 복합 코팅의 제 2 층의 제 2 ALD 생성물로서 금속을 포함할 수 있다. 금속 산화물 물질은 예를 들어 알루미나, 티타니아 및 지르코니아로 이루어진 군으로부터 선택될 수 있고, 금속은 백금, 니오브 및 니켈로 이루어진 군으로부터 선택된다.
상기 기재된 복합 ALD 코팅은 코팅에 임의의 적합한 수의 층, 예를 들어 2 내지 10,000개의 층을 가질 수 있다.
다른 양태에서 본원은 하나 이상의 ALD 층 및 ALD 층이 아닌 하나 이상의 침착된 층을 포함하는 복합 코팅에 관한 것이다. 복합 코팅은 예를 들어 ALD 층이 아닌 하나 이상의 침착된 층이 CVD 층, PE-CVD 층, PVD 층, 스핀-온 층, 분무된 층, 졸 겔 층, 및 대기 플라즈마 침착 층으로 이루어진 군으로부터 선택되도록 구성될 수 있다. 다양한 실시양태에서, 복합 코팅중 층은 알루미나, 옥시질화알루미늄, 이트리아, 이트리아-알루미나, 산화규소, 옥시질화규소, 전이금속 산화물, 전이금속 옥시-질화물, 희토류 금속 산화물 및 희토류 금속 옥시-질화물로 이루어진 군으로부터 선택되는 물질의 하나 이상의 층을 포함할 수 있다.
본원은 ALD 필름 성장을 방지하는데 효과적인 표면 종결 물질의 층의 기판 상에서 패턴을 형성함을 포함하는, 기판 상에 패턴화된 ALD 코팅을 형성시키는 방법을 추가로 고려한다. 특정 실행시 이러한 표면 종결 물질은 물 및 트리메틸알루미늄에 대해 본질적으로 0의 점착 계수를 나타낼 수 있다. 다양한 실시양태에서, ALD 코팅은 알루미나를 포함할 수 있다.
본원은 물질의 표면 결함 상에 이 결함을 채우고/채우거나 밀봉하는 두께로 ALD 코팅을 도포함을 포함하는, 물질의 표면 결함을 채우고/채우거나 밀봉하는 방법을 추가로 고려한다. 결함은 임의의 유형일 수 있고, 예를 들어 균열, 모양 상의 결함, 공극, 핀홀, 불연속점, 관입부, 표면 조도 및 표면 거칠음으로 이루어진 군으로부터 선택될 수 있다.
본원의 다른 양태는 금속 및/또는 중합에 물질로 제조되는 섬유 및/또는 입자의 매트릭스를 포함하는 필터에 관한 것으로, 이 때 상기 섬유 및/또는 입자의 매트릭스는 그 위에 ALD 코팅을 갖고, ALD 코팅은 섬유 및/또는 입자의 매트릭스의 공극 부피를 상기 ALD 코팅을 위에 갖지 않는 섬유 및/또는 입자의 상응하는 매트릭스에 비해 5%보다 많이 변화시키지 않고, 상기 섬유 및/또는 입자가 금속으로 제조되는 경우 ALD 코팅은 금속을 포함하고, ALD 코팅의 금속은 섬유 및/또는 입자의 금속과 상이하다.
필터는 유체를 여과하기 위하여 섬유 및/또는 입자의 매트릭스를 통해 유체를 유동시키도록 구성되는 하우징에서 상기 섬유 및/또는 입자의 매트릭스로 구성될 수 있다. 다양한 실시양태에서, ALD 코팅은 적합한 유형의 전이금속, 금속 산화물, 또는 전이금속 산화물을 포함할 수 있다. 예를 들어, ALD 코팅은 티타니아, 알루미나, 지르코니아, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 La, Sc 또는 Y 같은 란탄족 원소임)의 산화물로 이루어진 군으로부터 선되는 금속 산화물을 포함할 수 있다. 다양한 실행에서 ALD 코팅은 알루미나를 포함한다. 필터의 매트릭스는 니켈 섬유 및/또는 입자, 스테인레스 강 섬유 및/또는 입자, 또는 중합체 물질(예컨대, 폴리테트라플루오로에틸렌) 같은 다른 물질의 섬유 및/또는 입자를 포함할 수 있다. 필터는 다양한 실시양태에서, 임의의 적합한 직경의 공극을 포함할 수 있다. 예를 들어, 공극은 일부 실시양태에서 1㎛ 내지 40㎛일 수 있고, 일부 실시양태에서는 20㎛ 미만, 10㎛ 미만, 5㎛ 미만 또는 임의의 적합한 값일 수 있으며, 다른 실시양태에서는 1 내지 10㎛, 1 내지 20㎛, 20 내지 40㎛, 또는 임의의 적합한 값의 범위일 수 있다. ALD 코팅 자체는 임의의 적합한 두께를 가질 수 있고, 다양한 실시양태에서는 2 내지 500nm의 두께를 가질 수 있다. 일반적으로, 특정 최종 사용 또는 용도에 적절한 임의의 적합한 공극 크기 및 두께 특징을 이용할 수 있다.
필터는 그의 보유 등급과 관련하여 적합한 특징을 가질 수 있다. 예를 들면, 특정 실시양태에서 필터의 보유 등급은 30표준리터/분의 기체 유동 이하의 기체 유량에서 3nm보다 큰 입자의 경우 9의 대수 감소 값에 의해 특징지워질 수 있다. 본원의 ALD-코팅된 필터는 필터가 특정 유속에서 가장 침투성인 입자 크기, 즉 9LRV에서 결정되는 예컨대 99.9999999%의 제거율 같은 높은 제거 효율을 달성해야 하는 다양한 용도에 사용될 수 있다. 9LRV 등급을 평가하는 시험 방법은 루보(Rubow, K. L.) 및 데이비스(Davis, C. B.)의 문헌["Particle Penetration Characteristics of Porous Metal Filter Media For High Purity Gas Filtration", Proceedings of the 37rd Annual Technical Meeting of the Institute of Environmental Sciences, pp. 834-840 (1991)]; 루보, 프로즈(D.S. Prause) 및 아이젠만(M. R. Eisenmann)의 문헌["A Low Pressure Drop Sintered Metal Filter for Ultra-High Purity Gas Systems", Proc. of the 43rd Annual Tehnical Meeting of the Institute of Environmental Sciences, (1997)]; 및 반도체 설비 및 물질 국제(SEMI) 시험 방법 SEMI F38-0699["Test Method for Efficiency Qualification of Point-of-Use Gas Filters"]에 기재되어 있으며, 이들 문헌은 모두 본원에 참고로 인용된다.
본원에 따라 ALD에 의해 보호 코팅으로 코팅될 수 있는 소결된 금속 필터/확산판은 U.S. 특허 제 5,114,447 호, 제 5,487,771 호, 및 제 8,932,381 호 및 U.S. 특허 공보 제 2013/0305673 호에 기재되어 있는 소결된 금속 필터/확산판을 포함한다.
본원에 따라 보호 코팅으로 코팅된 기체 필터는 다양하게 구성될 수 있다. 예시적인 특정 실시양태에서, 필터는 1 내지 40㎛, 또는 1 내지 20㎛, 또는 20 내지 40㎛, 또는 다른 적합한 값의 공극 크기를 가질 수 있다. 이러한 기체 필터는 스테인레스 강 및 니켈 구성으로 존재할 수 있다. 둘 다 공격적인 기체 환경에 노출될 때 금속 오염이 이루어지기 쉽다. 이러한 기체 필터의 필터 매트릭스를, 본 발명에 따라 ALD 코팅 기법을 이용하여 알루미나의 화학적으로 불활성이고 강건한 박막으로 상부 코팅할 수 있다. ALD 공정은 임의의 수의 침착 사이클, 예를 들어 100 내지 5000 사이클을 포함할 수 있다. 특정 실행에서는, 예컨대 200℃ 내지 300℃(예를 들어, 250℃)일 수 있는 온도에서 긴 대기 및 퍼지 시간을 이용하는 트리메틸알루미늄/H2O 공정을 이용하여 50 내지 1500 사이클(사이클당 0.75Å 내지 1.25Å, 예컨대 1.1Å/사이클의 침착)로 ALD 알루미나 필름을 침착시킬 수 있다.
ALD 알루미나 코팅 공정을 수행하여 예를 들어 다양한 실시양태에서 15nm 내지 200nm일 수 있는 기체 필터 상의 알루미나 코팅 두께를 제공할 수 있다. 다른 실시양태에서, ALD 알루미나 코팅 두께는 20nm 내지 50nm일 수 있다.
ALD 코팅 기법에 의해 제조되는 상기 기체 필터 코팅은 산화알루미늄 필름중 알루미늄 함량을 변화시키도록 수행될 수 있다. 예를 들어, 이러한 필름의 알루미늄 함량은 다양한 실시양태에서 25원자% 내지 40원자%일 수 있다. 다른 실시양태에서, 알루미늄 함량은 28원자% 내지 35원자%이고, 또 다른 실시양태에서 ALD 코팅의 알루미늄 함량은 산화알루미늄 필름의 30원자% 내지 32원자%이다.
다른 예시적인 실시양태에서, 기체 필터는 2 내지 5㎛의 공극 크기를 갖는 인-라인 금속 기체 필터를 포함하며, 이 때 필터는 티탄 필터 매트릭스를 포함하고, ALD 알루미나 코팅은 10nm 내지 40nm, 예를 들어 20nm일 수 있는 두께를 갖는다. 또 다른 실시양태에서, 기체 필터는 2 내지 5㎛의 공극 크기를 갖는 니켈계 기체 필터 매트릭스를 포함할 수 있는데, 여기에서 ALD 알루미나 코팅은 10nm 내지 40nm, 예컨대 20nm일 수 있는 두께를 갖는다.
본원의 보호 코팅은 유체 저장 및 분배 용기, 고체 시약 기화기 용기 등과 같은 화학 시약 공급 패키지에서 표면을 코팅하는데 또한 사용될 수 있다. 이러한 유체 저장 및 분배 용기는 이러한 용기에 저장되고 이러한 용기로부터 분배되어야 하는 물질 이외에 저장되는 물질을 위한 저장 매질(이로부터 물질 저장 패키지의 용기로부터 저장된 물질이 분배되기 위하여 방출될 수 있음)도 다양하게 함유할 수 있다. 이러한 저장 매질은 유체가 가역적으로 흡착되는 물리적 흡착제, 가역적인 유체 저장을 위한 이온성 저장 매질 등을 포함할 수 있다. 예를 들어, 2008년 3월 6일자로 공개된 국제 특허 공개 WO 2008/028170 호(이는 본원에 참고로 인용됨)에 개시되어 있는 유형의 고체 전달 패키지는 그의 내표면이 본원의 보호 코팅으로 코팅될 수 있다.
공급 용기의 내표면이 본원의 보호 코팅으로 코팅되는 다른 유형의 화학 시약 공급 패키지, 예를 들어 삼플루오르화붕소, 사플루오르화게르마늄, 사플루오르화규소, 및 반도체 제품, 평면 패널 디스플레이 및 태양광 패널의 제조에 사용되는 다른 기체 같은 기체를 전달하기 위한 내부 압력-조절 유체 공급 용기가 사용될 수 있다.
본원의 추가적인 양태는 기체 또는 증기 스트림의 공급원으로부터 반도체 가공 도구로의 기체 또는 증기 스트림용 유동 경로를 제공하고, 유동 경로에서 필터를 통해 기체 또는 증기 스트림을 유동시켜 스트림으로부터 관련없는 고체 물질을 제거함을 포함하는, 기체 또는 증기 스트림을 반도체 가공 도구로 전달하는 방법에 관한 것이며, 이 때 상기 필터는 본원에 다양하게 기재된 유형의 필터를 포함한다.
이러한 방법에서, 기체 또는 증기 스트림은 임의의 적합한 유체 물질을 포함할 수 있으며, 구체적인 실시양태에서 이러한 스트림은 육염화이알루미늄을 포함한다. 이러한 유체 용도에 유용한 구체적인 필터는 알루미나를 포함하는 ALD 코팅을 포함하며, 이 때 매트릭스는 스테인레스 강 섬유 및/또는 입자를 포함한다.
전술한 방법에서 반도체 가공 도구는 임의의 적합한 유형일 수 있고, 예를 들어 증착로를 포함할 수 있다.
상기 언급된 바와 같이, 필터는 ALD 코팅 및 매트릭스 면에서 변할 수 있다. 구체적인 실시양태에서, 필터는 알루미나의 ALD 코팅으로 코팅된 스테인레스 강 섬유 및/또는 입자의 소결된 매트릭스를 포함하며, 이 때 상기 소결된 매트릭스는 1 내지 40㎛, 예를 들어 1 내지 20㎛, 1 내지 10㎛, 10 내지 20㎛의 직경 또는 다른 적합한 공극 직경 값의 범위를 갖는 공극을 포함하고, 이러한 임의의 실시양태에서 ALD 코팅은 2 내지 500nm의 두께를 갖는다.
본원은 다른 양태에서 소결된 금속 매트릭스 필터 단독에 의해 제공되는 용량을 넘어 특수하게 맞추어진 필터를 수득하기 위한, 미세 여과 용도에서 공극 크기 제어를 위한 ALD의 용도에 관한 것이다. 이와 관련하여, 소결된 금속 매트릭스 필터에서 공극 크기를 제어하는 것은 표적 공극 크기가 5㎛ 미만으로 수축됨에 따라 점점 더 어려워진다. 본원에 따라, ALD 코팅을 사용하여 고도의 공극 크기 및 공극 크기 분포의 제어로 공극 크기를 효과적으로 수축시킬 수 있다. ALD에 의해 침착된 코팅이 다른 용도에 사용되는 것보다 실질적으로 더 두꺼울 수 있으나, ALD는 예컨대 알루미나의 ALD 코팅을 이용하여 내약품성 이점을 여전히 달성하면서 공극 크기 및 공극 크기 분포의 탁월한 제어 가능성을 제공한다.
그러므로, 소결된 금속 매트릭스 물질의 ALD 코팅은 소결된 금속 매트릭스 구조체 상에 상당한 두께로 도포될 수 있으며, 코팅 두께는 코팅된 금속 매트릭스 구조체에서의 공극 크기를 매우 낮은 수준, 예컨대 미크론 미만의 공극 크기 수준으로 감소시키는 그러한 크기이다.
이러한 해결책은 공극 구배, 예를 들어 기체 입구 표면에서 기체 방출 표면까지의 공극 구배를 갖는 필터를 생성시키는 데에도 이용될 수 있는데, 여기에서는 비교적 더 큰 크기의 공극이 필터의 기체 입구 표면에 존재하고 비교적 더 작은 크기의 공극이 필터의 기체 방출 표면에 존재하며, 필터의 개별적인 표면 사이에 공극 구배가 존재한다. 이러한 공극 구배가 존재하면, 예컨대 필터의 입구 쪽에서 더 큰 입자를 포획하고 필터의 출구 쪽에서 더 작은 입자를 포획하여 전체적으로 매우 효과적인 여과 작용이 달성되도록 필터를 사용할 수 있다.
그러므로, 본원은 ALD 코팅으로 코팅된 다공성 물질 매트릭스를 포함하는 필터를 고려하며, 이 때 다공성 금속 매트릭스의 공극 크기는 ALD 코팅으로 코팅되지 않은 상응하는 다공성 물질 매트릭스에 비해 ALD 코팅에 의해 감소된다(예를 들어, ALD 코팅에 의해 평균 공극 크기가 5% 내지 95% 감소됨).
본원은 또한 코팅 두께가 방향성 있게 변화하여 필터에 예컨대 상기 기재된 바와 같이 필터의 입구 면에서 출구 표면까지 상응하는 공극 크기 구배를 제공하는, ALD 코팅으로 코팅된 다공성 물질 매트릭스를 포함하는 필터를 고려한다.
본원의 다른 양태는 ALD 코팅으로 다공성 물질 매트릭스를 코팅하여 다공성 물질 매트릭스의 평균 공극 크기를 감소시킴을 포함하는, 다공성 필터의 제조 방법에 관한 것이다. 이 방법을 이용하여 다공성 물질 매트릭스의 평균 공극 크기의 예정된 감소 및/또는 다공성 물질 매트릭스에서의 방향성 있게 변화되는 공극 크기 구배를 달성할 수 있다.
상기 임의의 양태 및 실시양태에서 다공성 물질 매트릭스는 예컨대 티탄, 스테인레스 강 또는 다른 금속 매트릭스 물질의 소결된 금속 매트릭스를 포함할 수 있다.
다른 양태에서, 본원은 지지 표면의 적어도 일부가 ALD 코팅을 갖는, 기화되어야 하는 고체 물질에 대한 지지 표면을 포함하는 내부 부피를 한정하는 용기를 포함하는 고체 기화기 장치에 관한 것이다. 지지 표면은, 지지 표면이 용기의 내표면을 포함하도록, 용기 벽 표면 및/또는 용기의 바닥, 또는 벽 및/또는 바닥 표면과 일체형으로 형성되는 연장된 표면 같은 용기의 내표면을 포함할 수 있고/있거나, 지지 표면은 기화되어야 하는 고체 물질에 대한 지지 표면을 제공하는 트레이 같은 내부 부피 안의 지지 부재의 표면을 포함할 수 있다. 트레이는 부분적으로 또는 완전히 ALD 코팅으로 코팅될 수 있다. 다른 실시양태에서, 용기는 각각 고체 물질에 대한 지지 표면을 제공하는 수직으로 이격된 트레이의 어레이를 함유할 수 있다. 이러한 어레이의 트레이 각각은 ALD 코팅으로 코팅될 수 있다.
용기는 내부 부피를 한정짓는 용기의 내벽 표면(ALD 코팅으로 코팅됨)을 갖도록 제조될 수 있다. ALD 코팅은 예를 들어 2 내지 500nm의 두께를 갖는 알루미나를 포함할 수 있다. 임의의 전술한 실시양태에서 ALD 코팅에 의해 코팅된 지지 표면은 스테인레스 강 표면일 수 있다. 기화기 용기 자체는 스테인레스 강으로 제조될 수 있다. 기화기 장치는 용기의 지지 표면, 예컨대 용기의 내부 표면 안의 적층된 트레이의 지지 표면 상에 기화가능한 고체 물질을 함유하는 고체-로딩된 상태로 제공될 수 있다. 기화가능한 고체 물질은 임의의 적합한 유형일 수 있고, 예를 들어 증착 또는 이온 주입 작업의 전구체 물질을 포함할 수 있다. 기화가능한 고체 물질은 유기 금속 화합물, 또는 삼염화알루미늄 같은 금속 할로겐화물 화합물을 포함할 수 있다. 용기의 지지 표면에 도포된 ALD 코팅이 기화가능한 특정 고체 물질에 특이적으로 적합화될 수 있음을 알게 될 것이다. 또한, ALD 코팅이 용기의 벽 및 바닥 표면뿐만 아니라 용기의 내부 부피에 배치되는 기화가능한 고체용의 임의의 트레이 또는 다른 지지 구조체에 의해 제공되는 표면을 비롯하여 용기의 내부 부피 안의 모든 내표면에 도포될 수 있음을 알게 될 것이다.
이어지는 기재내용은 본원에 기재된 코팅 기법의 특정 특징, 양태 또는 특성을 예시하는, 본원의 코팅된 기판 제품, 소자 및 장치의 다양한 예시적인 예에 간한 것이다.
본원에 따른 알루미나 코팅은 본원에서 이미 기재된 바와 같이 도 3에 도시된 유형의 앰풀 같은 기화기 앰풀에 사용되는 홀더의 표면에 도포될 수 있다. 도 15는 알루미늄 공정에 삼염화알루미늄(AlCl3) 고체 전구체를 전달하기 위한 기화기 앰플에 유용하게 사용되는 스테인레스 강 홀더의 사시도인데, 이 안에서 삼염화알루미늄 전구체가 홀더에 의해 지지되고 기화되어, 기화기 앰풀로부터 방출시키고 관련 유동 회로를 통해 알루미늄 공정으로 수송하기 위해 삼염화알루미늄 전구체 증기를 형성시킨다. 알루미늄 공정은 예를 들어 적합한 웨이퍼 기판 상에서 및/또는 내에서 반도체 장치 구조체를 금속화시키기 위해 이용될 수 있다.
도 16은 기화기 앰풀의 사용 및 작업시 홀더가 겪게 되는 삼염화알루미늄(AlCl3) 노출을 비롯한 부식성 환경에서 알루미나 코팅에 의해 스테인레스 강 표면이 봉입되도록, 원자 층 침착에 의해 알루미나 코팅으로 코팅된, 도 15에 도시된 유형의 스테인레스 강 홀더의 사시도이다. 이러한 알루미나 코팅에 의해, 홀더는 부식에 대해 보호되고, 전구체 증기의 금속 오염이 실질적으로 감소된다. 홀더의 이러한 알루미나 코팅에 부가하여, 기화기 앰풀의 전체 내표면 및 앰풀의 외표면도 마찬가지로 코팅하여, 알루미늄 공정용 전구체 증기를 발생시키기 위해 또는 다른 용도를 위해 삼염화알루미늄(AlCl3) 고체 전구체를 기화시키고자 하는 삼염화알루미늄 고체 전구체의 가공으로부터 유도되는 부식성 환경에 대해 연장된 보호를 제공할 수 있다.
홀더 및/또는 다른 기화기 앰풀 작업의 표면 상의 알루미나 코팅은 임의의 적합한 두께일 수 있고, 예를 들어 20nm 내지 250nm 이상일 수 있다. 다양한 실시양태에서, 홀더 표면 상의 코팅 두께는 50 내지 125nm일 수 있다. 상응하는 수의 침착 사이클 및 침착 시간동안 상응하는 증착 공정을 수행함으로써 임의의 적합한 두께의 알루미나 코팅을 도포할 수 있음을 알게 될 것이며, 이 때 적합한 두께는 금속 표면에 목적하는 수준의 내식 보호를 제공하는데 적절한 것으로 실험적인 방법에 의해 결정된다.
도 17은 기화기 앰풀에 사용되는 고체 전구체 홀더에서의 도포에서 상기 기재된 바와 같이 스테인레스 강 기판에 원자 층 침착에 의해 도포된 알루미나 코팅의 개략적인 입면도이다. 알루미나 코팅은 내식성을 제공하고, 기판과의 화학 반응을 방지하며, 삼염화알루미늄 전구체 증기 발생을 위한 기화기의 사용시 금속 오염을 감소시킨다.
다른 용도에서는, 에칭 장치 또는 장치 구성요소의 표면, 예를 들어 플라즈마 에칭 설비에 사용되는 주입기 노즐의 표면에 이트리아 코팅을 도포할 수 있다. 도 18은 이트리아(Y2O3)로 코팅된 플라즈마 에칭 장치의 채널을 도시한다. 이트리아는 종횡비가 높은 특징부 같은 복잡한 형상의 표면 및 부품에 적합한 에칭 저항성 코팅을 제공한다. 원자 층 침착에 의해 침착되는 경우, 이트리아는 에칭에 대해 저항성인 조밀하고 핀홀이 없는 동형 코팅을 형성하고, 이러한 이트리아 코팅을 갖지 않는 표면에 비해 실질적으로 감소된 입자 발산 및 침식을 제공한다.
이트리아 코팅은 도 19의 개략적인 입면도에서와 같이 알루미나 위에 원자 층 침착에 의해 도포될 수 있다. 플라즈마 에칭 설비 및 설비 구성요소에 도포될 때, ALD 이트리아 층은 향상된 내식성 및 에칭 저항성을 제공하여, 아래에 놓인 표면을 클로로- 및 플루오로- 및 다른 할로겐계 플라즈마에의 노출 같은 유해한 플라즈마 노출에 대해 보호한다. 이로써, ALD 이트리아 층은 원치 않는 입자의 발생을 감소시키고, 표면이 이트리아 코팅으로 코팅된 플라즈마 에칭 설비의 부품의 수명을 증가시킨다.
다른 용도에서는, 에칭 챔버 장치에 사용되는 로드 락(load lock) 구성요소가 사용시 에칭 챔버로부터의 잔류 에칭 화학물질에 노출되어 금속 구성요소의 심각한 부식을 야기한다. 예로는 니켈 또는 다른 금속 또는 금속 합금으로 제조되는 필터 막을 갖는 확산판(이는 스테인레스 강 또는 다른 금속 또는 금속 합금으로 구성될 수 있음)이 있다. 이러한 확산판 어셈블리를 알루미나 코팅으로 코팅하여 확산판 및 필터 막을 봉입 및 보호할 수 있다. 필터 막의 완벽한 봉입에 의해, 막의 부식이 방지된다.
도 20은 알루미나 코팅으로 코팅된, 스테인레스 강 프레임 및 니켈 필터 막을 포함하는 확산판 어셈블리의 사진이다. 도 21은 스테인레스 강 프레임 및 니켈 막이 ALD 알루미나로 봉입된, 확산판 어셈블리의 개략적인 입면도이다. ALD 코팅은 유해한 화학약품, 예를 들어 브롬화수소계 화학약품에 대해 보호하는 내식성 및 에칭 저항성 층을 제공하여, 입자를 감소시키고 어셈블리의 수명을 증가시킨다.
다른 용도는 ALD 가공으로부터의 염소계 전구체 및 챔버 세정 작업으로부터 플루오르계 플라즈마에 노출되는 반도체 가공 설비에 관한 것이다. 이러한 용도에서는, 이트리아 코팅을 사용하여 우수한 에칭 저항성을 제공하고 복잡한 형상을 갖는 부품을 코팅할 수 있다. 이러한 용도에서의 한 해결책은 이트리아의 물리적 증착(PVD)과 원자 층 침착(ALD)의 조합을 이용하는 것인데, ALD는 종횡비가 높은 특징부 및 중요 요소의 보다 얇은 코팅을 위해 이용되고, PVD의 더 두꺼운 코팅은 나머지 부품을 위한 것이다. 이러한 용도에서, 이트리아 ALD 층은 내식성 및 에칭 저항성, 플루오르계 화학약품 및 플루오르계 플라즈마에 대한 보호를 제공하고, 입자 발생을 감소시키며, 이트리아 보호 코팅으로 코팅된 부품의 수명을 증가시킨다.
추가적인 용도는 UV 경화 작업의 라인 후방 말단(GEOL) 및 라인 전방 말단(FEOL)에 사용되는 자외선(UV) 경화 램프의 전구 같은 석영 봉입 구조체의 코팅에 관한 것이다. 전구가 석영으로 제조되는 것과 같은 UV 램프의 작동시, 수은은 관련된 고온, 예를 들어 1000℃에서 작업 동안 석영 내로 확산되고, 이러한 수은 확산은 UV 램프를 열화시키고 그의 작동 사용 수명을 현저히 단축시키게 된다. 석영 봉입(전구) 물질 내로의 이러한 수은 이동에 대항하기 위하여, 전구의 내표면에 알루미나 및/또는 이트리아를 코팅하여, 석영 봉입 물질 내로의 수은의 침입에 대한 확산 차단 층을 제공한다.
더욱 구체적으로, 알루미나 코팅은 내식성을 부여하고, 기판과의 화학 반응을 방지하며, 금속 오염을 감소시켜, 기체 라인, 밸브, 관, 하우징 등과 같은 구성요소의 작동 사용 수명이 상응하게 연장되도록 하기 위하여, 다양한 유형의 금속 구성요소를 상부 코팅하고 봉입하는데 사용될 수 있다. 원자 층 침착을 이용함으로써, 복잡한 내표면 기하학적 형태를 갖는 부품을 비롯한 부품의 내표면을 코팅할 수 있고, 알루미나 또는 다른 보호 코팅의 층을 사용하여 기판 표면 상에 조밀하고 핀홀이 없는 동형 보호 층을 제공할 수 있다.
본원의 보호 코팅의 다른 용도는 반도체 제조 및 평면 패널 디스플레이 제조 뿐만 아니라 태양광 패널 제조에 사용되는 것과 같은 플라즈마 공급원 표면의 보호 코팅이다. 이러한 플라즈마 공급원은 임의의 적합한 유형의 것일 수 있으며, 예를 들어 암모니아 플라즈마, 수소 플라즈마, 삼플루오르화질소 플라즈마 및 다른 다양한 물질의 플라즈마를 발생시킬 수 있다. 플라즈마-습윤된 부품의 표면을 애노드화시키는 대신에 보호 코팅을 사용하여, 수소(H*) 및 플루오르(F*) 표면 재조합 및 높은 격리 전압(예컨대, 1000V보다 높음)을 수용하면서, 예를 들어 NF3 플라즈마에의 1000시간 이상 노출 같은 향상된 플라즈마 에칭 저항성을 제공할 수 있다.
알루미늄 기판, 알루미나의 ALD 코팅 및 AlON의 PVD 코팅을 도시하는 도 22의 개략적인 입면도에 도시되어 있는 바와 같이, 알루미늄 기판은 알루미나의 ALD 코팅을 포함할 수 있고, 그 위에는 옥시질화알루미늄(AlON)의 물리적 증착(PVD) 코팅이 침착될 수 있다. 개별적인 알루미나 및 옥시질화알루미늄 코팅의 두께는 임의의 적합한 두께일 수 있다. 예로서, 알루미나 코팅의 두께는 0.05 내지 5㎛일 수 있고, PVD 코팅의 두께는 2 내지 25㎛일 수 있다. 구체적인 실시양태에서, 알루미나 코팅은 1㎛의 두께를 갖고, PVD AlON 코팅은 10㎛의 두께를 갖는다. 구조체에서, PVD AlON 코팅은 장치에 에칭 저항성 및 플라즈마 표면 재조합능을 제공하고, 알루미나 코팅은 에칭 저항성을 제공하는 것 이외에 전기 격리 코팅을 제공한다.
추가적인 용도는 도 23에 도시되어 있는 층 구조를 가질 수 있는 고온 척 구성요소용 유전성 적층체에 관한 것이다. 도시된 바와 같이, 알루미나 기판은 전극 금속, 예를 들어 니켈을 갖고, 그 위에는 ALD 알루미나의 전기 격리 층이 있다. 알루미나 층 위에는 옥시질화알루미늄의 PVD 코팅이 침착되고, AlON 층 위에는 화학적 증착(CVD)된 옥시질화규소(SiON)의 층이 침착된다. 이 층 구조에서, CVD SiON 층은 접촉 표면과 전기 스페이서의 세정 통로를 제공하고, PVD AlON 층은 열 팽창 계수(CTE) 완충 층을 제공하며, 알루미나의 ALD 층은 전기 격리 층을 제공하고, 니켈은 알루미나 기판 상에 전극 금속 층을 제공한다.
또 다른 용도는 알루미늄 부품이 도 24 및 도 25에 도시된 다층 적층체를 비롯한 다층 적층체로 코팅되는, 플라즈마 활성화 챔버의 플라즈나 활성화 척 구성요소에 관한 것이다. 도 24의 다층 적층체는 알루미늄 기판 상에 화학적 증착 도포된 규소 층 및 CVD Si 층 상에 지르코니아의 ALD 층을 포함한다. 이 다층 적층체에서, 지르코니아의 ALD 층은 접촉 표면에 대한 깨끗하고 조밀한 통로를 제공하여 확산 차단 층 및 전기 격리 절연체로서의 역할을 하는 기능을 한다. CVD 규소 층은 알루미늄 기판 상에 깨끗한 완충 층을 제공한다. 도 25의 다층 적층체는 알루미늄 기판 상에 옥시질화규소의 CVD 층을 포함하고, CVD SiON 코팅 층 상에 알루미나의 ALD 층을 포함하는데, 이 때 ALD 알루미나 층은 전기 격리 층, 확산 차단 층, 및 접촉 표면에 대한 깨끗하고 조밀한 통로를 제공하는 층으로서 기능한다. CVD SiON 층은 다층 코팅 구조체에 깨끗한 완충 층을 제공한다.
본원의 코팅 기법의 추가적인 용도는 알루미나 같은 코팅을 원자 층 침착에 의해 침착시킬 수 있고 필터 물질의 다공성 매트릭스에서 침투 깊이 및 코팅 두께를 독립적으로 제어할 수 있게 하는, 다공성 매트릭스 및 필터 제품의 코팅에 관한 것이다. 제품 및 그의 특정 최종 용도에 따라 부분적인 알루미나 코팅 침투 또는 완전한 알루미늄 코팅 침투를 이용할 수 있다.
도 26은 원자 층 침착에 의해 알루미나로 코팅된, 1.5mm의 벽 두께 및 2 내지 4㎛의 공극 크기를 갖는 다공성 물질의 현미경 사진이다. 도 27은 스테인레스 강, 니켈, 티탄 또는 다른 적합한 물질로 제조되는 막(이는 ALD에 의해 침착되는 알루미나로 완전히 봉입되어 내식성 및 에칭 저항성, 화학적 공격에 대한 보호, 입자 발생 감소 및 금속 오염 감소를 갖는 봉입된 막을 제공함)을 포함하는, 봉입된 막의 개략도이다.
나타낸 바와 같이 원자 층 침착의 사용은 코팅 침투 깊이 및 코팅 두께를 독립적으로 제어하는 능력을 제공한다. 20nm 내지 250nm의 공칭 공극 크기, 예컨대 100nm 수준의 공칭 공극 크기를 갖는 초미세 막 같은 초미세 막의 공극 크기 및 유동 제한을 제어하는데 이 능력을 유용하게 이용한다.
도 28은 35㎛의 코팅 침투 깊이를 갖는 코팅된 필터(여기에서, 코팅은 알루미나임)의 현미경 사진이다. 도 29는 175㎛의 코팅 침투 깊이를 갖는 코팅된 필터(여기에서, 코팅은 알루미나임)의 현미경 사진이다.
본원의 전술한 개시내용과 일관되게, 본원은 하나의 양태에서 내부 부피를 한정하는 용기, 전구체 증기를 용기로부터 방출시키도록 구성되는 출구, 및 고체 전구체 물질을 기화시켜 전구체 증기를 생성시키기 위하여 상기 고체 전구체 물질을 지지하는데 적합화된 용기의 내부 부피 안의 지지 구조체를 포함하는 고체 기화기 장치에 관한 것이며, 이 때 상기 고체 전구체 물질은 알루미늄 전구체를 포함하고, 상기 내부 부피 내의 표면 구역의 적어도 일부는 알루미나 코팅으로 코팅된다. 이러한 고체 증기 장치의 다양한 실시양태에서, 표면 구역은 지지 구조체의 표면 구역, 및 상기 내부 부피 내의 용기의 표면 구역중 하나 이상을 포함할 수 있다. 다른 실시양태에서, 표면 구역은 지지 구조체의 표면 구역, 및 상기 내부 부피 안의 용기의 표면 구역을 포함할 수 있다. 또 다른 실시양태에서, 알루미나 코팅으로 코팅되는 내부 부피 안의 표면 구역은 스테인레스 강을 포함한다. 고체 기화기 장치의 다양한 실행에서, 알루미나 코팅은 20 내지 125nm의 두께를 가질 수 있다. 알루미나 코팅은 예컨대 상기 임의의 양태 및 실시양태에서 ALD 알루미나 코팅을 포함할 수 있다.
다른 양태에서 본원은 스테인레스 강 구조체, 물질 또는 장치를 알루미나 코팅으로 코팅함을 포함하는, 사용 또는 작동시 할로겐화알루미늄에 노출되는 스테인레스 강 구조체, 물질 또는 장치의 내식성을 향상시키는 방법에 관한 것이다. 이러한 방법에서 알루미나 코팅은 예를 들어 20 내지 125nm의 두께를 가질 수 있다. 알루미나 코팅은 예를 들어 원자 층 침착에 의해 도포될 수 있다.
추가적인 양태에서 본원은 이트리아 층을 포함하는 코팅으로 코팅되는, 사용 또는 작동시 에칭 매질에 노출되는 반도체 가공 에칭 구조체, 구성요소 또는 장치에 관한 것이며, 이 때 이트리아 층은 임의적으로는 상기 코팅에서 알루미나 층 위에 놓인다. 에칭 구조체, 구성요소 또는 장치는 예를 들어 에칭 장치 주입기 노즐을 포함할 수 있다.
본원의 다른 양태는 반도체 가공 에칭 구조체, 구성요소 또는 장치를 이트리아 층을 포함하는 코팅으로 코팅함을 포함하는, 사용 또는 작동시 에칭 매질에 노출되는 반도체 가공 에칭 구조체, 구성요소 또는 장치의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것이며, 이 때 상기 이트리아 층은 임의적으로는 상기 코팅에서 알루미나 층 위에 놓인다.
본원의 또 다른 양태는 알루미나 코팅으로 봉입되는 니켈 막을 포함하는 에칭 챔버 확산판에 관한 것이다. 이러한 에칭 챔버 확산판에서, 알루미나 코팅은 ALD 알루미나 코팅을 포함할 수 있다.
본원의 추가적인 양태는 니켈 막을 알루미나의 봉입 코팅으로 코팅함을 포함하는, 니켈 막을 포함하는 에칭 챔버 확산판의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것이다. 알루미나의 코팅은 예컨대 ALD 코팅을 포함할 수 있다.
본원은 다른 양태에서 증착 가공 구조체, 구성요소 또는 장치가 이트리아의 ALD 기본 코팅 및 이트리아의 PVD 상부 코팅을 포함하는 이트리아의 코팅으로 코팅되는, 사용 또는 작동시 할로겐화물 매질에 노출되는 증착 가공 구조체, 구성요소 또는 장치에 관한 것이다. 이러한 구조체, 구성요소 또는 장치에서, 이트리아의 ALD 기본 코팅 및 이트리아의 PVD 상부 코팅으로 코팅되는 표면은 알루미늄을 포함할 수 있다.
본원의 추가적인 양태는 이트리아의 ALD 기본 코팅 및 이트리아의 PVD 상부 코팅을 포함하는 이트리아의 코팅으로 구조체, 구성요소 또는 장치를 코팅함을 포함하는, 사용 또는 작동시 할로겐화물 매질에 노출되는 증착 가공 구조체, 구성요소 또는 장치의 내식성 및 에칭 저항성을 향상시키는 방법에 관한 것이다. 상기 나타낸 바와 같이, 구조체, 구성요소 또는 장치는 이트리아의 코팅으로 코팅되는 알루미늄 표면을 포함할 수 있다.
본원의 다른 양태는 내표면 상에서 알루미늄 확산 차단 층으로 코팅되는 석영 봉입 구조체에 관한 것이다.
본원의 상응하는 양태는 석영 봉입 구조체의 내표면을 알루미나 확산 차단 층으로 코팅함을 포함하는, 작동시 수은 확산이 이루어지기 쉬운 석영 봉입 구조체 내로의 수은 확산을 감소시키는 방법에 관한 것이다.
본원은 추가적인 양태에서, 구조체, 구성요소 또는 장치의 플라즈마-습윤된 표면이 알루미나의 ALD 코팅으로 코팅되는, 사용 또는 작동시 플라즈마 및 1000V를 초과하는 전압에 노출되는 플라즈마 공급 구조체, 구성요소 또는 장치에 관한 것이며, 이 때 상기 알루미나 코팅은 옥시질화알루미늄의 PVD 코팅으로 상부 코팅된다. 플라즈마-습윤된 표면은 예를 들어 알루미늄 또는 옥시질화알루미늄을 포함할 수 있다.
본원의 추가적인 양태는 구조체, 구성요소 또는 장치의 플라즈마-습윤된 표면을 알루미나의 ALD 코팅으로 코팅하고, 상기 알루미나 코팅을 옥시질화알루미늄의 PVD 코팅으로 상부 코팅함을 포함하는, 사용 또는 작동시 플라즈마 및 1000V가 넘는 전압에 노출되는 플라즈마 공급 구조체, 구성요소 또는 장치의 사용 수명을 향상시키는 방법에 관한 것이다. 상기 나타낸 바와 같이, 플라즈마-습윤된 표면은 알루미늄 또는 옥시질화알루미늄을 포함할 수 있다.
본원의 추가적인 양태는 알루미나의 기본 층, 그 위의 니켈 전극 층, 니켈 전극 층 위의 ALD 알루미나 전기 격리 층, ALD 알루미나 전기 격리 층 위의 PVD 옥시질화알루미늄 열 팽창 완충 층, 및 PVD 옥시질화알루미늄 열 팽창 완충 층 위의 CVD 옥시질화규소 웨이퍼 접촉 표면 및 전기 스페이서 층을 포함하는 연속적인 층을 포함하는 유전성 적층체에 관한 것이다.
하기 (i) 및 (ii)의 다층 코팅중 하나로 코팅된 알루미늄 표면을 포함하는 플라즈마 활성화 구조체, 구성요소 또는 장치가 본원의 다른 양태에서 고려된다: (i) 알루미늄 표면 위의 CVD 규소의 기본 코팅, 및 CVD 규소의 기본 코팅 위의 ALD 지르코니아 층; 및 (ii) 알루미늄 표면 상의 CVD 옥시질화규소의 기본 코팅, 및 CVD 옥시질화규소의 기본 코팅 위의 ALD 알루미나 층.
알루미늄 표면을 하기 (i) 및 (ii)의 다층 코팅중 하나로 코팅함을 포함하는, 플라즈마 활성화 구조체, 구성요소 또는 장치의 알루미늄 표면에서 입자 형성 및 금속 오염을 감소시키는 상응하는 방법이 고려된다: (i) 알루미늄 표면 위의 CVD 규소의 기본 코팅, 및 CVD 규소의 기본 코팅 위의 ALD 지르코니아 층; 및 (ii) 알루미늄 표면 상의 CVD 옥시질화규소의 기본 코팅, 및 CVD 옥시질화규소의 기본 코팅 위의 ALD 알루미나 층.
본원은 다른 양태에서, 막이 20 내지 2000㎛의 코팅 침투 깊이까지 알루미나로 봉입되는, 스테인레스 강, 니켈 또는 티탄으로 제조된 막을 포함하는 다공성 매트릭스 필터를 고려한다. 더욱 구체적으로는, 다양한 실시양태에서, 공극은 10 내지 1000nm의 공칭 공극 크기를 가질 수 있다.
본원의 다른 양태는 스테인레스 강, 니켈 또는 티탄으로 제조된 막을 20 내지 2000㎛의 코팅 침투 깊이까지 알루미나로 봉입함을 포함하는, 다공성 매트릭스 필터를 제조하는 방법에 관한 것이며, 이러한 방법의 특정 실시양태에서 봉입은 알루미나의 ALD를 포함하고, 방법은 다공성 매트릭스 필터에 10 내지 1000nm의 공칭 공극 크기를 갖는 기공률을 제공하도록 수행된다.
특정 양태, 특징 및 예시적인 실시양태를 참조하여 본원을 기재하였으나, 본원의 기재내용에 기초하여 당 업자가 스스로 알게 되는 바와 같이, 본원의 효용이 그렇게 한정되지 않으며 오히려 다수의 다양한 다른 변화, 변형 및 다른 실시양태로 확장되고 이들을 포함함을 알게 될 것이다. 상응하게, 이후 특허청구되는 본원은 그의 원리 및 영역 내에 이러한 변화, 변형 및 다른 실시양태를 모두 포함하는 것으로 넓게 간주 및 해석되고자 한다.

Claims (10)

  1. 금속 막을 포함하는 다공성 매트릭스 필터로서, 상기 금속 막은 20 내지 2000㎛ 범위의 코팅 침투 깊이를 갖는 금속 산화물 코팅으로 봉입되는, 다공성 매트릭스 필터.
  2. 제 1 항에 있어서,
    상기 금속 막은 스테인레스 강, 니켈, 또는 티탄으로 형성되는, 다공성 매트릭스 필터.
  3. 제 1 항에 있어서,
    상기 금속 막은 스테인레스 강 섬유, 입자, 또는 이들 모두의 소결된 매트릭스인, 다공성 매트릭스 필터.
  4. 제 1 항에 있어서,
    상기 금속 산화물 코팅은, 티타니아, 알루미나, 지르코니아, 화학식 MO(여기에서, M은 Ca, Mg 또는 Be임)의 산화물, 화학식 M'O2(여기에서, M'은 화학량론적으로 허용가능한 금속임)의 산화물, 및 화학식 Ln2O3(여기에서, Ln은 란탄족 원소, La, Sc 또는 Y임)의 산화물로 이루어진 군으로부터 선택되는 금속 산화물을 포함하는, 다공성 매트릭스 필터.
  5. 제 1 항에 있어서,
    상기 금속 산화물 코팅은 알루미나 코팅인, 다공성 매트릭스 필터.
  6. 제 1 항에 있어서,
    상기 금속 산화물 코팅은 2 내지 500nm 범위의 두께를 갖는 ALD 코팅인, 다공성 매트릭스 필터.
  7. 제 1 항에 있어서,
    상기 금속 산화물 코팅은, 상기 다공성 매트릭스 필터 내에서 상응하는 공극 크기 구배를 제공하도록 방향성 있게 변화하는 두께를 갖는 ALD 코팅인, 다공성 매트릭스 필터.
  8. 금속 섬유, 금속 입자, 또는 금속 섬유와 금속 입자 모두의 매트릭스와, 그 위의 ALD 코팅을 포함하는 필터로서,
    상기 ALD 코팅은, 금속 섬유, 금속 입자, 또는 금속 섬유와 금속 입자 모두의 매트릭스의 공극 부피를, 금속 섬유, 금속 입자, 또는 금속 섬유와 금속 입자 모두의 매트릭스에 상응하되 위에 ALD 코팅이 없는 매트릭스에 비하여, 5% 초과로는 변화시키지 않고,
    상기 매트릭스는 1 내지 40㎛ 범위의 직경의 공극을 특징으로 하는, 필터.
  9. 금속 막을 20 내지 2000㎛ 범위의 침투 깊이까지 ALD에 의하여 금속 산화물로 봉입시키는 단계를 포함하는, 다공성 매트릭스 필터의 제조 방법.
  10. 제 9 항에 있어서,
    상기 코팅 두께는 방향성 있게 변화하여 필터 내에 상응하는 공극 크기 구배를 제공하는, 다공성 매트릭스 필터의 제조 방법.
KR1020207018617A 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅 KR20200080342A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217024498A KR20210099193A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201562116181P 2015-02-13 2015-02-13
US62/116,181 2015-02-13
US201562167890P 2015-05-28 2015-05-28
US62/167,890 2015-05-28
US201562188333P 2015-07-02 2015-07-02
US62/188,333 2015-07-02
US201562221594P 2015-09-21 2015-09-21
US62/221,594 2015-09-21
PCT/US2016/017910 WO2016131024A1 (en) 2015-02-13 2016-02-13 Coatings for enhancement of properties and performance of substrate articles and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197032408A Division KR20190126202A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217024498A Division KR20210099193A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅

Publications (1)

Publication Number Publication Date
KR20200080342A true KR20200080342A (ko) 2020-07-06

Family

ID=56615146

Family Applications (9)

Application Number Title Priority Date Filing Date
KR1020177025589A KR20170117490A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217020147A KR20210083394A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020207024695A KR20200103890A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217024498A KR20210099193A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020197032408A KR20190126202A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020187025617A KR20180100734A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020227026969A KR20220113847A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217027767A KR20210111885A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020207018617A KR20200080342A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅

Family Applications Before (8)

Application Number Title Priority Date Filing Date
KR1020177025589A KR20170117490A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217020147A KR20210083394A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020207024695A KR20200103890A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217024498A KR20210099193A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020197032408A KR20190126202A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020187025617A KR20180100734A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020227026969A KR20220113847A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
KR1020217027767A KR20210111885A (ko) 2015-02-13 2016-02-13 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅

Country Status (8)

Country Link
US (3) US20180044800A1 (ko)
EP (3) EP3460093A3 (ko)
JP (9) JP2018506859A (ko)
KR (9) KR20170117490A (ko)
CN (5) CN107615462A (ko)
SG (2) SG11201706564UA (ko)
TW (4) TW202218871A (ko)
WO (1) WO2016131024A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023172544A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6662520B2 (ja) * 2015-10-02 2020-03-11 国立大学法人山形大学 内面コーティング方法及び装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20160046408A1 (en) * 2015-10-27 2016-02-18 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Internally coated vessel for housing a metal halide
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109072432B (zh) * 2016-03-04 2020-12-08 Beneq有限公司 抗等离子蚀刻膜及其制造方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132789A1 (en) 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
DE102017113515B4 (de) * 2017-06-20 2019-01-24 Infineon Technologies Ag Verfahren zum Bilden eines elektrisch leitfähigen Kontakts und elektronische Vorrichtung
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102005565B1 (ko) * 2017-11-03 2019-07-30 한국원자력연구원 연마광택기 및 이의 제조방법
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI777911B (zh) * 2017-12-18 2022-09-11 美商恩特葛瑞斯股份有限公司 藉由原子層沉積塗覆所得之耐化學性多層塗層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11486042B2 (en) * 2018-01-18 2022-11-01 Viavi Solutions Inc. Silicon coating on hard shields
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
JP2021138972A (ja) * 2018-05-09 2021-09-16 株式会社高純度化学研究所 蒸発原料用容器
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) * 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7161192B2 (ja) * 2018-12-17 2022-10-26 国立大学法人山形大学 積層コーティング層、積層コーティング層を形成する方法及び積層構造の判定方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US20220121042A1 (en) 2019-02-28 2022-04-21 Sony Group Corporation Contact lens, pair of contact lenses, and wearing device
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US11371145B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within a housing, apparatus, or tool using a coating system positioned therein
US11371137B2 (en) 2019-03-15 2022-06-28 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
CN110055529A (zh) * 2019-04-01 2019-07-26 沈阳富创精密设备有限公司 一种制备双层陶瓷层的方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219702A1 (en) * 2019-04-26 2020-10-29 Entegris, Inc. Vaporization vessel and method
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US20210095373A1 (en) * 2019-08-13 2021-04-01 Pt Creations Synthetic diamond jewelry and fabrication method thereof
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220113458A (ko) * 2019-12-09 2022-08-12 엔테그리스, 아이엔씨. 다중 장벽 재료로 제조된 확산 장벽, 그리고 관련 물품 및 방법
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11788189B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing pressurized cells
US11788187B2 (en) 2020-08-27 2023-10-17 Halliburton Energy Services, Inc. Depositing coatings on and within housings, apparatus, or tools utilizing counter current flow of reactants
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11729909B2 (en) 2021-07-20 2023-08-15 Honeywell Federal Manufacturing & Technologies, Llc Multi-layered diamond-like carbon coating for electronic components
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961896B2 (en) 2021-09-14 2024-04-16 Honeywell Federal Manufacturing & Technologies, Llc Diamond-like carbon coating for passive and active electronics
FR3128708B1 (fr) * 2021-10-28 2024-04-05 Safran Ceram Procédé de transfert d'au moins un oxyde métallique sur et dans une préforme fibreuse en carbone
US20230323531A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Coating interior surfaces of complex bodies by atomic layer deposition
US20230420259A1 (en) * 2022-06-09 2023-12-28 Applied Materials, Inc. Selective etch of a substrate
JP2024063360A (ja) * 2022-10-26 2024-05-13 東京エレクトロン株式会社 ガス流路を有する部材の製造方法、およびガス流路を有する部材
CN116354740B (zh) * 2023-03-30 2024-02-06 中国科学院上海硅酸盐研究所 一种氧化铝陶瓷-钢材复合结构及其制备方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680085A (en) * 1986-04-14 1987-07-14 Ovonic Imaging Systems, Inc. Method of forming thin film semiconductor devices
JPH0818883B2 (ja) * 1986-05-16 1996-02-28 エヌオーケー株式会社 多孔質フィルタの製造法
US4877480A (en) * 1986-08-08 1989-10-31 Digital Equipment Corporation Lithographic technique using laser for fabrication of electronic components and the like
US4888114A (en) * 1989-02-10 1989-12-19 E. I. Du Pont De Nemours And Company Sintered coating for porous metallic filter surfaces
US5114447A (en) 1991-03-12 1992-05-19 Mott Metallurgical Corporation Ultra-high efficiency porous metal filter
EP0627256B1 (en) 1993-06-04 1996-12-04 Millipore Corporation High-efficiency metal filter element and process for the manufacture thereof
JP3600321B2 (ja) * 1995-08-25 2004-12-15 日本精線株式会社 高純度ガス用の精密フィルター及びその製造方法
JP3677329B2 (ja) * 1995-09-25 2005-07-27 新東工業株式会社 排ガス中の炭素系微粒子処理用フィルタ及びこれを用いた炭素系微粒子処理装置
JPH10272317A (ja) * 1997-03-31 1998-10-13 Kubota Corp 耐高温腐食性にすぐれた多孔質材及び高温排ガス用フィルタ
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JPH1121601A (ja) * 1997-07-07 1999-01-26 Kubota Corp 複層多孔質体およびその製造方法
JPH11104421A (ja) * 1997-10-02 1999-04-20 Toray Ind Inc 板状濾材
JPH11222679A (ja) * 1998-02-04 1999-08-17 Hitachi Ltd Cvd装置および半導体装置の製造方法
JP2000042320A (ja) * 1998-07-27 2000-02-15 Suzuki Sogyo Co Ltd 機能性フィルター
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6432308B1 (en) * 2000-09-25 2002-08-13 Graver Technologies, Inc. Filter element with porous nickel-based alloy substrate and metal oxide membrane
JP4435111B2 (ja) * 2001-01-11 2010-03-17 株式会社日立国際電気 Ald装置および半導体装置の製造方法
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
DE10222609B4 (de) * 2002-04-15 2008-07-10 Schott Ag Verfahren zur Herstellung strukturierter Schichten auf Substraten und verfahrensgemäß beschichtetes Substrat
KR100471408B1 (ko) * 2003-06-30 2005-03-14 주식회사 하이닉스반도체 반도체 소자의 금속선 패터닝 방법
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
JP4865214B2 (ja) * 2004-12-20 2012-02-01 東京エレクトロン株式会社 成膜方法および記憶媒体
GB2423634A (en) * 2005-02-25 2006-08-30 Seiko Epson Corp A patterning method for manufacturing high resolution structures
US7666494B2 (en) * 2005-05-04 2010-02-23 3M Innovative Properties Company Microporous article having metallic nanoparticle coating
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
WO2007042373A1 (en) * 2005-10-11 2007-04-19 Nv Bekaert Sa Coated porous metal medium
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100771546B1 (ko) * 2006-06-29 2007-10-31 주식회사 하이닉스반도체 메모리 소자의 커패시터 및 형성 방법
US8436519B2 (en) * 2006-07-25 2013-05-07 David W. Cunningham Incandescent lamp incorporating infrared-reflective coating system, and lighting fixture incorporating such a lamp
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
WO2008034190A1 (en) * 2006-09-21 2008-03-27 Queensland University Of Technology Metal oxide nanofibre filter
JP2008120654A (ja) * 2006-11-15 2008-05-29 Nihon Ceratec Co Ltd セラミックコーティング部材およびその製造方法
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US7782569B2 (en) * 2007-01-18 2010-08-24 Sae Magnetics (Hk) Ltd. Magnetic recording head and media comprising aluminum oxynitride underlayer and a diamond-like carbon overcoat
JP5412294B2 (ja) * 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20090041986A1 (en) * 2007-06-21 2009-02-12 3M Innovative Properties Company Method of making hierarchical articles
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US20090159005A1 (en) * 2007-12-21 2009-06-25 Epicrew Corporation Coatings for semiconductor processing equipment
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
JP2012517717A (ja) * 2009-02-12 2012-08-02 ラオール・コンサルティング・エルエルシー 焼結ナノ細孔電気キャパシタ、電気化学キャパシタおよびバッテリーならびにその製造方法
KR101841778B1 (ko) 2009-06-18 2018-05-04 엔테그리스, 아이엔씨. 상이한 평균 사이즈들의 입자들로 구성되는 다공성 소결 재료
WO2011037798A1 (en) * 2009-09-22 2011-03-31 3M Innovative Properties Company Method of applying atomic layer deposition coatings onto porous non-ceramic substrates
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8852685B2 (en) * 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP2012011081A (ja) * 2010-07-02 2012-01-19 Daito Giken:Kk 遊技台
CN108359957A (zh) * 2010-10-29 2018-08-03 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
KR20140052956A (ko) 2011-02-04 2014-05-07 엔테그리스, 아이엔씨. 소결 금속 분말과 금속 섬유의 다공성 금속체
WO2012138671A2 (en) * 2011-04-04 2012-10-11 The Regents Of The University Of Colorado Highly porous ceramic material and method of use and forming same
US20130064973A1 (en) * 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
SG11201401255QA (en) * 2011-10-06 2014-05-29 Basf Corp Methods of applying a sorbent coating on a substrate, a support, and/or a substrate coated with a support
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US20140287230A1 (en) * 2011-11-10 2014-09-25 Research Triangle Institute Nanostructured polymer-inorganic fiber media
US9089800B2 (en) * 2012-02-03 2015-07-28 Msp Corporation Method and apparatus for vapor and gas filtration
PL2628822T3 (pl) * 2012-02-15 2016-04-29 Picosun Oy Elementy łożysk i łożyska izolowane elektrycznie
JP6195898B2 (ja) * 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
FI20125988A (fi) * 2012-09-24 2014-03-25 Optitune Oy Menetelmä n-tyypin piisubstraatin modifioimiseksi
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8921236B1 (en) * 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
JP6832772B2 (ja) * 2017-03-29 2021-02-24 株式会社クボタ 植播系作業機
JP7011983B2 (ja) * 2018-07-11 2022-01-27 日立Astemo株式会社 演算システム、演算装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023172544A1 (en) * 2022-03-08 2023-09-14 Entegris, Inc. Devices and method for delivering molybdenum vapor

Also Published As

Publication number Publication date
JP2023011660A (ja) 2023-01-24
JP2020080408A (ja) 2020-05-28
EP3460093A2 (en) 2019-03-27
TW202003230A (zh) 2020-01-16
US20190100842A1 (en) 2019-04-04
TWI758744B (zh) 2022-03-21
WO2016131024A9 (en) 2017-07-13
JP6909883B2 (ja) 2021-07-28
KR20210099193A (ko) 2021-08-11
CN109023303A (zh) 2018-12-18
KR20180100734A (ko) 2018-09-11
SG10201807630PA (en) 2018-10-30
JP2022084687A (ja) 2022-06-07
JP2018506859A (ja) 2018-03-08
KR20210083394A (ko) 2021-07-06
JP2020168625A (ja) 2020-10-15
JP2021181622A (ja) 2021-11-25
JP2019035150A (ja) 2019-03-07
KR20170117490A (ko) 2017-10-23
US20180044800A1 (en) 2018-02-15
TW201634265A (zh) 2016-10-01
TW202218871A (zh) 2022-05-16
WO2016131024A1 (en) 2016-08-18
TW202035129A (zh) 2020-10-01
JP2020128594A (ja) 2020-08-27
SG11201706564UA (en) 2017-09-28
KR20190126202A (ko) 2019-11-08
US20200378011A1 (en) 2020-12-03
TWI710471B (zh) 2020-11-21
CN111519166A (zh) 2020-08-11
CN111593324A (zh) 2020-08-28
TWI717012B (zh) 2021-01-21
EP3460093A3 (en) 2019-06-19
EP3257070A1 (en) 2017-12-20
KR20220113847A (ko) 2022-08-16
CN107615462A (zh) 2018-01-19
CN113930746A (zh) 2022-01-14
JP2024023231A (ja) 2024-02-21
EP3257070A4 (en) 2018-10-17
KR20210111885A (ko) 2021-09-13
EP3739079A1 (en) 2020-11-18
KR20200103890A (ko) 2020-09-02

Similar Documents

Publication Publication Date Title
JP6909883B2 (ja) 基材物品および装置の特性および性能を増強するためのコーティング
KR102481950B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
US10961620B2 (en) Plasma etch-resistant film and a method for its fabrication
JP2024001007A (ja) 半導体プロセスツールにおける静電気散逸用の超薄型共形コーティング
KR20220084395A (ko) 원자층 증착에 의해 증착된 하프늄 알루미늄 산화물 코팅들
CN117355630A (zh) 耐等离子体涂层、相关产生方法和用途

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination
A107 Divisional application of patent