KR102481950B1 - 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅 - Google Patents

확산 장벽 층 및 내침식성 층을 갖는 다층 코팅 Download PDF

Info

Publication number
KR102481950B1
KR102481950B1 KR1020197005973A KR20197005973A KR102481950B1 KR 102481950 B1 KR102481950 B1 KR 102481950B1 KR 1020197005973 A KR1020197005973 A KR 1020197005973A KR 20197005973 A KR20197005973 A KR 20197005973A KR 102481950 B1 KR102481950 B1 KR 102481950B1
Authority
KR
South Korea
Prior art keywords
diffusion barrier
barrier layer
layer
layers
ald
Prior art date
Application number
KR1020197005973A
Other languages
English (en)
Other versions
KR20190022944A (ko
Inventor
데이비드 펜윅
시아오웨이 우
제니퍼 와이. 썬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190022944A publication Critical patent/KR20190022944A/ko
Application granted granted Critical
Publication of KR102481950B1 publication Critical patent/KR102481950B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/048Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with layers graded in composition or physical properties

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

물품의 표면을 위한 다층 코팅은 확산 장벽 층 및 내침식성 층을 포함한다. 확산 장벽 층은 TiNx, TaNx, Zr3N4, 및 TiZrxNy을 포함하는(그러나 이에 제한되지 않음) 질화물 필름일 수 있다. 내침식성 층은 YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz를 포함하는(그러나 이에 제한되지 않음) 희소 산화물 필름일 수 있다. 확산 장벽 층 및 내침식성 층은 ALD, PVD, 및 CVD를 포함하는(그러나 이에 제한되지 않음) 박막 증착 기법을 사용하여 물품 표면 상에 증착될 수 있다.

Description

확산 장벽 층 및 내침식성 층을 갖는 다층 코팅{MULTI-LAYER COATING WITH DIFFUSION BARRIER LAYER AND EROSION RESISTANT LAYER}
[0001] 본 개시내용의 실시예들은, 확산 장벽으로서의 그리고 내침식성 코팅으로서의 역할을 하는 다층 코팅(multi-layer coating)들, 다층 코팅을 형성하기 위한 방법, 및 다층 코팅으로 코팅된 프로세스 챔버 컴포넌트에 관한 것이다.
[0002] 다양한 제조 프로세스들은 반도체 프로세스 챔버 컴포넌트들을 고온들, 고에너지 플라즈마, 부식성 가스들의 혼합물, 높은 응력, 및 이들의 조합들에 노출시킨다. 이들 극단적인 컨디션들은 챔버 컴포넌트들을 침식시키고, 챔버 컴포넌트들을 부식시키고, 기판들로의 챔버 컴포넌트들의 재료들의 확산을 초래하고, 그리고 결함들에 대한 챔버 컴포넌트들의 취약성을 증가시킬 수 있다. 이러한 극단적인 환경들에서 이들 결함들을 감소시키고 컴포넌트들의 내침식성, 내부식성, 및 내확산성을 개선하는 것이 바람직하다. 반도체 프로세스 챔버 컴포넌트들을 보호 코팅들로 코팅하는 것은, 결함들을 감소시키고 그리고 반도체 프로세스 챔버 컴포넌트들의 내구성을 연장하는 효과적인 방식이다.
[0006] 본 발명의 일부 실시예들은 다층 코팅을 커버한다. 다층 코팅은 TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층을 포함할 수 있다. 다층 코팅은, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층을 더 포함할 수 있다. 내침식성 층은 확산 장벽 층을 커버할 수 있다.
[0007] 일부 실시예들에서, 다층 코팅을 형성하기 위한 방법이 본원에서 개시된다. 방법은 확산 장벽 층을 물품의 표면 상에 증착하는 단계를 포함한다. 확산 장벽 층은 원자 층 증착, 물리 기상 증착, 및 화학 기상 증착으로 이루어진 그룹으로부터 선택된 제1 증착 프로세스를 사용하여 증착될 수 있다. 확산 장벽 층은, TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택될 수 있다. 방법은, 확산 장벽 층 상에 내침식성 층을 증착하는 단계를 더 포함한다. 내침식성 층은 원자 층 증착, 물리 기상 증착, 및 화학 기상 증착으로 이루어진 그룹으로부터 선택된 제2 증착 프로세스를 사용하여 증착될 수 있다. 내침식성 층은, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택될 수 있다.
[0008] 일부 실시예들에서, 본 발명은 코팅된 프로세스 챔버 컴포넌트를 커버한다. 코팅된 프로세스 챔버 컴포넌트는 표면, 및 표면 상에 코팅된 다층 코팅을 갖는 프로세스 챔버 컴포넌트를 포함할 수 있다. 소정의 실시예들에서, 다층 코팅은 TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층을 포함할 수 있다. 소정의 실시예들에서, 다층 코팅은, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층을 더 포함할 수 있다. 내침식성 층은 확산 장벽 층을 커버할 수 있다.
[0009] 본 개시내용은, 동일한 참조번호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도면들에서, 제한으로서가 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 참조들이 반드시 동일한 실시예에 대한 것은 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 주목되어야 한다.
[0010] 도 1은 프로세싱 챔버의 일 실시예의 단면도를 도시한다.
[0011] 도 2는 본 발명의 실시예들에 따른, 다양한 원자 층 증착(ALD; Atomic Layer Deposition) 기법들에 적용가능한 증착 메커니즘을 도시한다.
[0012] 도 3은 본 발명의 실시예들에 따른, 다양한 화학 기상 증착(CVD; Chemical Vapor Deposition) 기법들에 적용가능한 증착 메커니즘을 도시한다.
[0013] 도 4는 본 발명의 실시예들에 따른, 다양한 물리 기상 증착(PVD; Physical Vapor Deposition) 기법들에 적용가능한 증착 메커니즘을 도시한다.
[0014] 도 5는 실시예에 따라 물품 상에 다층 코팅을 형성하기 위한 방법을 예시한다.
[0015] 도 6a는 본 발명의 실시예들에 따른, 온전한(intact) 컴포넌트 층들을 갖는 확산 장벽 층 및 온전한 컴포넌트 층들을 갖는 내침식성 층을 갖는 코팅된 챔버 컴포넌트를 예시한다.
[0016] 도 6b는 본 발명의 실시예들에 따른, 온전한 컴포넌트 층들을 갖는 확산 장벽 층 및 상호확산된(interdiffused) 내침식성 층을 갖는 코팅된 챔버 컴포넌트를 예시한다.
[0017] 도 6c는 본 발명의 실시예들에 따른, 상호확산된 확산 장벽 층 및 온전한 컴포넌트 층들을 갖는 내침식성 층을 갖는 코팅된 챔버 컴포넌트를 예시한다.
[0018] 도 6d는 본 발명의 실시예들에 따른, 상호확산된 확산 장벽 층 및 상호확산된 내침식성 층을 갖는 코팅된 챔버 컴포넌트를 예시한다.
[0019] 실시예들은, 확산 장벽 층으로서의 역할을 하는 질화물 층 및 내부식성 층 및/또는 내침식성 층으로서의 역할을 하는 희토류 산화물 또는 불화물 층을 포함하는 다층 코팅을 참조하여 본원에서 설명된다. 층들은 ALD, CVD, 및 PVD와 같은 박막 증착 기법들을 통해 증착될 수 있다. 질화물 층은 TiN, TaN, 및 Zr3N4과 같은 구성성분들로 형성될 수 있다. 확산 장벽 층은 기판 프로세싱 동안 기판의 표면으로의, 챔버 컴포넌트 내의 엘리먼트들의 확산을 방지할 수 있다. 일부 실시예들에서, 확산 장벽 층은 기판 프로세싱 동안 기판의 표면으로의, 챔버 컴포넌트 내의 구리와 같은 금속들의 확산을 방지할 수 있다. 확산 장벽 층은 챔버 컴포넌트의 화학적 구성성분들이 기판을 오염시키는 것을 방지하는 것을 보조한다. 내침식성 또는 내부식성 층은 Al2O3, Y2O3, ZrO2, YF3, 및 Er2O3와 같은 구성성분들로 제조된 다중-컴포넌트 층일 수 있다. 내부식성 및/또는 내침식성 층은, 프로세스 챔버 내에 존재하는 부식성 가스 또는 플라즈마 환경에서 확산 장벽 층 및 하부 챔버 컴포넌트의 침식 또는 부식을 방지하기 위해 확산 장벽 층 상에 증착될 수 있다. 박막 증착 기법들은 단순한 기하학적 형상들뿐만 아니라 복잡한 기하학적 형상들(홀들 및 큰 종횡비들을 가짐)을 갖는 챔버 컴포넌트들의 실질적으로 균일한 두께의 컨포멀한 코팅(conformal coating)을 획득하는 것을 보조한다. 최하부 박막 확산 장벽 층 및 최상부 박막 내침식성 또는 내부식성 층을 갖는 다층 스택은, 프로세싱된 웨이퍼들의 확산 기반 오염(diffusion based contamination)뿐만 아니라 프로세싱된 웨이퍼들의 셰드 입자 기반 오염(shed particle based contamination) 둘 모두를 최소화할 수 있다. 확산 장벽 층은 코팅된 하부 물품을 오염물들(예컨대, 구리와 같은 금속 오염물)의 확산으로부터 밀봉할 수 있는 한편, 내침식성 또는 내부식성 층은 물품 및 확산 층 둘 모두를 프로세스 가스들 및/또는 플라즈마 환경에 의한 침식 및/또는 부식으로부터 보호할 수 있다.
[0020] 도 1은 본 발명의 실시예들에 따라 다층 코팅으로 코팅되는 하나 또는 그 초과의 챔버 컴포넌트들을 갖는 반도체 프로세싱 챔버(100)의 단면도이다. 프로세싱 챔버(100)는, 플라즈마 프로세싱 컨디션들을 갖는 부식성 플라즈마 환경이 제공되는 프로세스들을 위해 사용될 수 있다. 예컨대, 프로세싱 챔버(100)는 플라즈마 식각기(plasma etcher) 또는 플라즈마 식각 반응기, 플라즈마 세정기 등을 위한 챔버일 수 있다. 다층 코팅을 포함할 수 있는 챔버 컴포넌트들의 예들은 큰 종횡비들을 갖는 홀들 및 복잡한 형상들을 갖는 챔버 컴포넌트들을 포함한다. 일부 예시적 챔버 컴포넌트들은 기판 지지 어셈블리(148), 정전 척(ESC; electrostatic chuck)(150), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 샤워헤드, 가스 라인들, 노즐, 덮개, 라이너(liner), 라이너 키트, 실드, 플라즈마 스크린, 유동 이퀄라이저, 냉각 베이스, 챔버 뷰포인트, 챔버 덮개 등을 포함한다. 아래에서 더 상세하게 설명되는 다층 코팅은 ALD 프로세스, CVD 프로세스, PVD 프로세스, 또는 이들의 조합들을 사용하여 적용된다. 도 2-4를 참조하여 더 상세하게 설명되는 ALD, CVD, 및 PVD는, 큰 종횡비들을 갖는 홀들 및 복잡한 형상들을 갖는 컴포넌트들을 포함하는 모든 타입들의 컴포넌트들 상에 비교적 균일한 두께의 컨포멀한 박막 코팅의 적용을 가능하게 할 수 있다.
[0021] 예시된 바와 같이, 기판 지지 어셈블리(148)는 일 실시예에 따라, 다층 코팅(136)을 갖는다. 그러나, 샤워헤드들, 가스 라인들, 정전 척들, 노즐들 등과 같은 다른 챔버 컴포넌트들 중 임의의 챔버 컴포넌트가 또한 다층 코팅으로 코팅될 수 있다는 것이 이해되어야 한다.
[0022] 일 실시예에서, 프로세싱 챔버(100)는, 내부 볼륨(106)을 인클로징(enclose)하는 샤워헤드(130) 및 챔버 바디(102)를 포함한다. 샤워헤드(130)는 샤워헤드 베이스 및 샤워헤드 가스 분배 플레이트를 포함할 수 있다. 대안적으로, 샤워헤드(130)는 일부 실시예들에서, 덮개 및 노즐로 대체될 수 있다. 챔버 바디(102)는, 알루미늄, 스테인리스 강 또는 다른 적절한 재료로 제조될 수 있다. 챔버 바디(102)는 일반적으로, 측벽들(108) 및 최하부(110)를 포함한다. 샤워헤드(130)(또는 덮개 및/또는 노즐), 측벽들(108) 및/또는 최하부(110) 중 임의의 부분은 다층 코팅을 포함할 수 있다.
[0023] 외부 라이너(116)가 측벽들(108) 근처에 배치되어 챔버 바디(102)를 보호할 수 있다. 외부 라이너(116)는 다층 코팅으로 제조 및/또는 코팅될 수 있다.
[0024] 배기 포트(126)가 챔버 바디(102)에 정의될 수 있고, 내부 볼륨(106)을 펌프 시스템(128)에 커플링시킬 수 있다. 펌프 시스템(128)은, 프로세싱 챔버(100)의 내부 볼륨(106)의 압력을 진공배기(evacuate) 및 조절하는 데 활용되는 하나 또는 그 초과의 펌프들 및 스로틀 밸브(throttle valve)들을 포함할 수 있다.
[0025] 샤워헤드(130)는, 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 샤워헤드(130)(또는 덮개)는 개방되어 프로세싱 챔버(100)의 내부 볼륨(106)에 대한 진입을 가능하게 할 수 있고, 폐쇄된 동안에는 프로세싱 챔버(100)에 대한 밀봉(seal)을 제공할 수 있다. 샤워헤드(130) 또는 덮개 및 노즐을 통해 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 가스 패널(158)이 프로세싱 챔버(100)에 커플링될 수 있다. 샤워헤드(130)는 유전체 식각(유전체 재료들의 식각)을 위해 사용되는 프로세싱 챔버들을 위해 사용된다. 샤워헤드(130)는 가스 분배 플레이트(GDP; gas distribution plate)(133) 전체에 걸쳐 다수의 가스 전달 홀들(132)을 갖는 GDP(133)를 포함한다. 샤워헤드(130)는 알루미늄 베이스 또는 양극산화처리된 알루미늄 베이스에 본딩된 GDP(133)를 포함할 수 있다. GDP(133)는 Si 또는 SiC로 제조될 수 있거나, 또는 Y2O3, Al2O3, YAG 등과 같은 세라믹일 수 있다.
[0026] 전도체 식각(전도성 재료들의 식각)을 위해 사용되는 프로세싱 챔버들의 경우, 샤워헤드보다는 덮개가 사용될 수 있다. 덮개는 덮개의 중심 홀에 피팅되는 중심 노즐을 포함할 수 있다. 덮개는 Al2O3, Y2O3, YAG와 같은 세라믹, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물일 수 있다. 노즐은 또한, Y2O3, YAG와 같은 세라믹, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물일 수 있다. 실시예에 따라, 덮개, 샤워헤드 베이스(104), GDP(133) 및/또는 노즐은 모두 다층 코팅으로 코팅될 수 있다.
[0027] 프로세싱 챔버(100) 내의 기판들을 프로세싱하는 데 사용될 수 있는 프로세싱 가스들의 예들은, 할로겐-함유 가스들, 이를테면, 특히 C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 이를테면, O2 또는 N2O를 포함한다. 캐리어 가스(carrier gas)들의 예들은, N2, He, Ar, 및 프로세스 가스들에 대해 불활성(inert)인 다른 가스들(예컨대, 비-반응성 가스들)을 포함한다. 기판 지지 어셈블리(148)는, 샤워헤드(130) 또는 덮개의 아래에서 프로세싱 챔버(100)의 내부 볼륨(106) 내에 배치된다. 기판 지지 어셈블리(148)는 프로세싱 동안 기판(144)을 홀딩한다. 링(146)(예컨대, 단일 링)은 정전 척(150)의 부분을 커버할 수 있고, 커버된 부분을 프로세싱 동안 플라즈마에 대한 노출로부터 보호할 수 있다. 일 실시예에서, 링(146)은 실리콘 또는 석영일 수 있다.
[0028] 기판 지지 어셈블리(148)의 주변부(periphery) 상에 내부 라이너(118)가 코팅될 수 있다. 내부 라이너(118)는, 외부 라이너(116)를 참조하여 논의된 것들과 같은 할로겐-함유 가스 저항성 재료일 수 있다. 일 실시예에서, 내부 라이너(118)는 외부 라이너(116)의 재료들과 동일한 재료들로 제조될 수 있다. 부가적으로, 내부 라이너(118)는 또한, 다층 코팅으로 코팅될 수 있다.
[0029] 일 실시예에서, 기판 지지 어셈블리(148)는, 페디스털(152)을 지지하는 장착 플레이트(162), 및 정전 척(150)을 포함한다. 정전 척(150)은 열 전도성 베이스(164), 및 본드(138)에 의해 열 전도성 베이스에 본딩된 정전 퍽(electrostatic puck)(166)을 더 포함하며, 본드(138)는 일 실시예에서 실리콘 본드일 수 있다. 예시된 실시예에서, 정전 퍽(166)의 상부 표면은 다층 코팅(136)에 의해 커버될 수 있다. 다층 코팅(136)은, 열 전도성 베이스(164) 및 정전 퍽(166)의 외부 및 측부 주변부를 포함한, 정전 척(150)의 전체 노출된 표면 상에 배치될 수 있을 뿐만 아니라, 정전 척의 큰 종횡비들을 갖는 홀들 또는 임의의 다른 기하학적으로 복잡한 부분들에 배치될 수 있다. 장착 플레이트(162)는, 챔버 바디(102)의 최하부(110)에 커플링되고, 열 전도성 베이스(164) 및 정전 퍽(166)에 유틸리티(utility)들(예컨대, 유체들, 전력 라인들, 센서 리드(sensor lead)들 등)을 라우팅(routing)하기 위한 통로들을 포함한다.
[0030] 열 전도성 베이스(164) 및/또는 정전 퍽(166)은, 기판 지지 어셈블리(148)의 측방향 온도 프로파일을 제어하기 위해, 하나 또는 그 초과의 선택적 매립형 가열 엘리먼트들(176), 매립형 열 격리기(thermal isolator)들(174), 및/또는 도관들(168, 170)을 포함할 수 있다. 도관들(168, 170)은, 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 소스(172)에 유동적으로(fluidly) 커플링될 수 있다. 일 실시예에서, 매립형 격리기(174)는 도관들(168, 170) 간에 배치될 수 있다. 가열기(176)는 가열기 전력원(178)에 의해 조절된다. 도관들(168, 170) 및 가열기(176)는 열 전도성 베이스(164)의 온도를 제어하는 데 활용될 수 있다. 도관들 및 가열기는 프로세싱되는 기판(예컨대, 웨이퍼)(144) 및 정전 퍽(166)을 가열 및/또는 냉각시킨다. 정전 퍽(166) 및 열 전도성 베이스(164)의 온도는, 제어기(195)를 사용하여 모니터링될 수 있는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있다.
[0031] 정전 퍽(166)은, 다수의 가스 통로들, 이를테면, 퍽(166)의 상부 표면에 형성될 수 있는 홈(groove)들, 메사(mesa)들 및 다른 표면 피처들을 더 포함할 수 있다. 실시예에 따라, 이들 표면 피처들은 모두 다층 코팅으로 코팅될 수 있다. 가스 통로들은, 퍽(166)에 드릴링된 He 비아 홀들과 같은 열 전달(또는 배면(backside)) 가스의 소스에 유동적으로 커플링될 수 있다. 동작 시에, 배면 가스가, 제어된 압력으로 가스 통로들 내에 제공되어, 정전 퍽(166)과 기판(144) 간의 열 전달을 향상시킬 수 있다.
[0032] 정전 퍽(166)은, 척킹 전력원(182)에 의해 제어되는 적어도 하나의 클램핑 전극(180)을 포함한다. 전극(180)(또는 퍽(166) 또는 베이스(164)에 배치된 다른 전극)은 추가로, 프로세싱 챔버(100) 내의 프로세스 및/또는 다른 가스들로부터 형성되는 플라즈마를 유지하기 위해 매칭 회로(188)를 통해 하나 또는 그 초과의 RF 전력원들(184, 186)에 커플링될 수 있다. 소스들(184, 186)은 일반적으로, 대략 50 kHz 내지 대략 3 GHz의 주파수 및 최대 대략 10,000 와트의 전력을 갖는 RF 신호를 생성할 수 있다.
[0033] 도 2는 다양한 ALD 기법들에 따른 증착 프로세스를 도시한다. 다양한 타입들의 ALD 프로세스들이 존재하며, 특정 타입은 코팅될 표면, 코팅 재료, 이 표면과 코팅 재료 간의 화학적 상호작용 등과 같은 몇몇 팩터들에 기반하여 선택될 수 있다. ALD 프로세스에 대한 일반적 원리는, 코팅될 표면을, 자기-제한적 방식(self-limiting manner)으로 한 번에 하나씩, 표면과 화학적으로 반응하는 가스상 화학적 전구체(gaseous chemical precursor)들의 순차적인 교번적인 펄스들에 반복적으로 노출시킴으로써, 박막 층을 성장시키는 것 또는 증착시키는 것을 포함한다.
[0034] 도 2는 표면(205)을 갖는 물품(210)을 예시한다. 전구체와 표면 간의 각각의 개별 화학적 반응은 "하프-반응(half-reaction)"으로 알려져 있다. 각각의 하프 반응 동안, 전구체는, 전구체가 표면과 완전히 반응할 수 있게 하기에 충분한 시간 기간 동안 표면 상에 펄싱된다. 반응은 자기-제한적(self-limiting)인데, 왜냐하면, 전구체가 표면 상의 유한한 수의 이용가능한 반응성 사이트들과 반응하여, 표면 상에 균일한 연속적인 흡착 층을 형성할 것이기 때문이다. 전구체로 이미 반응된 임의의 사이트들은, 반응된 사이트들이, 균일한 연속적 코팅 상에 새로운 반응성 사이트들을 형성할 처리를 겪지 않는 한 그리고/또는 겪을 때까지, 동일한 전구체로 추가의 반응을 위해서는 이용가능하지 않게 될 것이다. 예시적 처리들은 플라즈마 처리, 균일한 연속적인 흡착 층을 라디칼들에 노출시키는 것에 의한 처리, 또는 표면에 흡착된 가장 최근의 균일한 연속적인 필름 층과 반응할 수 있는 상이한 전구체의 도입일 수 있다.
[0035] 도 2에서, 제1 전구체(260)와 표면(205)의 제1 하프 반응이 흡착 층(214)을 형성함으로써 필름 층(215)을 부분적으로 형성할 때까지의 제1 지속기간 동안, 표면(205)을 갖는 물품(210)은 제1 전구체(260)에 도입될 수 있다. 후속적으로, 물품(210)은, 흡착 층(214)과 반응하여 층(215)을 완전히 형성하는 제2 전구체(265)(반응물로 또한 지칭됨)에 도입될 수 있다. 제1 전구체(260)는 예컨대, 이트륨 또는 다른 금속을 위한 전구체일 수 있다. 제2 전구체(265)는, 층(215)이 산화물인 경우에는 산소 전구체이거나, 층(215)이 불화물인 경우에는 불소 전구체이거나, 또는 층이 질화물인 경우에는 질소 전구체일 수 있다. 물품(210)은, 층(215)에 대한 타겟 두께를 달성하기 위해 최대 n번의 횟수까지 제1 전구체(260) 및 제2 전구체(265)에 교번적으로 노출될 수 있다. N은 예컨대, 1 내지 100의 정수일 수 있다. 필름 층(215)은 균일하고, 연속적이고 그리고 컨포멀할 수 있다. 필름 층(215)은 또한, 실시예들에서 1% 미만의, 일부 실시예들에서 0.1% 미만의, 또는 추가의 실시예들에서는 거의 0%의 매우 낮은 다공성을 가질 수 있다. 후속적으로, 표면(205) 및 필름 층(215)을 갖는 물품(210)은, 층(215)과 반응하여 제2 흡착 층(218)을 형성함으로써 제2 필름 층(220)을 부분적으로 형성하는 제3 전구체(270)에 도입될 수 있다. 후속적으로, 물품(210)은, 흡착 층(218)과 반응하여 층(220)을 완전히 형성하는 제2 하프 반응을 유발하는 다른 전구체(275)(반응물로 또한 지칭됨)에 도입될 수 있다. 물품(210)은, 층(220)에 대한 타겟 두께를 달성하기 위해 최대 m번의 횟수까지 제3 전구체(270) 및 제4 전구체(275)에 교번적으로 노출될 수 있다. M은 예컨대, 1 내지 100의 정수일 수 있다. 제2 필름 층(220)은 균일하고, 연속적이고 그리고 컨포멀할 수 있다. 제2 필름 층(220)은 또한, 일부 실시예들에서 1% 미만의, 일부 실시예들에서 0.1% 미만의, 또는 추가의 실시예들에서는 거의 0%의 매우 낮은 다공성을 가질 수 있다. 그 후에, 물품(210)을, n번의 횟수들로 전구체들(260 및 265)에 그리고 이어서 m번의 횟수들로 전구체들(270 및 275)에 도입하는 시퀀스는 반복되어 x번의 횟수들로 수행될 수 있다. X는 예컨대, 1 내지 100의 정수일 수 있다. 시퀀스의 결과는 추가의 층들(225, 230, 235, 및 245)을 성장시키는 것일 수 있다. 다양한 층들의 수 및 두께는 타겟팅된 코팅 두께 및 특성들에 기반하여 독립적으로 선택될 수 있다. 다양한 층들은 온전하게(즉, 분리되어) 유지될 수 있거나 또는 일부 실시예들에서는 상호확산될 수 있다.
[0036] 표면 반응들(예컨대, 하프-반응들)은 순차적으로 수행된다. 새로운 전구체의 도입 이전에, ALD 프로세스가 발생하는 챔버는 임의의 반응하지 않은 전구체들 및/또는 표면-전구체 반응 부산물들을 제거하기 위해 불활성 캐리어 가스(이를테면, 질소 또는 공기)로 퍼지될 수 있다. 적어도 2개의 전구체들이 사용된다. 일부 실시예들에서, 동일한 조성을 갖는 필름 층들을 성장시키기 위해(예컨대, 하나가 다른 하나의 최상부 상에 놓이는 식으로 Y2O3의 다수의 층들을 성장시키기 위해) 2개 초과의 전구체들이 사용될 수 있다. 다른 실시예들에서, 상이한 조성들을 갖는 상이한 필름 층들을 성장시키기 위해, 상이한 전구체들이 사용될 수 있다.
[0037] ALD 프로세스들은 다양한 온도들에서 수행될 수 있다. 특정 ALD 프로세스에 대한 최적의 온도 범위는 "ALD 온도 윈도우"로 지칭된다. ALD 온도 윈도우 미만의 온도들은 불충분한 성장률들 및 비-ALD 타입 증착을 초래할 수 있다. ALD 온도 윈도우 초과의 온도들은 물품의 열 분해 또는 전구체의 급속한 탈착을 초래할 수 있다. ALD 온도 윈도우는 대략 200℃ 내지 대략 400℃의 범위일 수 있다. 일부 실시예들에서, ALD 온도 윈도우는 대략 150℃ 내지 대략 350℃이다.
[0038] ALD 프로세스는, 복잡한 기하학적 형상들을 갖는 표면들 및 물품들, 큰 종횡비들을 갖는 홀들 및 3 차원 구조들 상에 균일한 필름 두께를 갖는 컨포멀한 필름 층들을 가능하게 할 수 있다. 표면에 대한 전구체들의 충분한 노출 시간은, 전구체들이 분산되어, 그것의 3 차원의 복잡한 피처들 모두를 포함한, 표면 그 전체와 완전히 반응하게 할 수 있다. 높은 종횡비의 구조들에서 컨포멀한 ALD를 획득하기 위해 활용되는 노출 시간은 종횡비의 제곱에 비례하며, 모델링 기법들을 사용하여 예측될 수 있다. 부가적으로, ALD 기법은, 소스 재료들(이를테면, 파우더 피드스톡 및 소결된 타겟들)의 길고 어려운 제조를 필요로 하지 않으면서, 특정 조성 또는 제형(formulation)의 재료 합성의 요구 시에 인-시튜로 가능하게 할 수 있기 때문에, 다른 일반적으로 사용되는 코팅 기법들보다 유리하다. 일부 실시예들에서, 층들(215, 220, 225, 및 230)의 제1 세트는 함께, TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층을 형성할 수 있다. 확산 장벽 층들은, 예컨대 TiN 필름, TaN 필름, 및 Zr3N4 필름을 형성하기 위해 사용되는 ALD 전구체들의 교번적인 쌍들로부터 또는 ALD 전구체들의 하나의 쌍으로부터 증착될 수 있다. 일부 실시예들에서, 교번적인 전구체들로부터 형성된 필름들은 온전한 층들로서 유지될 수 있다. 다른 실시예들에서, 교번적인 전구체들로부터 형성된 필름들은 어닐링되어, 상호확산된 확산 장벽 층을 형성할 수 있다. 일부 실시예들에서, 층들(215, 220, 225, 및 230) 각각은, 단일의 더 두꺼운 확산 장벽 층을 함께 형성하는 동일한 재료의(예컨대, TiNx, TaNx 또는 Zr3N4의) 나노층이다.
[0039] 일부 실시예들에서, 층들(235 및 245)의 제2 세트는 함께, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층을 형성할 수 있다. 내침식성 층은, 예컨대, Al2O3 필름, Y2O3 필름, ZrO2 필름, YF3 필름, 및/또는 Er2O3 필름을 형성하기 위해 사용되는 ALD 전구체들의 교번적인 쌍들로부터 또는 ALD 전구체들의 하나의 쌍으로부터 증착될 수 있다. 일부 실시예들에서, 교번적인 전구체들로부터 형성된 필름들은 온전한 층들로서 유지될 수 있다. 다른 실시예들에서, 교번적인 전구체들로부터 형성된 필름들은 어닐링되어, 상호확산된 내침식성 층을 형성할 수 있다. 일부 실시예들에서, 층들(235 및 245) 각각은, 단일의 더 두꺼운 내침식성 층을 함께 형성하는 동일한 재료의(예컨대, Al2O3, Y2O3, ZrO2, YF3, 또는 Er2O3의) 나노층이다.
[0040] 일부 실시예들에서, 다층 코팅은 CVD를 통해 물품의 표면 상에 증착될 수 있다. 예시적인 CVD 시스템이 도 3에 예시된다. 시스템은 화학적 증기 전구체 공급 시스템(305) 및 CVD 반응기(310)를 포함한다. 증기 전구체 공급 시스템(305)의 역할은, 고체, 액체, 또는 가스 형태일 수 있는 시재료(starting material)(315)로부터 증기 전구체들(320)을 생성하는 것이다. 이어서, 증기들은 CVD 반응기(310) 내로 이송되어, 물품 홀더(335) 상에 포지셔닝된 물품(330) 상에 박막(325)으로서 증착된다.
[0041] CVD 반응기(310)는 가열기(340)를 사용하여 물품(330)을 증착 온도로 가열한다. 일부 실시예들에서, 가열기는 CVD 반응기의 벽을 가열할 수 있고("고온-벽 반응기(hot-wall reactor)"로 또한 알려짐), 반응기의 벽은 열을 물품에 전달할 수 있다. 다른 실시예들에서, 오로지 물품만이 가열되는 한편 CVD 반응기의 벽은 차갑게 유지될 수 있다("냉벽 반응기(cold-wall reactor)"로 또한 알려짐). CVD 시스템 구성이 제한적인 것으로 해석되어서는 안된다는 것이 이해되어야 한다. 다양한 장비가 CVD 시스템을 위해 활용될 수 있고, 장비는, 균일한 두께, 표면 모폴로지, 구조, 및 조성을 갖는 코팅을 제공할 수 있는 최적의 프로세싱 컨디션들을 획득하도록 선택된다.
[0042] 다양한 CVD 프로세스들은 다음의 프로세스, 즉, (1) 시재료로부터 활성 가스상 반응 종("전구체들"로 또한 알려짐)을 생성하기 위한 프로세스; (2) 전구체들을 반응 챔버("반응기"로 또한 지칭됨) 내로 이송하기 위한 프로세스; (3) 전구체들을 가열된 물품 상에 흡착시키기 위한 프로세스; (4) 증착물 및 가스상 부산물을 형성하기 위해 가스-고체 계면에서의 전구체와 물품 간의 화학적 반응에 참여하기 위한 프로세스; 및 (5) 가스상 부산물 및 반응하지 않은 가스상 전구체들을 반응 챔버로부터 제거하기 위한 프로세스로 이루어진다.
[0043] 적절한 CVD 전구체들은, 실온에서 안정적일 수 있고, 낮은 기화 온도를 가질 수 있으며, 저온에서 안정적이고, 적절한 증착 레이트(박막 코팅들에 대한 낮은 증착 레이트 및 후막(thick film) 코팅들에 대한 높은 증착 레이트)를 갖고, 비교적 낮은 독성을 갖고, 비용 효과적이고, 그리고 비교적 순수한 증기를 생성할 수 있다. 일부 CVD 반응들, 이를테면, 열 분해 반응("열분해(pyrolysis)"로 또한 알려짐) 또는 불균등화 반응의 경우, 오로지 화학적 전구체만으로도 증착을 완료하기에 충분할 수 있다. 다른 CVD 반응들의 경우, 화학적 전구체에 더하여 다른 제제(agent)들(아래의 표 1에서 리스트됨)이 증착을 완료하는 데 활용될 수 있다.
Figure 112019020713759-pat00001
표 1: 다양한 CVD 반응들에서 활용되는 화학적 전구체들 및 추가의 제제들
[0044] CVD는, 고밀도의 그리고 순수한 코팅들을 증착할 수 있는 CVD의 능력 및 합리적으로 높은 증착 레이트들로 양호한 재현성(reproducibility) 및 접착력을 갖는 균일한 필름들을 생성하는 CVD의 능력을 포함한 많은 장점들을 갖는다. 실시예들에서, CVD를 사용하여 증착된 층들은 1% 미만의 다공성, 및 0.1% 미만(예컨대, 대략 0%)의 다공성을 가질 수 있다. 그러므로, 이는, 복잡한 형상의 컴포넌트들을 균일하게 코팅하고 그리고 양호한 컨포멀한 커버리지를 갖는 (예컨대, 실질적으로 균일한 두께를 갖는) 컨포멀한 필름들을 증착하는 데 사용될 수 있다. CVD는 또한, 예컨대 복수의 화학적 전구체들을 미리 결정된 비율로 혼합 챔버 내로 공급함으로써, 그리고 이어서, 혼합물을 CVD 반응기 시스템에 공급함으로써, 복수의 컴포넌트들로 이루어진 필름을 증착하는 데 활용될 수 있다.
[0045] 실시예들에서, CVD 반응기(310)는, 플라즈마 환경들에 의한 침식 및/또는 부식에 대해 저항성이 있는 확산 장벽 층 및/또는 내침식성 층을 형성하는 데 사용될 수 있다. 실시예들에서, 층(325)은 TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층을 형성할 수 있다. 일부 실시예들에서, 확산 장벽 층(325)을 커버하는 층(345)은, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층일 수 있다.
[0046] 일부 실시예들에서, 다층 코팅은 PVD를 통해 물품의 표면 상에 증착될 수 있다. PVD 프로세스들은 수 나노미터 내지 수 마이크로미터의 범위의 두께들을 갖는 박막들을 증착하는 데 사용될 수 있다. 다양한 PVD 프로세스들은 공동으로 3개의 기본 피처들, 즉, (1) 고온 또는 가스상 플라즈마의 도움으로 고체 소스로부터 재료를 증발시키는 것; (2) 증발된 재료를 진공에서 물품의 표면으로 이송하는 것; 및 (3) 증발된 재료를 물품 상에 응축시켜 박막층을 생성하는 것을 공유한다. 예시적 PVD 반응기가 도 4에 도시되며, 아래에서 더 상세하게 논의된다.
[0047] 도 4는 다양한 PVD 기법들 및 반응기들에 적용가능한 증착 메커니즘을 도시한다. PVD 반응기 챔버(400)는 물품(420) 근처의 플레이트(410) 및 타겟(430) 근처의 플레이트(415)를 포함할 수 있다. 반응기 챔버(400)로부터 공기가 제거되어, 진공을 생성할 수 있다. 이어서, 아르곤 가스가 반응기 챔버 내로 도입될 수 있고, 전압이 플레이트들에 인가될 수 있고, 전자들 및 포지티브 아르곤 이온들(440)을 포함하는 플라즈마가 생성될 수 있다. 포지티브 아르곤 이온들(440)은 네거티브 플레이트(415)로 끌어당겨질 수 있고, 여기서 포지티브 아르곤 이온들(440)이 타겟(430)에 충돌하여 타겟으로부터 원자들(435)을 릴리즈할 수 있다. 릴리즈된 원자들(435)은 이송되어 물품(420) 상에 박막(425)으로서 증착될 수 있다.
[0048] 실시예들에서, PVD 반응기 챔버(400)는 확산 장벽 층 및/또는 내침식성 층을 형성하는 데 사용될 수 있다. 실시예들에서, 층(425)은 TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층을 형성할 수 있다. 일부 실시예들에서, 확산 장벽 층(425)을 커버하는 층(445)은, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층일 수 있다.
[0049] 도 2의 물품(210), 도 3의 물품(330), 및 도 4의 물품(420)은, 기판 지지 어셈블리, 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 가스 라인들, 샤워헤드, 노즐, 덮개, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 이퀄라이저, 냉각 베이스, 챔버 뷰포인트, 챔버 덮개 등을 포함하는(그러나 이에 제한되지 않음) 다양한 반도체 프로세스 챔버 컴포넌트들을 나타낼 수 있다. 물품들 및 물품들의 표면들은, 금속(이를테면, 알루미늄, 스테인리스 강), 세라믹, 금속-세라믹 복합물, 폴리머, 폴리머 세라믹 복합물, 또는 다른 적절한 재료들로 제조될 수 있으며, AlN, Si, SiC, Al2O3, SiO2 등과 같은 재료들을 더 포함할 수 있다.
[0050] ALD, CVD, 및 PVD 기법들을 이용 시에, TiNx, TaNx, Zr3N4, 및 TiZrxNy와 같은 확산 장벽 필름들, 및 YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz와 같은 내침식성 필름들이 형성될 수 있다. 일부 실시예들에서, 확산 장벽 층 및 내침식성 층 둘 모두는 동일한 기법을 사용하여 증착될 수 있는데, 즉, 둘 모두는 ALD를 통해 증착될 수 있거나, 둘 모두는 CVD를 통해 증착될 수 있거나, 또는 둘 모두는 PVD를 통해 증착될 수 있다. 다른 실시예들에서, 확산 장벽 층은 하나의 기법에 의해 증착될 수 있고, 내침식성 층은 다른 기법에 의해 증착될 수 있다. 층들 둘 모두가 예컨대, ALD를 통해 증착되는 경우, 아래에서 더 상세하게 논의되는 바와 같이, 확산 장벽 층은 TiN, TaN, 및 Zr3N4을 흡착 및 증착하는 데 사용되는 전구체들의 적절한 시퀀싱에 의해 흡착 및 증착될 수 있고, 내침식성 필름들은 Y2O3, Al2O3, YF3, ZrO2, 및 Er2O3를 흡착 및 증착하는 데 사용되는 전구체들의 적절한 시퀀싱에 의해 흡착 및 증착될 수 있다.
[0051] 도 5는 실시예에 따라 물품 상에 다층 코팅을 형성하기 위한 방법(500)을 예시한다. 방법은 선택적으로, 다층 코팅에 대한 조성을 선택함으로써 시작될 수 있다(도 5에 예시되지 않음). 조성 선택 및 형성 방법은 동일한 엔티티에 의해 또는 다수의 엔티티들에 의해 수행될 수 있다. 블록(505)에 따르면, 방법은, ALD, CVD, 및 PVD로 이루어진 그룹으로부터 선택된 제1 증착 프로세스를 사용하여 물품의 표면 상에 확산 장벽 층을 증착하는 단계를 포함한다. 확산 장벽 층은 복수의 온전한 층들을 포함할 수 있다. 복수의 온전한 층들은 복수의 전구체들로 만들어져서 확산 장벽 층을 형성할 수 있다. 확산 장벽 층은 대략 10 nm 내지 대략 100 nm의 범위의 두께를 가질 수 있고, TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택될 수 있다.
[0052] 블록(510)에 따르면, 방법은 선택적으로, 확산 장벽 층을 어닐링하는 단계를 더 포함한다. 일부 실시예들에서, 어닐링은, 복수의 온전한 층들에 존재하는 복수의 컴포넌트들의 상호확산된 고체 상태의 상(solid state phase)을 포함하는 확산 장벽 층을 유발할 수 있다. 어닐링은 대략 800℃ 내지 대략 1800℃, 대략 800℃ 내지 대략 1500℃, 또는 대략 800℃ 내지 대략 1000℃의 범위의 온도에서 수행될 수 있다. 어닐링 온도는, 물품, 표면, 및 필름 층들의 구성의 재료에 기반하여, 그들의 무결성을 유지하고 그리고 이들 컴포넌트들 중 임의의 컴포넌트 또는 모든 컴포넌트들을 변형, 분해, 또는 용융하는 것을 억제하도록 선택될 수 있다.
[0053] 블록(515)에 따르면, 방법은, ALD, CVD, 및 PVD로 이루어진 그룹으로부터 선택된 제2 증착 프로세스를 사용하여 내침식성 층을 확산 장벽 층 상에 증착하는 단계를 더 포함한다. 내침식성 층은 복수의 온전한 층들을 포함할 수 있다. 복수의 온전한 층들은 복수의 전구체들로 만들어져서 내침식성 층을 형성할 수 있다. 내침식성 층은 최대 대략 1 마이크로미터, 예컨대 대략 20 nm 내지 대략 1 마이크로미터의 두께를 가질 수 있고, YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택될 수 있다.
[0054] 일부 실시예들에서, 블록(520)에 따르면, 방법은 선택적으로, 내침식성 층을 어닐링하는 단계를 더 포함할 수 있다. 일부 실시예들에서, 어닐링은, 복수의 온전한 층들에 존재하는 복수의 컴포넌트들의 상호확산된 고체 상태의 상을 포함하는 내침식성 층을 유발할 수 있다. 어닐링 온도는 위에서 리스트된 확산 장벽 층의 어닐링 온도와 유사할 수 있다.
[0055] 일부 실시예들에서, 장벽 층 및 내침식성 층 둘 모두는 어닐링되고 상호확산될 수 있다(도 6d). 일부 실시예들에서, 내침식성 층의 증착 후에 단일 어닐링 프로세스가 수행되어 확산 장벽 층의 나노층들 및 내침식성 층의 나노층들을 어닐링 및 상호확산시킨다. 일부 실시예들에서, 장벽 층 및 내침식성 층 중 하나가 어닐링되고 상호확산되는 반면, 다른 층은 어닐링되지 않는다(도 6b 및 도 6c 참조). 다른 실시예들에서, 장벽 층 또는 내침식성 층 중 어느 것도 어닐링되거나 상호확산되지 않는다(도 6a). 다양한 실시예들이 도 6a-6d에 예시되며, 아래에서 더 상세하게 논의된다.
[0056] 일부 실시예들에서, 확산 장벽 층의 제1 증착 프로세스 및 내침식성 층의 제2 증착 프로세스는 동일할 수 있는데, 예컨대, 프로세스들 둘 모두가 ALD일 수 있거나, 프로세스들 둘 모두가 CVD일 수 있거나, 또는 프로세스들 둘 모두가 PVD일 수 있다. 다른 실시예들에서, 확산 장벽 층의 제1 증착 프로세스 및 내침식성 층의 제2 증착 프로세스는 다를 수 있다. 증착 방법과 무관하게, 최종 다층 코팅은 균열 없이 대략 20℃ 내지 대략 450℃의 온도 사이클링(temperature cycling)을 견딜 수 있다.
[0057] 제1 또는 제2 증착 프로세스들이 ALD 또는 CVD인 경우, 적절한 전구체 또는 복수의 전구체들은, 궁극적으로 확산 장벽 층(들), 내침식성 층(들), 및 다층 코팅을 형성하도록 선택될 수 있다.
[0058] 예컨대, TiNx 확산 장벽 층은, ALD 또는 CVD를 통해, 비스(디에틸아미도)비스(디메틸아미도)티타늄(IV), 테트라키스(디에틸아미도)티타늄(IV), 테트라키스(디메틸아미도)티타늄(IV), 테트라키스(에틸메틸아미도)티타늄(IV), 티타늄(IV) 브롬화물, 티타늄(IV) 염화물, 및 티타늄(IV) 3차-부톡사이드로 이루어진 그룹으로부터 선택된 적어도 하나의 Ti-함유 전구체로부터 증착될 수 있다.
[0059] TaNx 확산 장벽 층은, ALD 또는 CVD를 통해, 펜타키스(디메틸아미도)탄탈(V), 탄탈(V) 염화물, 탄탈(V) 에톡사이드, 및 트리스(디에틸아미노)(3차-부틸이미도)탄탈(V)로 이루어진 그룹으로부터 선택된 적어도 하나의 Ta 전구체로부터 증착될 수 있다.
[0060] TiZrxNy 확산 장벽 층은, ALD 또는 CVD를 통해, 적어도 하나의 Ti 전구체로부터 그리고 적어도 하나의 Zr 전구체로부터 증착될 수 있다. Ti 전구체들은, 비스(디에틸아미도)비스(디메틸아미도)티타늄(IV), 테트라키스(디에틸아미도)티타늄(IV), 테트라키스(디메틸아미도)티타늄(IV), 테트라키스(에틸메틸아미도)티타늄(IV), 티타늄(IV) 브롬화물, 티타늄(IV) 염화물, 및 티타늄(IV) 3차-부톡사이드로 이루어진 그룹으로부터 선택될 수 있다. Zr 전구체들은, 지르코늄(IV) 브롬화물, 지르코늄(IV) 염화물, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)으로 이루어진 그룹으로부터 선택될 수 있다. 일부 실시예들에서, 다양한 컴포넌트들의 화학량론적 비율들은 Ti0 . 2Zr0 . 2N0 .6 확산 장벽 층을 형성할 수 있다.
[0061] Zr3N4 확산 장벽 층은, ALD 또는 CVD를 통해, 지르코늄(IV) 브롬화물, 지르코늄(IV) 염화물, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)으로 이루어진 그룹으로부터 선택된 적어도 하나의 Zr 전구체로부터 증착될 수 있다.
[0062] ErAlxOy 내침식성 층은, ALD 또는 CVD를 통해, 적어도 하나의 Er 전구체로부터 그리고 적어도 하나의 Al 전구체로부터 증착될 수 있다. Er 전구체들은, 트리스-메틸시클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III) 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸시클로펜타디에닐)에르븀(III)으로 이루어진 그룹으로부터 선택될 수 있다. Al 전구체들은, 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 삼브롬화물, 알루미늄 삼염화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 및 트리스(디에틸아미도)알루미늄으로 이루어진 그룹으로부터 선택될 수 있다.
[0063] YAlxOy 내침식성 층은, ALD 또는 CVD를 통해, 적어도 하나의 Y 전구체로부터 그리고 적어도 하나의 Al 전구체로부터 증착될 수 있다. Y 전구체들은, 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 이트륨(III)부톡사이드, 트리스(시클로펜타디에닐)이트륨(III), 및 Y(thd)3 (thd = 2,2,6,6-테트라메틸-3,5-헵탄디오나토)로 이루어진 그룹으로부터 선택될 수 있다.
[0064] YOxFy 내침식성 층은, ALD 또는 CVD를 통해, 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 이트륨(III)부톡사이드, 트리스(시클로펜타디에닐)이트륨(III), 및 Y(thd)3 (thd = 2,2,6,6-테트라메틸-3,5-헵탄디오나토)로 이루어진 그룹으로부터 선택된 적어도 하나의 Y 전구체로부터 증착될 수 있다.
[0065] YZrxOy 내침식성 층은, ALD 또는 CVD를 통해, 적어도 하나의 Y 전구체로부터 그리고 적어도 하나의 Zr 전구체로부터 증착될 수 있다. Zr 전구체들은, 지르코늄(IV) 브롬화물, 지르코늄(IV) 염화물, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)으로 이루어진 그룹으로부터 선택될 수 있다.
[0066] YZrxAlyOz 내침식성 층은, ALD 또는 CVD를 통해, 적어도 하나의 Y 전구체로부터, 적어도 하나의 Zr 전구체로부터, 그리고 적어도 하나의 Al 전구체로부터 증착될 수 있다.
[0067] Er2O3 내침식성 층은, ALD 또는 CVD를 통해, 트리스-메틸시클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III) 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸시클로펜타디에닐)에르븀(III)으로 이루어진 그룹으로부터 선택된 적어도 하나의 Er 전구체로부터 증착될 수 있다.
[0068] Al2O3 내침식성 층은, ALD 또는 CVD를 통해, 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 삼브롬화물, 알루미늄 삼염화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 및 트리스(디에틸아미도)알루미늄으로 이루어진 그룹으로부터 선택된 적어도 하나의 Al 전구체로부터 증착될 수 있다.
[0069] Y2O3 내침식성 층은, ALD 또는 CVD를 통해, 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 이트륨(III)부톡사이드, 트리스(시클로펜타디에닐)이트륨(III), 및 Y(thd)3 (thd = 2,2,6,6-테트라메틸-3,5-헵탄디오나토)로 이루어진 그룹으로부터 선택된 적어도 하나의 Y 전구체로부터 증착될 수 있다.
[0070] YF3 내침식성 층은, ALD 또는 CVD를 통해, 적어도 하나의 Y 전구체로부터 증착될 수 있다.
[0071] ZrO2 내침식성 층은, ALD 또는 CVD를 통해, 지르코늄(IV) 브롬화물, 지르코늄(IV) 염화물, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)으로 이루어진 그룹으로부터 선택된 적어도 하나의 Zr 전구체로부터 증착될 수 있다.
[0072] 일부 실시예들에서, 플라즈마로부터 오존, 수증기, 및 산소 라디칼들과 같은 산소 소스를 제공하는 전구체 가스들은 본원의 위에서 리스트된 전구체들 중 임의의 전구체와 함께 사용될 수 있다. 일부 실시예들에서, 질소 플라즈마로부터 암모니아, 질소, 및 라디칼들과 같은 질소 소스를 제공하는 전구체 가스들은 본원의 위에서 리스트된 전구체들 중 임의의 전구체와 함께 사용될 수 있다. 일부 실시예들에서, 불소 플라즈마로부터 불소, HF, 및 불소 라디칼들과 같은 불소 소스를 제공하는 전구체 가스들은 본원의 위에서 리스트된 전구체들 중 임의의 전구체와 함께 사용될 수 있다. 본원의 위에서 리스트된 전구체들은 단지 예시적이며 제한적인 것으로서 해석되어서는 안된다는 것이 이해되어야 한다.
[0073] 도 6a-6d는 상이한 실시예들에 따른 다층 코팅의 변형들을 도시한다. 도 6a는 표면(605)을 갖는 물품(610)에 대한 다층 코팅을 예시한다. 예컨대, 물품(610)은, 기판 지지 어셈블리, 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 가스 라인들, 샤워헤드, 노즐, 덮개, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 이퀄라이저, 냉각 베이스, 챔버 뷰포인트, 챔버 덮개 등을 포함하는(그러나 이에 제한되지 않음) 다양한 반도체 프로세스 챔버 컴포넌트들을 포함할 수 있다. 반도체 프로세스 챔버 컴포넌트는, 금속(이를테면, 알루미늄, 스테인리스 강), 세라믹, 금속-세라믹 복합물, 폴리머, 폴리머 세라믹 복합물, 또는 다른 적절한 재료들로 제조될 수 있으며, AlN, Si, SiC, Al2O3, SiO2 등과 같은 재료들을 더 포함할 수 있다.
[0074] 도 6a-6d에서, 표면(605) 상에 증착되는 다층 코팅은, TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택된 확산 장벽 층(615 또는 645) 및 YF3, Y2O3, Er2O3, Al2O3, ZrO2, ErAlxOy, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 그룹으로부터 선택된 내침식성 층(625 또는 635)을 포함한다. 내침식성 층은 확산 장벽 층을 커버한다.
[0075] 도 6a에서, 확산 장벽 층(615) 및 내침식성 층(625) 둘 모두는 복수의 온전한 층들(650 및 630)을 각각 포함한다. 도 6b에서, 확산 장벽 층(615)은 복수의 온전한 층들(650)을 포함하는 반면, 내침식성 층(635)은 내침식성 층을 구성하는 복수의 컴포넌트들의 상호확산된 고체 상태의 상으로 존재할 수 있다. 도 6c에서, 확산 장벽 층(645)은 확산 장벽 층을 구성하는 복수의 컴포넌트들의 상호확산된 고체 상태의 상으로 존재할 수 있는 반면, 내침식성 층(625)은 복수의 온전한 층들을 포함할 수 있다. 도 6d에서, 확산 장벽 층(645) 및 내침식성 층(635) 둘 모두는 층들 각각을 구성하는 복수의 컴포넌트들의 상호-확산된 고체 상태의 상으로 존재할 수 있다. 대안적으로, 확산 장벽 층(645)의 컴포넌트들이 상호확산되어 다수의 상이한 상(phase)들을 형성할 수 있고 그리고/또는 내침식성 층(635)의 컴포넌트들이 상호확산되어 다수의 상이한 상들을 형성할 수 있다.
[0076] 도 6a-6d에 예시된 확산 장벽 층 및 내침식성 층이 유사한 두께를 갖는 것으로 보일 수 있지만, 이들 도면들은 제한적인 것으로 해석되어서는 안된다. 일부 실시예들에서, 확산 장벽 층은 내침식성 층보다 더 작은 두께를 가질 수 있다. 일부 실시예들에서, 확산 장벽 층은 내침식성 층보다 더 큰 두께를 가질 수 있다. 일부 실시예들에서, 확산 장벽 층과 내침식성 층의 두께는 동일할 수 있다. 확산 장벽 층은 대략 10 nm 내지 대략 100 nm의 범위의 두께를 가질 수 있다. 내침식성 층은 최대 대략 1 마이크로미터의, 예컨대 대략 20 nm 내지 대략 1 마이크로미터의 두께를 가질 수 있다.
[0077] 다층 코팅의 표면 거칠기는 반도체 프로세스 챔버 컴포넌트의 거칠기와 유사할 수 있다. 일부 실시예들에서, 다층 코팅의 표면 거칠기는 대략 20 내지 대략 45 마이크로인치의 범위일 수 있다.
[0078] ALD에 의해 증착되는 알루미늄 산화물 내침식성 층은 다음의 특성들, 즉, 대략 1 마이크로미터의 두께에서 대략 360 볼트의 파괴 전압, 대략 1 마이크로미터의 두께에서 대략 140 mN의, 10 미크론 다이아몬드 스타일러스 스크래치 접착력 테스트(diamond stylus scratch adhesion test)에 기반한 스크래치 접착 파괴력(scratch adhesion failure force), 대략 12.9 - 13.5 GPa의 비커스 경도, 및 버블 테스트에 기반한 1 미크론 두께의 필름에 대한 대략 1 - 28 시간의 파손 시간(time to failure)을 가질 수 있다. ALD에 의해 증착되는 이트륨 산화물 내침식성 층은 다음의 특성들, 즉, 대략 1 마이크로미터의 두께에서 대략 475 볼트의 파괴 전압, 대략 100 nm의 두께에서 34 mN의, 10 마이크로미터 다이아몬드 스타일러스 스크래치 접착력 테스트에 기반한 스크래치 접착 파괴력, 대략 11.5 GPa 내지 대략 12.9 GPa의 비커스 경도, 및 버블 테스트에 기반한 1 마이크로미터 필름에 대한 대략 14분의 파손 시간을 가질 수 있다.
[0079] 이전의 설명은, 본 발명의 몇몇 실시예들의 양호한 이해를 제공하기 위해, 특정한 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정한 세부사항들을 기술한다. 그러나, 본 발명의 적어도 일부 실시예들이, 이들 특정한 세부사항들 없이도 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료하게 하는 것을 회피하기 위해, 잘-알려진 컴포넌트들 또는 방법들은 상세히 설명되지 않거나 또는 간단한 블록 다이어그램 포맷으로 표현된다. 따라서, 기술된 특정한 세부사항들은 단지 예시적일 뿐이다. 특정한 구현들은 이들 예시적인 세부사항들로부터 변화할 수 있고, 여전히 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
[0080] 본 명세서 전체에 걸친, "일 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특징이 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현들이 모두 반드시 동일한 실시예를 지칭하는 것은 아니다. 게다가, "또는"이라는 용어는, 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. "대략" 또는 "거의"라는 용어가 본원에서 사용되는 경우, 이는 제시되는 공칭 값이 ±10% 내에서 정확함을 의미하도록 의도된다.
[0081] "다공성"이라는 용어가 본원에서 사용되는 경우, 이는 코팅 내의 빈 공간의 양을 설명하기 위해 의도된다. 예컨대, 5% 다공성은 코팅의 총 볼륨의 5%가 실제로 빈 공간이라는 것을 의미할 것이다.
[0082] "표면 거칠기"라는 용어가 본원에서 사용되는 경우, 이는 조면계(profilometer)(표면에 걸쳐 드래그되는 바늘)를 사용한, 표면의 거칠기의 측정치를 설명한다.
[0083] "파괴 전압" 또는 "BDV"라는 용어가 본원에서 사용되는 경우, 이는 전압을 사용한, 코팅의 평가를 나타낸다. BDV 값은, 코팅이 파괴적으로 호를 그릴 때 도달하는 전압이다.
[0084] "접착력"이라는 용어가 본원에서 사용되는 경우, 이는 코팅이 하부 물품 또는 하부 코팅에 접착되는 세기를 나타낸다.
[0085] "경도"라는 용어가 본원에서 사용되는 경우, 이는 필름이 손상 없이 견딜 수 있는 압축량을 나타낸다.
[0086] "버블 테스트"라는 용어가 본원에서 사용되는 경우, 이는 코팅된 물품이 염산 용액에 놓이고, 액체에서의 버블의 형성 때까지의 시간이 측정되는 테스트를 나타낸다. 버블의 형성은, 물품 그 차제가 반응하여 코팅이 침투되었음을 표시한다.
[0087] 온도 사이클링을 견디는 능력은, 다층 코팅이 균열을 겪지 않으면서 온도 사이클들을 통해 프로세싱될 수 있다는 것을 의미한다.
[0088] 본원의 방법들의 동작들이 특정 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 소정의 동작들이 역순으로 수행될 수 있도록, 또는 소정의 동작이 적어도 부분적으로, 다른 동작들과 동시에 수행될 수 있도록, 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 하위-동작들 또는 명령들은 간헐적인 및/또는 교번적인 방식으로 이루어질 수 있다.
[0089] 위의 설명은 예시적인 것이지 제한적이지 않은 것으로 의도된다는 것이 이해되어야 한다. 상기 설명을 읽고 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 그러므로, 본 개시내용의 범위는 첨부된 청구항들에 부여되는 등가물들의 최대 범위와 함께, 그러한 청구항들을 참조하여 결정되어야 한다.

Claims (15)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 다층 코팅을 형성하기 위한 방법으로서,
    물품의 표면 상에 확산 장벽 층을 증착하는 단계 ― 상기 확산 장벽 층은 원자 층 증착(ALD; atomic layer deposition), 물리 기상 증착(PVD; physical vapor deposition), 및 화학 기상 증착(CVD; chemical vapor deposition)으로 이루어진 그룹으로부터 선택된 제1 증착 프로세스를 사용하여 증착되고, 그리고 상기 확산 장벽 층은 TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택됨 ―; 및
    상기 확산 장벽 층 상에 내침식성 층을 증착하는 단계;를 포함하고,
    상기 확산 장벽 층은 통과하는 금속 오염물들의 확산으로부터 하부 물품을 밀봉하며,
    상기 내침식성 층은 ALD, PVD, 및 CVD로 이루어진 그룹으로부터 선택된 제2 증착 프로세스를 사용하여 증착되고, 그리고 상기 내침식성 층은 YZrxOy를 포함하는,
    다층 코팅을 형성하기 위한 방법.
  5. 삭제
  6. 제4 항에 있어서,
    상기 확산 장벽 층은 TaNx이고, 그리고 상기 확산 장벽 층은, ALD 또는 CVD를 통해, 펜타키스(디메틸아미도)탄탈(V), 탄탈(V) 염화물, 탄탈(V) 에톡사이드, 및 트리스(디에틸아미노)(3차-부틸이미도)탄탈(V)로 이루어진 그룹으로부터 선택된 적어도 하나의 Ta 전구체로부터 증착되는,
    다층 코팅을 형성하기 위한 방법.
  7. 제4 항에 있어서,
    상기 확산 장벽 층은 TiZrxNy이고;
    상기 확산 장벽 층은, ALD 또는 CVD를 통해, 적어도 하나의 Ti 전구체로부터 그리고 적어도 하나의 Zr 전구체로부터 증착되고;
    상기 적어도 하나의 Ti 전구체는, 비스(디에틸아미도)비스(디메틸아미도)티타늄(IV), 테트라키스(디에틸아미도)티타늄(IV), 테트라키스(디메틸아미도)티타늄(IV), 테트라키스(에틸메틸아미도)티타늄(IV), 티타늄(IV) 브롬화물, 티타늄(IV) 염화물, 및 티타늄(IV) 3차-부톡사이드로 이루어진 그룹으로부터 선택되고; 그리고
    상기 적어도 하나의 Zr 전구체는, 지르코늄(IV) 브롬화물, 지르코늄(IV) 염화물, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 및 테트라키스(에틸메틸아미도)지르코늄(IV)으로 이루어진 그룹으로부터 선택되는,
    다층 코팅을 형성하기 위한 방법.
  8. 삭제
  9. 제4 항에 있어서,
    상기 확산 장벽 층은 10 nm 내지 100 nm의 범위의 두께를 갖고, 그리고 상기 내침식성 층은 최대 1 마이크로미터의 두께를 갖는,
    다층 코팅을 형성하기 위한 방법.
  10. 제4 항에 있어서,
    상기 확산 장벽 층을 증착하는 단계는:
    복수의 전구체들을 사용하여 복수의 온전한(intact) 층들을 증착하는 단계를 포함하는,
    다층 코팅을 형성하기 위한 방법.
  11. 제10 항에 있어서,
    상호확산된(interdiffused) 확산 장벽 층을 형성하기 위해 상기 복수의 온전한 층들을 어닐링하는 단계를 더 포함하는,
    다층 코팅을 형성하기 위한 방법.
  12. 다층 코팅을 형성하기 위한 방법으로서,
    물품의 표면 상에 확산 장벽 층을 증착하는 단계 ― 상기 확산 장벽 층은 원자 층 증착(ALD), 물리 기상 증착(PVD), 및 화학 기상 증착(CVD)으로 이루어진 그룹으로부터 선택된 제1 증착 프로세스를 사용하여 증착되고, 그리고 상기 확산 장벽 층은 TiNx, TaNx, Zr3N4, 및 TiZrxNy으로 이루어진 그룹으로부터 선택되며, 상기 확산 장벽 층은 통과하는 금속 오염물들의 확산으로부터 하부 물품을 밀봉함 ―; 및
    상기 확산 장벽 층 상에 내침식성 층을 증착하는 단계;를 포함하고,
    상기 내침식성 층은 ALD, PVD, 및 CVD로 이루어진 그룹으로부터 선택된 제2 증착 프로세스를 사용하여 증착되며, 상기 내침식성 층은 YZrxOy를 포함하고,
    상기 내침식성 층을 증착하는 단계는,
    제1 복수의 층들과 제2 복수의 층들의 조합된 두께가 타겟 두께에 도달할 때까지, ALD 프로세스를 이용하여 이트륨 산화물(yttrium oxide)의 제1 복수의 층들 중 하나를 물품의 표면 상에 그리고 지르코늄 산화물(zirconium oxide)의 제2 복수의 층들 중 하나를 물품의 표면 상에 교번적으로 증착하는 단계를 포함하는,
    다층 코팅을 형성하기 위한 방법.
  13. 제12 항에 있어서,
    제1 복수의 층들과 제2 복수의 층들이 상호확산하고 YZrxOy를 포함하는 내침식성 층을 형성하도록, 제1 복수의 층들과 제2 복수의 층들을 포함하는 물품을 어닐링하는 단계를 더 포함하고,
    내침식성 층은 상호확산된 YZrxOy 고체 상태의 상(solid state phase)을 필수구성으로 포함하는(consist essentially of),
    다층 코팅을 형성하기 위한 방법.
  14. 제13 항에 있어서,
    ALD 프로세스의 제1 반복횟수(number of repetitions)를 이용하여 제1 복수의 층들의 각각은 증착되고, ALD 프로세스의 제2 반복횟수를 이용하여 제2 복수의 층들의 각각은 증착되고, x 및 y는, ALD 프로세스의 제1 반복횟수와 ALD 프로세스의 제2 반복횟수에 기초한 값들을 갖는,
    다층 코팅을 형성하기 위한 방법.
  15. 삭제
KR1020197005973A 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅 KR102481950B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662362936P 2016-07-15 2016-07-15
US62/362,936 2016-07-15
US15/646,602 US20180016678A1 (en) 2016-07-15 2017-07-11 Multi-layer coating with diffusion barrier layer and erosion resistant layer
US15/646,602 2017-07-11
KR1020187004122A KR102481924B1 (ko) 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
PCT/US2017/042110 WO2018013909A1 (en) 2016-07-15 2017-07-14 Multi-layer coating with diffusion barrier layer and erosion resistant layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187004122A Division KR102481924B1 (ko) 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅

Publications (2)

Publication Number Publication Date
KR20190022944A KR20190022944A (ko) 2019-03-06
KR102481950B1 true KR102481950B1 (ko) 2022-12-26

Family

ID=60941682

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197005973A KR102481950B1 (ko) 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
KR1020187004122A KR102481924B1 (ko) 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187004122A KR102481924B1 (ko) 2016-07-15 2017-07-14 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅

Country Status (6)

Country Link
US (2) US20180016678A1 (ko)
JP (2) JP7053452B2 (ko)
KR (2) KR102481950B1 (ko)
CN (2) CN108531907A (ko)
TW (2) TW201827626A (ko)
WO (1) WO2018013909A1 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10535525B2 (en) * 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
CN108091746B (zh) * 2017-11-13 2019-06-25 厦门市三安光电科技有限公司 一种半导体元件
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN112236839A (zh) * 2018-06-14 2021-01-15 应用材料公司 具保护性涂层的处理腔室的处理配件
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
CN109107862A (zh) * 2018-09-29 2019-01-01 苏州三星电子电脑有限公司 金属材料加工方法
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11390947B2 (en) 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN110015895A (zh) * 2019-04-01 2019-07-16 中国有色桂林矿产地质研究院有限公司 一种氧化铝-氧化锆-氧化钇-氮化钛纳米复合陶瓷粉体及其制备方法
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
EP4010916A4 (en) * 2019-08-09 2023-08-09 Applied Materials, Inc. MULTI-LAYER PROTECTIVE LAYER FOR THE PROCESSING OF CHAMBER COMPONENTS
US11402245B2 (en) 2019-08-13 2022-08-02 Rosemount Aerospace Inc. Air data probe corrosion protection
US11540432B2 (en) 2019-09-26 2022-12-27 Applied Materials, Inc. Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools
CN114502769A (zh) * 2019-10-08 2022-05-13 应用材料公司 用于在航空部件上沉积抗焦化保护涂层的方法
KR20220113458A (ko) * 2019-12-09 2022-08-12 엔테그리스, 아이엔씨. 다중 장벽 재료로 제조된 확산 장벽, 그리고 관련 물품 및 방법
CN112981323A (zh) * 2019-12-12 2021-06-18 有研工程技术研究院有限公司 一种氧化铝/氧化铒陶瓷涂层复合体系及其制备方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020177001A1 (en) 1999-12-10 2002-11-28 Yoshio Harada Plasma processing container internal member and production method thereof
US20040023811A1 (en) 2002-08-02 2004-02-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
JP2011527382A (ja) * 2008-07-11 2011-10-27 シーメンス アクティエンゲゼルシャフト コーティング工程及びタービンコンポーネントのための腐食保護コーティング

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4935073A (en) 1981-11-27 1990-06-19 Sri International Process for applying coatings of zirconium and/or titantuim and a less noble metal to metal substrates and for converting the zirconium and/or titanium to an oxide, nitride, carbide, boride or silicide
US4724169A (en) 1984-10-09 1988-02-09 Ovonic Synthetic Materials Company, Inc. Method of producing multilayer coatings on a substrate
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
FI96138C (fi) 1992-12-23 1996-05-10 Noptel Oy Laitteisto ja menetelmä raiteen mittaukseen ja oikaisuun
JPH07126827A (ja) 1993-10-28 1995-05-16 Nippon Alum Co Ltd 金属表面の複合皮膜及びその形成方法
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP2000313656A (ja) 1999-04-28 2000-11-14 Taiheiyo Cement Corp 耐蝕性セラミックス材料および耐蝕性部材
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
ITRM20030602A1 (it) * 2003-12-24 2005-06-25 Ct Sviluppo Materiali Spa Procedimento di produzione di rivestimento multistrato
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
US7282254B1 (en) * 2004-02-23 2007-10-16 The Research Foundation Of State University Of New York Surface coating for electronic systems
DE102004031255B4 (de) * 2004-06-29 2014-02-13 MTU Aero Engines AG Einlaufbelag
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7449409B2 (en) * 2005-03-14 2008-11-11 Infineon Technologies Ag Barrier layer for conductive features
CN101010448B (zh) 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
CN101101887A (zh) * 2006-07-06 2008-01-09 通用电气公司 抗腐蚀的晶片处理设备及其制造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
KR101119075B1 (ko) 2007-03-12 2012-03-15 주식회사 코미코 웨이퍼 이송 장치
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
KR100863932B1 (ko) 2007-07-10 2008-11-18 주식회사 코미코 세라믹 용사 코팅층의 수화 처리 방법과, 이를 이용한정전척 제조 방법 그리고 상기 수화 처리 방법에 형성된세라믹 용사 코팅층을 갖는 기판 구조물 및 정전척
US20090046825A1 (en) * 2007-08-16 2009-02-19 Ge-Hitachi Nuclear Energy Americas Llc Protective coating applied to metallic reactor components to reduce corrosion products into the nuclear reactor environment
KR100982649B1 (ko) 2007-08-22 2010-09-16 주식회사 코미코 용사 코팅 방법, 이를 이용한 정전척 제조 방법 및 정전척
KR100859672B1 (ko) 2007-12-31 2008-09-23 주식회사 코미코 용사 코팅 방법
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
US9166364B2 (en) * 2011-02-14 2015-10-20 Spectrasensors, Inc. Semiconductor laser mounting with intact diffusion barrier layer
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
TW201408679A (zh) 2012-05-25 2014-03-01 Air Liquide 用於蒸氣沈積之含鈦前驅物
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR101249951B1 (ko) 2012-10-24 2013-04-03 아이원스 주식회사 공정 장비의 코팅 방법 및 이를 이용한 코팅 구조
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
WO2014158253A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
JP6394171B2 (ja) 2013-10-30 2018-09-26 株式会社リコー 電界効果型トランジスタ、表示素子、画像表示装置、及びシステム
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6058821B2 (ja) 2014-01-17 2017-01-11 イオンズ カンパニー リミテッド 複合被膜粒子粒径を有する被膜の形成方法およびこれによる被膜
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
CN105986245A (zh) 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR101891381B1 (ko) 2015-03-18 2018-08-24 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
KR101661640B1 (ko) 2015-03-19 2016-09-30 아이원스 주식회사 정전척
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
CN106544622A (zh) 2016-11-18 2017-03-29 无锡明盛纺织机械有限公司 一种梯度复合耐磨涂层的制备方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020177001A1 (en) 1999-12-10 2002-11-28 Yoshio Harada Plasma processing container internal member and production method thereof
US20040023811A1 (en) 2002-08-02 2004-02-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
JP2011527382A (ja) * 2008-07-11 2011-10-27 シーメンス アクティエンゲゼルシャフト コーティング工程及びタービンコンポーネントのための腐食保護コーティング

Also Published As

Publication number Publication date
KR20190022944A (ko) 2019-03-06
US11008653B2 (en) 2021-05-18
JP2019522104A (ja) 2019-08-08
KR20190019887A (ko) 2019-02-27
US20180016678A1 (en) 2018-01-18
JP2019214790A (ja) 2019-12-19
CN107849704A (zh) 2018-03-27
TW201823487A (zh) 2018-07-01
CN108531907A (zh) 2018-09-14
KR102481924B1 (ko) 2022-12-26
JP7053452B2 (ja) 2022-04-12
JP7048544B2 (ja) 2022-04-05
WO2018013909A1 (en) 2018-01-18
US20180112311A1 (en) 2018-04-26
TW201827626A (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
KR102481950B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
KR102329708B1 (ko) 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
KR102296911B1 (ko) 원자 층 증착에 의한 다층 플라즈마 저항성 코팅
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
CN110735128B (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层
KR20200030629A (ko) 고온 가열기들을 위한 원자 층 증착 코팅들
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant