CN114502769A - 用于在航空部件上沉积抗焦化保护涂层的方法 - Google Patents

用于在航空部件上沉积抗焦化保护涂层的方法 Download PDF

Info

Publication number
CN114502769A
CN114502769A CN202080071130.8A CN202080071130A CN114502769A CN 114502769 A CN114502769 A CN 114502769A CN 202080071130 A CN202080071130 A CN 202080071130A CN 114502769 A CN114502769 A CN 114502769A
Authority
CN
China
Prior art keywords
aerospace component
cerium
combination
layer
aluminum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080071130.8A
Other languages
English (en)
Inventor
大卫·亚历山大·布里兹
苏克蒂·查特吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114502769A publication Critical patent/CN114502769A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/10Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of rare earths
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J35/00Catalysts, in general, characterised by their form or physical properties
    • B01J35/40Catalysts, in general, characterised by their form or physical properties characterised by dimensions, e.g. grain size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/02Impregnation, coating or precipitation
    • B01J37/0215Coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/02Impregnation, coating or precipitation
    • B01J37/0238Impregnation, coating or precipitation via the gaseous phase-sublimation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/08Heat treatment
    • B01J37/082Decomposition and pyrolysis
    • B01J37/086Decomposition of an organometallic compound, a metal complex or a metal salt of a carboxylic acid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/34Irradiation by, or application of, electric, magnetic or wave energy, e.g. ultrasonic waves ; Ionic sputtering; Flame or plasma spraying; Particle radiation
    • B01J37/341Irradiation by, or application of, electric, magnetic or wave energy, e.g. ultrasonic waves ; Ionic sputtering; Flame or plasma spraying; Particle radiation making use of electric or magnetic fields, wave energy or particle radiation
    • B01J37/347Ionic or cathodic spraying; Electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D25/00Component parts, details, or accessories, not provided for in, or of interest apart from, other groups
    • F01D25/007Preventing corrosion
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R3/00Continuous combustion chambers using liquid or gaseous fuel
    • F23R3/002Wall structures
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/12Blades
    • F01D5/28Selecting particular materials; Particular measures relating thereto; Measures against erosion or corrosion
    • F01D5/288Protective coatings for blades
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/30Manufacture with deposition of material
    • F05D2230/31Layer deposition
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/10Metals, alloys or intermetallic compounds
    • F05D2300/12Light metals
    • F05D2300/121Aluminium
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/20Oxide or non-oxide ceramics
    • F05D2300/21Oxide ceramics
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2300/00Materials; Properties thereof
    • F05D2300/20Oxide or non-oxide ceramics
    • F05D2300/21Oxide ceramics
    • F05D2300/2112Aluminium oxides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R2900/00Special features of, or arrangements for continuous combustion chambers; Combustion processes therefor
    • F23R2900/00004Preventing formation of deposits on surfaces of gas turbine components, e.g. coke deposits
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F23COMBUSTION APPARATUS; COMBUSTION PROCESSES
    • F23RGENERATING COMBUSTION PRODUCTS OF HIGH PRESSURE OR HIGH VELOCITY, e.g. GAS-TURBINE COMBUSTION CHAMBERS
    • F23R2900/00Special features of, or arrangements for continuous combustion chambers; Combustion processes therefor
    • F23R2900/00018Manufacturing combustion chamber liners or subparts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Combustion & Propulsion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

本公开内容的实施方式大体上涉及在航空部件上的保护涂层和用于沉积所述保护涂层的方法。所述保护涂层可以是抗焦化涂层,用于在所述航空部件在存在燃料的情况下被加热时减少或抑制生焦。在一个或多实施方式中,用于在航空部件上沉积保护涂层的方法包括在所述航空部件的表面上沉积任选的阻挡层和在所述阻挡层上和/或直接在所述航空部件上沉积催化氧化层。所述阻挡层可以是或包括氧化铝、掺杂镁的氧化铝、它们的掺杂物或它们的任何组合。所述催化氧化层可以是或包括二氧化铈或一种或多种储氧材料。

Description

用于在航空部件上沉积抗焦化保护涂层的方法
技术领域
本公开内容的实施方式大体上涉及沉积工艺,并且具体地涉及用于在航空部件上沉积膜的气相沉积工艺。
背景技术
归因于高温(例如,约600℃至约1,000℃),喷嘴和燃烧器中的富燃料环境以及可使碳沉积成核的金属表面,焦化是燃料喷嘴中的已知问题。因为燃料喷嘴的几何形状复杂并且具有高深宽比通道,很难用抗焦化涂层涂覆那些通道。
当前在涡轮机行业中减少焦化的途径主要是基于机械技术,诸如控制零件的温度、控制燃料流量或添加插入件。在燃料喷嘴出口处使用陶瓷插入件,诸如是由PVD和/或热喷涂工艺形成的陶瓷材料的热阻挡类型的涂层。然而,陶瓷昂贵并且容易破裂。陶瓷和热阻挡涂层不适合高深宽比、复杂的结构。燃料添加剂,诸如抗氧化剂或清洁剂,可用于降低燃料喷嘴的焦化。然而,这样的燃料添加剂仅仅降低焦化的累积并且燃料喷嘴不可避免地累积焦化层,其减少或消除燃料流量。
因此,需要用于在航空部件上沉积保护涂层的改良的保护涂层和方法。
发明内容
本公开内容的实施方式大体上涉及在航空部件上的保护涂层和用于沉积保护涂层的方法。保护涂层也是抗焦化涂层,当航空部件在还原环境中在存在燃料的情况下被加热时,其减少或抑制生焦。在一个或多个实施方式中,用于在航空部件上沉积保护涂层的方法包括:在航空部件的表面上沉积任选的阻挡层和在阻挡层上和/或直接在航空部件上沉积催化氧化层。阻挡层可以是或包括氧化铝、掺杂镁的氧化铝、它们的掺杂物、或它们的任何组合。催化氧化层可以是或包括二氧化铈(cerium oxide)或一种或多种储氧材料(oxygen storage material)。
在其他实施方式中,用于在航空部件上沉积保护涂层的方法包括:通过原子层沉积(ALD)工艺在航空部件的表面上沉积包含二氧化铈的催化氧化层。航空部件可以是或包括燃料喷嘴、燃烧器衬里(combustor liner)、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合中的一个或多个。在一些实例中,航空部件的表面具有约5至约1,000的深宽比。催化氧化层可以具有约10nm至约500nm的厚度。
在一些实施方式中,航空部件具有设置在航空部件的表面上的保护涂层。保护涂层包含阻挡层和催化氧化层。阻挡层包含氧化铝并且设置在航空部件的表面上。催化氧化层包含二氧化铈并且设置在阻挡层上。
具体实施方式
本公开内容的实施方式大体上涉及在航空部件上的保护涂层和用于沉积保护涂层的方法。保护涂层也是抗焦化涂层,当航空部件在存在燃料的情况下被加热时,其减少或抑制生焦。保护涂层可以是或包括单层膜和/或多层膜。在一个或多个实例中,保护涂层包含沉积在航空部件表面上的一个或多个阻挡层和沉积在阻挡层上的一个或多个催化氧化层。在其他实例中,保护涂层包含直接沉积在航空部件表面上的一个或多个催化氧化层。
在一些实施方式中,如本文中描述和讨论的航空部件可以是或包括燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀、暴露于燃料(例如,航空燃料或喷气燃料)的任何其他零件或部分或它们的任何组合中的一个或多个。在其他实施方式中,如本文中描述和讨论的航空部件可以是或包括一个或多个涡轮机叶片、涡轮机叶、肋、鳍片、销鳍片或可以受益于上面沉积有保护涂层的任何其他航空部件或零件。保护涂层可以在航空部件的内表面和/或外表面上被沉积或以其他方式形成。
清洁工艺
在产生保护涂层之前,航空部件可以选择性地被暴露于一个或多个清洁工艺。在清洁工艺期间,从航空部件去除一种或多种污染物以产生清洁表面。污染物可以是或包括氧化物、有机物或有机残余物、碳、油、土壤(soil)、颗粒、碎片和/或其他污染物或它们的任何组合。这些污染物在在航空部件上产生保护涂层之前被去除。
清洁工艺可以是或包括一种或多种疏缝(basting)或纹理化工艺、真空净化、溶剂清洁、酸清洁、碱或腐蚀清洁、湿法清洁、臭氧清洁、等离子体清洁、超声处理(sonication)或它们的任何组合。一旦被清洁和/或纹理化之后,与没有被暴露于清洁工艺相比,后续沉积的保护涂层具有对航空部件的清洁表面或以其他方式改变的表面的更强的粘附。
在一个或多个实例中,航空部件的表面可以被喷丸处理(blasted)或以其他方式被暴露于珠、沙、碳酸盐或其他颗粒以从其中去除氧化物和其他污染物和/或向航空部件的表面提供纹理。在一些实例中,航空部件可以被放置进脉冲推拉系统内的腔室中并且被暴露于净化气体或液体(例如,N2、Ar、He、一种或多种醇(甲醇、乙醇、丙醇和/或其他醇)、水、或它们的任何组合)和真空净化的循环以从航空部件上的小孔去除碎片。在其他实例中,航空部件的表面可以被暴露于氢等离子体、氧或臭氧等离子体和/或氮等离子体,其可以在等离子体腔室中或通过远程等离子体系统产生。
在一些实例中,诸如针对有机物去除或氧化物去除,航空部件的表面可以被暴露于氢等离子体、随后被除气、随后被暴露于臭氧处理。在其他实例中,诸如针对有机物去除,航空部件的表面可以被暴露于湿法清洁,其包括:浸入碱法脱脂溶液、冲洗、使表面暴露于酸清洁(例如,硫酸、磷酸、盐酸、氢氟酸或它们的任何组合)、冲洗,并且使表面暴露于去离子水超声浴。在一些实例中,诸如针对氧化物去除,航空部件的表面可以被暴露于湿法清洁,其包括:使表面暴露于稀酸溶液(例如,乙酸、盐酸、氢氟酸或其组合)、冲洗,并且使表面暴露于去离子水超声浴。在一个或多个实例中,诸如针对颗粒去除,航空部件的表面可以被暴露于超声处理(例如,兆频超声处理(megasonication))和/或超临界流体(二氧化碳、水、一种或多种醇)清洗,随后暴露于净化气体或液体(例如,N2、Ar、He、一种或多种醇、H2O或它们的任何组合)和真空净化的循环,以从表面去除颗粒并且使表面干燥。在一些实例中,航空部件可以被暴露于加热或干燥工艺,诸如将航空部件加热到约50℃、约65℃或约80℃至约100℃、约120℃或约150℃的温度,并且使表面暴露于净化气体。航空部件可以在烘箱中被加热或暴露于用于加热或干燥工艺的灯。选择性地,可以使热气冲过内部通道以加速干燥。选择性地,部件可以在不使用加热或使用加热的情况下在还原气氛(reducedatmosphere)中被干燥。
在各种实施方式中,航空部件的清洁表面可以是航空部件的一个或多个内表面和/或一个或多个外表面。航空部件的清洁表面可以是或包括一种或多种材料,诸如镍、镍超级合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。在一个或多个实例中,清洁表面在航空部件的腔体(cavity)内并且腔体可以具有大于1的深宽比,诸如约5至约1,000,诸如约20至约500的深宽比。
在一些实例中,保护涂层具有约10nm至约5,000nm、约100nm至约4,000nm、或约500nm至约2,000nm的厚度。此外,保护涂层可以具有小于200%、小于100%、小于25%、小于5%、或小于0.5%的厚度偏差(thickness variation)。
阻挡层
当航空部件在存在燃料,诸如航空燃料、喷气燃料、煤油或类似物的情况下被加热时,保护涂层降低或抑制生焦。在一个或多个实施方式中,保护涂层包含沉积在航空部件表面上的一个或多个阻挡层和沉积在阻挡层上的一个或多个催化氧化层。阻挡层可以是或包括一种或多种材料,诸如氧化铝、掺杂镁的氧化铝、氮化铝、氧氮化铝、氧化硅、氮化硅、氮氧化硅、氧化铬、氧化钽、氮化钽、氧氮化钽、它们的合金、它们的掺杂物、或它们的任何组合。
在一个或多个实施方式中,用于在航空部件的表面上沉积阻挡层的方法包括顺序地使航空部件暴露于铝前驱物、一种或多种氧化剂和/或选择性地一种或多种试剂或掺杂剂源,以通过原子层沉积(ALD)工艺在航空部件表面上形成氧化铝材料或层。在一些实例中,反应物、前驱物、试剂或掺杂剂源可以是或包含镁源或镁前驱物。氧化剂可以是或包含水(例如,蒸汽)、臭氧、氧气(O2)、原子氧、一氧化氮、一种或多种过氧化物(例如,过氧化氢、其他无机过氧化物、有机过氧化物)、一种或多种醇(例如,甲醇、乙醇、丙醇或高级醇)、它们的等离子体、或它们的任何组合。
航空部件可以被暴露于第一前驱物(例如,铝前驱物)和第一反应物(例如,氧化剂),以通过气相沉积工艺在航空部件上形成第一沉积层(例如,氧化铝)。气相沉积工艺可以是ALD工艺、等离子体增强ALD(PE-ALD)工艺、热化学气相沉积(CVD)工艺、等离子体增强CVD(PE-CVD)工艺、脉冲CVD工艺、或它们的任何组合。
在一个或多个实施方式中,气相沉积工艺是ALD工艺并且方法包括顺序地使航空部件的表面暴露于第一前驱物和第一反应物以形成阻挡层或第一沉积层。举例来说,ALD工艺包括顺序地使航空部件暴露于铝前驱物和氧化剂以在阻挡层或第一沉积层内沉积或以其他方式形成氧化铝。各ALD工艺循环包括使航空部件的表面暴露于第一前驱物,进行泵净化,使航空部件暴露于第一反应物,和进行泵净化,以形成阻挡层或第一沉积层。第一前驱物与第一反应物的顺序可以颠倒,以使得ALD循环包括使航空部件的表面暴露于第一反应物,进行泵净化,使航空部件暴露于第一前驱物,和进行泵净化,以形成阻挡层或第一沉积层。
在一些实例中,在各ALD循环期间,航空部件被暴露于第一前驱物约0.1秒至约10秒,被暴露于第一反应物约0.1秒至约10秒,并且被暴露于泵净化约0.5秒至约30秒。在其他实例中,在各ALD循环期间,航空部件被暴露于第一前驱物约0.5秒至约3秒,被暴露于第一反应物约0.5秒至约3秒,并且被暴露于泵净化约1秒至约10秒。
各ALD循环被重复2、3、4、5、6、8、约10、约12或约15次至约18、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约350、约400、约500、约800、约1,500、约2,000、约2,500、约3,000或更多次,以形成阻挡层或第一沉积层。举例来说,各ALD循环被重复2次至约3,000次、2次至约2,000次、2次至约1,500次、2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次至约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、或约50次至约100次,以形成阻挡层或第一沉积层。
在其他实施方式中,气相沉积工艺是CVD工艺并且方法包括同时地使航空部件暴露于第一前驱物和第一反应物以形成第一沉积层。在ALD工艺或CVD工艺期间,第一前驱物和第一反应物的每一者可以独立地包括一种或多种载气。在第一前驱物和第一反应物的暴露之间,可以使一种或多种净化气体流经航空部件和/或遍及处理腔室。在一些实例中,同一气体可以用作载气和净化气体。示例性载气和净化气体可以独立地是或包括氮气(N2)、氩气、氦气、氖气、氢气(H2)或它们的任何组合中的一个或多个。
阻挡层或第一沉积层可以具有约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、或约60nm至约70nm、约80nm、约100nm、约120nm、约150nm、约200nm、约250nm、约300nm、约400nm、或约500nm的厚度。举例来说,阻挡层或第一沉积层可以具有约0.1nm至约500nm、约0.1nm至约300nm、约0.1nm至约250nm、约0.1nm至约200nm、约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约1nm至约500nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约120nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约1nm至约40nm、约1nm至约30nm、约1nm至约20nm、约1nm至约10nm、约1nm至约5nm、约1nm至约3nm、约5nm至约250nm、约10nm至约500nm、约10nm至约300nm、约10nm至约250nm、约10nm至约200nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10nm至约30nm、约10nm至约20nm、约10nm至约15nm、约50nm至约500nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约120nm、约50nm至约100nm、约50nm至约80nm、约60nm至约100nm、或约60nm至约80nm的厚度。
在一个或多个实施方式中,第一前驱物可以是或包含一种或多种ALD或CVD前驱物,诸如一种或多种铝前驱物、一种或多种镁前驱物、一种或多种铬前驱物、和/或一种或多种铪前驱物。示例性镁前驱物可以是或包括(Cp)2Mg、(MeCp)2Mg、(Me5Cp)2Mg、iPr2Mg、tBu2Mg、它们的加合物(adduct)、其溶液或它们的任何组合。第一反应物包含一种或多种氧化剂、一种或多种氮化剂、一种或多种还原剂、一种或多种硅前驱物、一种或多种碳前驱物、或它们的任何组合。在一些实例中,第一沉积层是含铝层,其可以是或包括金属铝、氧化铝、掺杂的氧化铝(例如,掺杂镁的氧化铝)、氮化铝、硅化铝、碳化铝或它们的任何组合。
铝前驱物可以是或包括一种或多种烷基铝化合物、一种或多种烷氧基铝化合物、一种或多种乙酰丙酮铝化合物、其取代物、它们的络合物、它们的加合物、它们的盐或它们的任何组合。举例来说,铝前驱物可以是或包括三(烷基)铝、三(烷氧基)铝、二酮铝(aluminum diketonate)、它们的络合物、它们的加合物、它们的盐或它们的任何组合中的一种或多种。示例性铝前驱物可以是或包括三甲基铝、三乙基铝、三丙基铝、三丁基铝、三甲氧基铝、三乙氧基铝、三丙氧基铝、三丁氧基铝、乙酰丙酮铝(Al(acac)3,亦称三(2,4-戊二酮酸)铝)、六氟乙酰丙酮铝(Al(hfac)3)、三二新戊酰甲烷化铝(trisdipivaloylmethanatoaluminum,DPM3Al;(C11H19O2)3Al)、其异构体、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
在一个或多个实例中,前驱物是或包含一种或多种烷基铝化合物,诸如三甲基铝(TMA)。烷基铝化合物(例如,TMA)的纯度大于95%、大于97%、或大于99%,诸如约99.3%、约99.5wt%、约99.7wt%、或约99.9wt%至约99.95wt%、约99.99wt%、约99.995wt%、约99.999wt%、约99.9999wt%或更大。在一个或多个实例中,烷基铝化合物(例如,TMA)的纯度是99.5wt%或更大,诸如约99.9wt%至约99.999wt%。
催化氧化层
催化氧化层可以是或包括一种或多种材料,诸如二氧化铈、一种或多种掺杂的二氧化铈、和/或一种或多种储氧材料(OSM)。示例性储氧材料可以是或包括氧化锆、钙铝锰氧化物、钡钇锰氧化物、镧铑锰氧化物、镥铁氧化物、钇钡钴氧化物、氧化镧硫酸盐、它们的掺杂物或它们的任何组合。在一个或多个实例中,催化氧化层包含二氧化铈和一种或多种掺杂剂。在掺杂的二氧化铈内的示例性掺杂剂可以是或包括钆、锰、锶、钴、铜、铝、它们的合金、它们的氧化物或它们的任何组合中的一个或多个。
航空部件可以被暴露于第二前驱物(例如,铈前驱物)和第二反应物(例如,氧化剂)以通过气相沉积工艺在阻挡层和/或航空部件的表面上形成第二沉积层(例如,包含二氧化铈、掺杂的二氧化铈或OSM的催化氧化层)。气相沉积工艺可以是热ALD工艺、PE-ALD工艺、热CVD工艺、PE-CVD工艺、脉冲CVD工艺或它们的任何组合。示例性氧化剂可以是或包含水(例如,蒸汽)、臭氧、氧气(O2)、原子氧、一氧化氮、一种或多种过氧化物(例如,过氧化氢、其他无机过氧化物、有机过氧化物)、一种或多种醇(例如,甲醇、乙醇、丙醇或高级醇)、它们的等离子体、或它们的任何组合。
在一个或多个实例中,第二前驱物可以是或包括一种或多种铈前驱物和/或一种或多种其他前驱物。在ALD工艺期间,第二前驱物和第二反应物的每一者可以独立地包括一种或多种载气。在第二前驱物和第二反应物的暴露之间,可以使一种或多种净化气体流经航空部件和/或遍及处理腔室。在一些实例中,同一气体可以用作载气和净化气体。示例性载气和净化气体可以独立地是或包括氮气(N2)、氩气、氦气、氖气、氢气(H2)或它们的任何组合中的一个或多个。
各ALD工艺循环包括使航空部件暴露于第二前驱物,进行泵净化,使航空部件暴露于第二反应物,和进行泵净化以形成催化氧化层或第二沉积层。第二前驱物与第二反应物的顺序可以颠倒,以使得ALD循环包括使航空部件的表面暴露于第二反应物,进行泵净化,使航空部件暴露于第二前驱物,和进行泵净化以形成第二沉积层。在一些实例中,ALD工艺包括在ALD循环期间顺序地使阻挡层和/或航空部件暴露于一种或多种铈前驱物、净化气体、一种或多种氧化剂和净化气体。ALD循环被重复以在催化氧化层或第二沉积层内沉积或以其他方式形成二氧化铈。
在一个或多个实例中,在各ALD循环期间,航空部件被暴露于第二前驱物约0.1秒至约10秒,被暴露于第二反应物约0.1秒至约10秒,并且被暴露于泵净化约0.5秒至约30秒。在其他实例中,在各ALD循环期间,航空部件被暴露于第二前驱物约0.5秒至约3秒,被暴露于第二反应物约0.5秒至约3秒,并且被暴露于泵净化约1秒至约10秒。
各ALD循环被重复2、3、4、5、6、8、约10、约12、或约15次至约18、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约350、约400、约500、约800、约1,000、约1,500、约2,000、约2,500、约3,000、或更多次以形成催化氧化层或第二沉积层。举例来说,各ALD循环被重复2次至约3,000次、2次至约2,500次、2次至约2,000次、2次至约1,500次、2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约8次至约1,000次、约8次至约800次、约8次至约500次、约8次至约300次、约8次至约250次、约8次至约200次、约8次至约150次、约8次至约120次、约8次至约100次、约8次至约80次、约8次至约50次、约8次至约30次、约8次至约20次、约8次至约15次、约8次至约10次、约20次至约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、或约50次至约100次以形成催化氧化层或第二沉积层。
催化氧化层或第二沉积层可以具有约0.1nm、约0.2nm、约0.3nm、约0.4nm、约0.5nm、约0.8nm、约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约18nm、约20nm、约25nm、约30nm、约40nm、约50nm、或约60nm至约70nm、约80nm、约100nm、约120nm、约150nm、约200nm、约250nm、约300nm、约400nm、或约500nm的厚度。举例来说,催化氧化层或第二沉积层可以具有约0.1nm至约500nm、约0.1nm至约300nm、约0.1nm至约250nm、约0.1nm至约200nm、约0.1nm至约150nm、约0.2nm至约150nm、约0.2nm至约120nm、约0.2nm至约100nm、约0.2nm至约80nm、约1nm至约500nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约120nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约1nm至约40nm、约1nm至约30nm、约1nm至约20nm、约1nm至约10nm、约1nm至约5nm、约1nm至约3nm、约5nm至约250nm、约10nm至约500nm、约10nm至约300nm、约10nm至约250nm、约10nm至约200nm、约10nm至约150nm、约10nm至约120nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约40nm、约10Bm至约30nm、约10nm至约20nm、约10nm至约15nm、约50nm至约500nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约120nm、约50nm至约100nm、约50nm至约80nm、约60nm至约100nm、或约60nm至约80nm的厚度。
在一个或多个实施方式中,铈前驱物可以是或包括一种或多种β-二酮铈化合物、一种或多种环戊二烯基铈化合物、一种或多种醇盐(alkoxide)铈化合物、一种或多种氨化物(amide)铈化合物、一种或多种乙脒基(acetamidinate)铈化合物、它们的加合物或它们的任何组合。
在一些实例中,铈前驱物可以是或包括一种或多种β-二酮铈化合物。β-二酮铈化合物包含铈原子和至少一个、两个、三个或四个β-二酮配位体并且可以选择性地具有一个或多个其他类型的配位体。一个示例性β-二酮配位体是2,2,6,6-四甲基-3,5-庚烷二酮,其亦称“thd”。包含thd的示例性β-二酮铈化合物可以是或包括Ce(thd)4、Ce(thd)3、Ce(thd)3(phen)、它们的任何加合物或它们的任何组合。“phen”配位体亦称1,10-菲咯啉。参见表1的一些示例性β-二酮铈化合物的完整化学名称的列表。
在一些实例中,铈前驱物可以是或包括一种或多种环戊二烯基铈化合物。环戊二烯基铈化合物包含铈原子和至少一个、两个、三个或四个环戊二烯基配位体并且可以选择性地具有一个或多个其他类型的配位体。示例性环戊二烯基铈化合物可以是或包括(Cp)3Ce、(MeCp)3Ce、(EtCp)3Ce、(PrCp)3Ce、(BuCp)3Ce、它们的任何加合物或它们的任何组合。环戊二烯基配位体,亦称“Cp”,可以是未被取代的或可以被一个、两个或更多个基团,诸如烷基和烷基的各种异构体取代。举例来说,MeCp配位体是甲基环戊二烯基,EtCp配位体是乙基环戊二烯基,PrCp配位体是丙基环戊二烯基,其中Pr包括正丙基和/或异丙基,并且BuCp配位体是丁基环戊二烯基,其中Bu包括正丁基、仲丁基和/或叔丁基。参见表1的一些示例性环戊二烯基铈化合物的完整化学名称列表。
在一些实例中,铈前驱物可以是或包括一种或多种醇盐铈化合物。醇盐铈化合物包含铈原子和至少一个、两个、三个或四个醇盐配位体并且可以选择性地具有一个或多个其他类型的配位体。示例性醇盐铈化合物可以是或包括Ce(mmp)4(四(1-甲氧基-2-甲基-2-丙酯)铈)、Ce(dmap)4(四(1-(二甲氨基)丙-2-酯)铈)、Ce(dmop)4(四(2-(4,4-二甲基-4,5-二氢恶唑-2-基)丙-2-酯)铈)、它们的加合物或它们的任何组合。参见表1的一些示例性醇盐铈化合物的完整化学名称列表。
在一些实例中,铈前驱物可以是或包括一种或多种氨化物铈化合物或乙脒基铈化合物。氨化物铈化合物和乙脒基铈化合物包含铈原子和至少一个、两个、三个或四个含氮配位体,诸如酰胺、胺和/或乙脒基。氨化物铈化合物和乙脒基铈也可以具有一种或多种其他类型的配位体,诸如β-二酮、环戊二烯基、醇盐或其他配位体。示例性氨化物铈化合物可以是(hmdsa)3Ce并且示例性乙脒基铈化合物可以是(iPrCp)2Ce(N-1Pr-amd)。“hmdsa”配位体亦称六甲基二硅基氨基(hexamethyldisilamide)。“N-iPr-amd”配位体亦称二异丙基乙脒基。参见表1的一些示例性氨化物铈化合物和乙脒基铈化合物(其为示例性铈前驱物)的完整化学名称列表。
Figure BDA0003587794930000121
在一个或多个实施方式中,铈前驱物可以是或包括一种或多种溶剂。溶剂可以是或包括甲苯、苯、四氢呋喃、乙醚或其他醚、一种或多种烷烃(例如,丁烷、戊烷、己烷、庚烷和/或辛烷)、一种或多种醇(例如,甲醇、乙醇、丙醇和/或丁醇)或它们的任何组合中的一个或多个。
在整个ALD工艺期间,一种或多种铈前驱物、一种或多种氧化剂和净化和/或运载被顺序地引入到处理腔室中。在各ALD循环期间,腔室表面和/或腔室部件顺序地被暴露于一种或多种铈前驱物和一种或多种氧化剂。氧化剂可以是或包括水、氧气(O2)、原子氧、臭氧、一氧化氮、一种或多种过氧化物(例如,过氧化氢和/或有机过氧化物)、它们的等离子体或它们的任何组合中的一个或多个。净化气体和/或载气可以是或包括氮气(N2)、氩气、氦气、氢气(H2)、氧气(O2)或它们的任何组合中的一个或多个。
在ALD工艺期间,航空部件被加热到约30℃、约50℃、约80℃、约100℃、或约120℃至约150℃、约180℃、约200℃、约250℃、约300℃、约350℃、约400℃、约500℃或更高的温度。举例来说,在ALD工艺期间,航空部件被加热到约30℃至约500℃、约30℃至约400℃、约30℃至约350℃、约30℃至约300℃、约30℃至约250℃、约30℃至约200℃、约30℃至约150℃、约30℃至约100℃、约50℃至约500℃、约50℃至约400℃、约50℃至约350℃、约50℃至约300℃、约50℃至约250℃、约50℃至约200℃、约50℃至约150℃、约50℃至约100℃、约100℃至约500℃、约100℃至约400℃、约100℃至约350℃、约100℃至约300℃、约100℃至约250℃、约100℃至约200℃、约100℃至约150℃、约150℃至约500℃、约150℃至约400℃、约150℃至约350℃、约150℃至约300℃、约150℃至约250℃、或约150℃至约200℃的温度。
在一个或多个实施方式中,气相沉积工艺是ALD工艺并且方法包括顺序地使航空部件暴露于铈前驱物和氧化剂以形成二氧化铈层。各ALD工艺循环包括使航空部件的表面暴露于铈前驱物,进行泵净化,使航空部件暴露于氧化剂,和进行泵净化以形成二氧化铈层。铈前驱物与氧化剂的顺序可以颠倒,以使得ALD循环包括使航空部件的表面暴露于氧化剂,进行泵净化,使航空部件暴露于铈前驱物,和进行泵净化以形成二氧化铈层。
在一些实例中,在各ALD循环期间,航空部件被暴露于铈前驱物约0.1秒至约10秒,被暴露于氧化剂约0.1秒至约10秒,并且被暴露于泵净化约0.5秒至约30秒。在其他实例中,在各ALD循环期间,航空部件被暴露于铈前驱物约0.5秒至约3秒,被暴露于氧化剂约0.5秒至约3秒,并且被暴露于泵净化约1秒至约10秒。
各ALD循环被重复2、3、4、5、6、8、约10、约12、或约15次至约18、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约350、约400、约500、约800、约1,000、约1,500、约2,000、约2,500、约3,000、约4,000、约5,000、或更多次以形成二氧化铈层。举例来说,各ALD循环被重复2次至约5,000次、2次至约3,000次、2次至约2,500次、2次至约2,000次、2次至约1,500次、2次至约1,000次、2次至约800次、2次至约500次、2次至约300次、2次至约250次、2次至约200次、2次至约150次、2次至约120次、2次至约100次、2次至约80次、2次至约50次、2次至约30次、2次至约20次、2次至约15次、2次至约10次、2次至5次、约20次至约5,000次、约20次至约3,000次、约20次至约2,500次、约20次至约2,000次、约20次至约1,500次、约20次至约1,000次、约20次至约800次、约20次至约500次、约20次至约300次、约20次至约250次、约20次至约200次、约20次至约150次、约20次至约120次、约20次至约100次、约20次至约80次、约20次至约50次、约20次至约30次、约50次至约5,000次、约50次至约3,000次、约50次至约2,500次、约50次至约2,000次、约50次至约1,500次、约50次至约1,000次、约50次至约500次、约50次至约350次、约50次至约300次、约50次至约250次、约50次至约150次、约50次至约100次、约100次至约5,000次、约100次至约3,000次、约100次至约2,500次、约100次至约2,000次、约100次至约1,500次、约100次至约1,000次、约100次至约500次、约100次至约350次、约100次至约300次、约100次至约250次、约100次至约150次、约100次至约100次、约500次至约5,000次、约500次至约3,000次、约500次至约2,500次、约500次至约2,000次、约500次至约1,500次、约500次至约1,000次以形成二氧化铈层。
在一个或多个实施方式中,可以重复ALD循环直到二氧化铈层具有预定或期望的厚度。二氧化铈层可以具有约0.5nm、约1nm、约2nm、约5nm、约8nm、约10nm、约12nm、约15nm、约18nm、或约20nm至约22nm、约25nm、约30nm、约35nm、约40nm、约50nm、约60nm、约80nm、约100nm、约150nm、约200nm或更大的厚度。举例来说,二氧化铈层可以具有约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约1nm至约30nm、约1nm至约20nm、约1nm至约10nm、约1nm至约5nm、约10nm至约200nm、约10nm至约150nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约10nm至约30nm、约10nm至约20nm、约20nm至约200nm、约20nm至约150nm、约20nm至约100nm、约20nm至约80nm、约20nm至约50nm、约20nm至约30nm、或约20nm至约25nm的厚度。
保护涂层
保护涂层可以具有约1nm、约2nm、约3nm、约5nm、约8nm、约10nm、约12nm、约15nm、约20nm、约30nm、约50nm、约60nm、约80nm、约100nm、或约120nm至约150nm、约180nm、约200nm、约250nm、约300nm、约350nm、约400nm、约500nm、约800nm、约1,000nm、约2,000nm、约3,000nm、约4,000nm、约5,000nm、约6,000nm、约7,000nm、约8,000nm、约9,000nm、约10,000nm或更厚的厚度。在一些实例中,保护涂层可以具有小于10μm(小于10,000nm)的厚度。举例来说,保护涂层可以具有约1nm至小于10,000nm、约1nm至约8,000nm、约1nm至约6,000nm、约1nm至约5,000nm、约1nm至约3,000nm、约1nm至约2,000nm、约1nm至约1,500nm、约1nm至约1,000nm、约1nm至约500nm、约1nm至约400nm、约1nm至约300nm、约1nm至约250nm、约1nm至约200nm、约1nm至约150nm、约1nm至约100nm、约1nm至约80nm、约1nm至约50nm、约10nm至小于10,000nm、约10nm至约8,000nm、约10nm至约6,000nm、约10nm至约5,000nm、约10nm至约3,000nm、约10nm至约2,000nm、约10nm至约1,500nm、约10nm至约1,000nm、约10nm至约800nm、约10nm至约500nm、约10nm至约400nm、约10nm至约300nm、约10nm至约250nm、约10nm至约200nm、约10nm至约150nm、约10nm至约100nm、约10nm至约80nm、约10nm至约50nm、约30nm至约400nm、约30nm至约200nm、约50nm至约500nm、约50nm至约400nm、约50nm至约300nm、约50nm至约250nm、约50nm至约200nm、约50nm至约150nm、约50nm至约100nm、约80nm至约250nm、约80nm至约200nm、约80nm至约150nm、约80nm至约100nm、约50nm至约80nm、约100nm至约500nm、约100nm至约400nm、约100nm至约300nm、约100nm至约250nm、约100nm至约200nm、或约100nm至约150nm的厚度。
在一个或多个实施方式中,保护涂层可以具有相对较高的均匀度。保护涂层可以具有相应保护涂层的厚度的小于50%、小于40%或小于30%的均匀性。保护涂层可以具有厚度的约0%、约0.5%、约1%、约2%、约3%、约5%、约8%、或约10%至约12%、约15%、约18%、约20%、约22%、约25%、约28%、约30%、约35%、约40%、约45%或小于50%的均匀性。举例来说,保护涂层可以具有厚度的约0%至约50%、约0%至约40%、约0%至约30%、约0%至小于30%、约0%至约28%、约0%至约25%、约0%至约20%、约0%至约15%、约0%至约10%、约0%至约8%、约0%至约5%、约0%至约3%、约0%至约2%、约0%至约1%、约1%至约50%、约1%至约40%、约1%至约30%、约1%至小于30%、约1%至约28%、约1%至约25%、约1%至约20%、约1%至约15%、约1%至约10%、约1%至约8%、约1%至约5%、约1%至约3%、约1%至约2%、约5%至约50%、约5%至约40%、约5%至约30%、约5%至小于30%、约5%至约28%、约5%至约25%、约5%至约20%、约5%至约15%、约5%至约10%、约5%至约8%、约10%至约50%、约10%至约40%、约10%至约30%、约10%至小于30%、约10%至约28%、约10%至约25%、约10%至约20%、约10%至约15%、或约10%至约12%的均匀性。
在一些实施方式中,保护涂层的全部材料可以包含不同比率的金属、由不同比率的金属形成或以其他方式由不同比率的金属产生,诸如一种或多种掺杂金属(例如,钆、锰、锶、钴、铜、铝、它们的合金)和/或包含在基体金属内的一种或多种分级金属,其中任何金属都可以处于任何化学氧化形式或状态(例如,氧化物、氮化物、硅化物、碳化物或其组合)。在一个或多个实例中,第一沉积层被沉积至第一厚度并且第二沉积层被沉积至第二厚度。第一厚度可以与第二厚度相同或第一厚度可以不同于(小于或大于)第二厚度。举例来说,可以通过两个或更多个(3个、4个、5个、6个、7个、8个、9个、10个或更多个)ALD循环沉积第一沉积层以分别产生相同数量的子层(例如,每个ALD循环一个子层),并且随后可以通过一个ALD循环或小于或大于用于沉积第一沉积层的ALD循环数量的多个ALD循环来沉积第二沉积层。在其他实例中,可以通过CVD沉积第一沉积层至第一厚度并且通过ALD沉积第二沉积层至小于第一厚度的第二厚度。
在其他实施方式中,ALD工艺可用于沉积第一沉积层(例如,阻挡层)和/或第二沉积层(例如,催化氧化层),其中通过在ALD工艺期间包括掺杂剂前驱物来掺杂沉积的材料。在一些实例中,相对于用于沉积基体材料的ALD循环,可以在单独的ALD循环中包括掺杂剂前驱物。在其他实例中,掺杂剂前驱物可以与在ALD循环期间使用的任何化学前驱物共同注入。在其他实例中,掺杂剂前驱物可以与在ALD循环期间的化学前驱物分开注入。举例来说,一个ALD循环可包括使航空部件暴露于:第一前驱物、泵净化、掺杂剂前驱物、泵净化、第一反应物和泵净化以形成沉积层。在一些实例中,一个ALD循环可包括使航空部件暴露于:掺杂剂前驱物、泵净化、第一前驱物、泵净化、第一反应物和泵净化以形成沉积层。在其他实例中,一个ALD循环可包括使航空部件暴露于:第一前驱物、掺杂剂前驱物、泵净化、第一反应物、和泵净化以形成沉积层。
在阻挡层(或第一沉积层)、催化氧化层(或第二沉积层)和/或保护涂层内,掺杂材料可以具有约0.01原子百分比(at%)、约0.05at%、约0.08at%、约0.1at%、约0.5at%、约0.8at%、约1at%、约1.2at%、约1.5at%、约1.8at%、或约2at%至约2.5at%、约3at%、约3.5at%、约4at%、约5at%、约8at%、约10at%、约15at%、约20at%、约25at%、或约30at%的浓度。举例来说,在阻挡层(或第一沉积层)、催化氧化层(或第二沉积层)和/或保护涂层内,掺杂材料可以具有约0.01at%至约30at%、约0.01at%至约25at%、约0.01at%至约20at%、约0.01at%至约15at%、约0.01at%至约12at%、约0.01at%至约10at%、约0.01at%至约8at%、约0.01at%至约5at%、约0.01at%至约4at%、约0.01at%至约3at%、约0.01at%至约2.5at%、约0.01at%至约2at%、约0.01at%至约1.5at%、约0.01at%至约1at%、约0.01at%至约0.5at%、约0.01at%至约0.1at%、约0.1at%至约30at%、约0.1at%至约25at%、约0.1at%至约20at%、约0.1at%至约15at%、约0.1at%至约12at%、约0.1at%至约10at%、约0.1at%至约8at%、约0.1at%至约5at%、约0.1at%至约4at%、约0.1at%至约3at%、约0.1at%至约2.5at%、约0.1at%至约2at%、约0.1at%至约1.5at%、约0.1at%至约1at%、约0.1at%至约0.5at%、约1at%至约30at%、约1at%至约25at%、约1at%至约20at%、约1at%至约15at%、约1at%至约12at%、约1at%至约10at%、约1at%至约8at%、约1at%至约5at%、约1at%至约4at%、约1at%至约3at%、约1at%至约2.5at%、约1at%至约2at%、或约1at%至约1.5at%的浓度。
如本文中描述和讨论的航空部件,包括单个航空部件,可以是或包括燃料系统、涡轮机、飞行器、航空器或可包括一个或多个涡轮机(例如,压缩机、泵、涡轮扇、增压器和类似者)的其他装置的一个或多个部件或其部分。示例性航空部件可以是或包括燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀、被暴露于燃料(例如,航空燃料或喷气燃料)的任何其他零件或部分、以及一个或多个涡轮机叶片、涡轮机叶、肋、鳍片、销鳍片、内部冷却沟道或任何其他可以受益于上面沉积有保护涂层的航空部件或零件、或它们的任何组合。
航空部件具有一个、两个或更多个外部或外表面和一个或多个内部或内表面。保护涂层可以被沉积或以其他方式在航空部件的内表面和/或外表面上形成。内表面可以限定一个或多个在航空部件内延伸或包含在其内部的腔体。腔体可以是设置在内表面之间的沟道、通道、空间或类似物。腔体可以具有一个或多个开口。在航空部件内的每一腔体典型地具有大于1的深宽比(例如,长度除以宽度)。本文中描述和讨论的方法的实施方式提供在腔体内的清洁表面,以及在这些具有高深宽比(大于1)的腔体内,在内表面,包括清洁表面上沉积和/或以其他方式形成保护涂层。
在航空部件内的腔体的深宽比可以是大于1、约1.5、约2、约3、约5、约8、约10、或约12至约15、约20、约25、约30、约40、约50、约65、约80、约100、约120、约150、约200、约250、约300、约500、约800、约1,000或更大。举例来说,在航空部件内的腔体的深宽比可以是约2至约1,000、约2至约500、约2至约200、约2至约150、约2至约120、约2至约100、约2至约80、约2至约50、约2至约40、约2至约30、约2至约20、约2至约10、约2至约8、约5至约1,000、约5至约500、约5至约200、约5至约150、约5至约120、约5至约100、约5至约80、约5至约50、约5至约40、约5至约30、约5至约20、约5至约10、约5至约8、约10至约1,000、约10至约500、约10至约200、约10至约150、约10至约120、约10至约100、约10至约80、约10至约50、约10至约40、约10至约30、约10至约20、约20至约1,000、约20至约500、约20至约200、约20至约150、约20至约120、约20至约100、约20至约80、约20至约50、约20至约40、或约20至约30。
包括一个或多个外部或外表面和/或一个或多个内部或内表面的航空部件和其任何表面可以是由一种或多种金属,诸如镍、铬、钴、铬-钴合金、钼、铁、钛、一种或多种镍超级合金、一种或多种因科内尔镍铬铁耐热耐蚀合金(Inconel alloy)、一种或多种哈氏合金(Hastelloy alloy)、一种或多种因瓦合金(Invar alloy)、一种或多种Inovoco合金、它们的合金或它们的任何组合制成,包含以上材料,或以其他方式包括以上材料。保护涂层可以被沉积、形成或以其他方式产生在包括一个或多个外部或外表面和/或一个或多个内部或内表面的航空部件的任何表面上。
如本文中描述和讨论的保护涂层可以是或包括单层膜、两层或更多层的膜(例如,多层膜)、整体膜、复合膜堆叠、聚结膜(coalesced film)、结晶膜、分级组分和/或其组合,其被沉积或以其他方式形成在航空部件的任何表面上。在一些实例中,保护涂层包含设置在航空部件与催化氧化层之间的一个或多个催化氧化层和选择性的一个或多个阻挡层。保护涂层是保形的并且实质上遵循表面拓扑学涂覆粗糙的表面特征结构,包括表面的开孔、盲孔和非视线内的区域。保护涂层不会实质上增大表面粗糙度,并且在一些实施方式中,保护涂层可以通过保形地涂覆粗糙度直到它聚结来降低表面粗糙度。保护涂层可能包含来自沉积的颗粒,其实质上大于航空部件的粗糙度,但考虑其与整体膜是分开的。保护涂层实质上粘附力良好并且没有销孔(pinhole)。保护涂层的厚度可以在40%的1-sigma内变化。在一个或多个实施方式中,厚度变化小于20%、10%、5%、1%或0.1%的1-sigma。
当航空部件被暴露于空气、氧气、硫和/或含硫化合物、酸、碱、盐(例如,Na、K、Mg、Li或Ca盐)或它们的任何组合时,除提供对焦化沉积的保护之外,保护涂层提供对腐蚀和氧化的保护。航空部件可能在正常操作期间或在去除任何碳堆积物(carbon buildup)的清洁工艺期间被暴露于这些条件。
在操作(例如,启动具有一个或多个航空部件的喷枪或涡轮发动机)期间,在使用烧尽、氧化或以其他方式去除航空部件上的焦化、燃料、颗粒和/或其他非所要的材料或碎片期间,包含保护涂层的航空部件被加热至操作温度。在操作或使用期间,包含保护涂层的航空部件的操作温度是约200℃、约300℃、约400℃、约500℃、或约550℃至约600℃、约650℃、约700℃、约750℃、约800℃、约900℃、约1,000℃或更大。举例来说,在操作或使用期间,包含保护涂层的航空部件被加热至约200℃至约1,000℃、约200℃至约900℃、约200℃至约800℃、约200℃至约700℃、约200℃至约650℃、约200℃至约600℃、约200℃至约550℃、约200℃至约500℃、约200℃至约450℃、约200℃至约400℃、约200℃至约300℃、约400℃至约1,000℃、约400℃至约900℃、约400℃至约800℃、约400℃至约700℃、约400℃至约650℃、约400℃至约600℃、约400℃至约550℃、约400℃至约500℃、约400℃至约450℃、约500℃至约1,000℃、约500℃至约900℃、约500℃至约800℃、约500℃至约700℃、约500℃至约650℃、约500℃至约600℃、或约500℃至约550℃的温度。
本公开内容的实施方式进一步涉及以下段落1至34中的任何一者或多者:
1.一种用于在航空部件上沉积保护涂层的方法,包括:在航空部件的表面上沉积阻挡层;和在阻挡层上沉积催化氧化层。
2.一种用于在航空部件上沉积保护涂层的方法,包括:通过原子层沉积(ALD)工艺在航空部件的表面上沉积包括二氧化铈的催化氧化层,其中:所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀、或它们的任何组合;航空部件的表面具有约5至约1,000的深宽比;并且催化氧化层具有约10nm至约500nm的厚度。
3.一种航空部件,包括:保护涂层,所述保护涂层设置在航空部件的表面上,其中保护涂层包括:阻挡层,所述阻挡层设置在航空部件的表面上,所述阻挡层包括氧化铝、掺杂镁的氧化铝、它们的掺杂物或它们的任何组合;和催化氧化层,所述催化氧化层设置在阻挡层上,所述催化氧化层包括二氧化铈、氧化锆、钙铝锰氧化物、钡钇锰氧化物、镧铑锰氧化物、镥铁氧化物、钇钡钴氧化物、氧化镧硫酸盐、它们的掺杂物或它们的任何组合。
4.一种航空部件,包括:设置在航空部件的表面上的保护涂层,其中所述保护涂层包括:设置在航空部件的表面上的包括氧化铝的阻挡层;和设置在阻挡层上的包括二氧化铈的催化氧化层。
5.根据段落1至4中任一段所述的方法和/或航空部件,其中阻挡层包括氧化铝、掺杂镁的氧化铝、它们的掺杂物或它们的任何组合。
6.根据段落1至5中任一段所述的方法和/或航空部件,其中所述阻挡层通过ALD工艺被沉积。
7.根据段落6所述的方法和/或航空部件,其中所述ALD工艺包括顺序地使航空部件暴露于铝前驱物和氧化剂。
8.根据段落7所述的方法和/或航空部件,其中所述铝前驱物包括三(烷基)铝、三(烷氧基)铝、二酮铝、它们的络合物、它们的加合物、它们的盐或它们的任何组合。
9.根据段落7所述的方法和/或航空部件,其中所述氧化剂包括水、臭氧、氧气(O2)、原子氧、一氧化氮、过氧化物、醇、它们的等离子体或它们的任何组合。
10.根据段落1至9中任一段所述的方法和/或航空部件,其中所述阻挡层具有约1nm至约500nm的厚度。
11.根据段落1至10中任一段所述的方法和/或航空部件,其中所述阻挡层具有约5nm至约250nm的厚度。
12.根据段落1至11中任一段所述的方法和/或航空部件,其中所述阻挡层具有约10nm至约100nm的厚度。
13.根据段落1至12中任一段所述的方法和/或航空部件,其中所述催化氧化层包括二氧化铈、氧化锆、钙铝锰氧化物、钡钇锰氧化物、镧铑锰氧化物、镥铁氧化物、钇钡钴氧化物、氧化镧硫酸盐、它们的掺杂物或它们的任何组合。
14.根据段落1至13中任一段所述的方法和/或航空部件,其中所述催化氧化层包括二氧化铈和掺杂剂,并且其中所述掺杂剂包括钆、锰、锶、钴、铜、铝、它们的合金、它们的氧化物或它们的任何组合。
15.根据段落1至14中任一段所述的方法和/或航空部件,其中所述催化氧化层包括通过ALD工艺沉积的二氧化铈。
16.根据段落15所述的方法和/或航空部件,其中所述ALD工艺包括重复ALD循环直到催化氧化层具有约10nm至约500nm的厚度。
17.根据段落1至16中任一段所述的方法和/或航空部件,其中所述催化氧化层具有约20nm至约100nm的厚度。
18.根据段落1至17中任一段所述的方法和/或航空部件,其中所述催化氧化层具有约50nm至约80nm的厚度。
19.根据段落1至18中任一段所述的方法和/或航空部件,其中所述铈前驱物包括β-二酮铈化合物、环戊二烯基铈化合物、醇盐铈化合物、氨化物铈化合物、乙脒基铈化合物、它们的加合物或它们的任何组合。
20.根据段落19所述的方法和/或航空部件,其中所述铈前驱物包括β-二酮铈化合物。
21.根据段落20所述的方法和/或航空部件,其中β-二酮铈化合物是Ce(thd)4、Ce(thd)3、Ce(thd)3(phen)、它们的加合物或它们的任何组合。
22.根据段落19所述的方法和/或航空部件,其中所述铈前驱物包括环戊二烯基铈化合物。
23.根据段落22所述的方法和/或航空部件,其中所述环戊二烯基铈化合物是(Cp)3Ce、(MeCp)3Ce、(EtCp)3Ce、(PrCp)3Ce、(BuCp)3Ce、它们的加合物或它们的任何组合。
24.根据段落19所述的方法和/或航空部件,其中所述铈前驱物包括醇盐铈化合物。
25.根据段落24所述的方法和/或航空部件,其中所述醇盐铈化合物是Ce(mmp)4(四(1-甲氧基-2-甲基-2-丙酯)铈)、Ce(dmap)4(四(1-(二甲氨基)丙-2-酯)铈)、Ce(dmop)4(四(2-(4,4-二甲基-4,5-二氢恶唑-2-基)丙-2-酯)铈)、它们的加合物或它们的任何组合。
26.根据段落19所述的方法和/或航空部件,其中所述铈前驱物包括氨化物铈化合物或乙脒基铈化合物。
27.根据段落26所述的方法和/或航空部件,其中所述铈前驱物是(hmdsa)3Ce或(iPrCp)2Ce(N-ipr-amd)。
28.根据段落1至27中任一段所述的方法和/或航空部件,其中所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合。
29.根据段落1至28中任一段所述的方法和/或航空部件,其中所述航空部件的表面是航空部件的内表面,并且其中所述航空部件的表面具有约5至约1,000的深宽比。
30.根据段落1至29中任一段所述的方法和/或航空部件,其中所述航空部件的清洁表面包括镍、镍超级合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。
31.根据段落1至30中任一段所述的方法和/或航空部件,其中当所述航空部件在存在燃料的情况下被加热时,所述保护涂层降低或抑制生焦。
32.根据段落1至31中任一段所述的方法和/或航空部件,其中所述保护涂层具有约10nm至约5,000nm的厚度。
33.根据段落1至32中任一段所述的方法和/或航空部件,其中所述保护涂层具有小于5%的厚度偏差。
34.一种航空部件,其包括由根据段落1至33中任一段所述的方法形成的保护涂层。
尽管前述内容涉及本公开内容的实施方式,但在不脱离本公开内容的基本范围的情况下,可以设想其他和进一步的实施方式,并且本公开内容的范围由权利要求书确定。本文中描述的所有文件都以参考的方式以它们不与本文矛盾的程度合并在本文中,包括任何优先权文件和/或测试程序。如从前述一般性说明和具体实施方式而显而易见,尽管已经说明和描述本公开内容的形式,但可以在不脱离本公开内容的精神和范围的情况下做出各种修改。因此,不意图使本公开内容受此限制。同样地,出于美国法律原因,认为术语“包括(comprising)”与术语“包括(including)”同义。同样地,每当组分、元素或元素群在过渡短语“包括”之后,应理解,亦考虑在组分、一种或多种元素的叙述之前的具有过渡短语“基本上由......组成”、“由......组成”、“选自由......组成的组”或“是”的相同的组分或元素群,并且反之亦然。
已经使用一组数值上限和一组数值下限来描述某些实施方式和特征结构。应理解,除非另有陈述,否则考虑包括任何两个值的组合,例如任何下限值与任何上限值的组合、任何两个下限值的组合、和/或任何两个上限值的组合的范围。某些下限、上限和范围在下文的一个或多个权利要求中出现。

Claims (20)

1.一种用于在航空部件上沉积保护涂层的方法,包括:
在所述航空部件的表面上沉积阻挡层;和
在所述阻挡层上沉积催化氧化层。
2.如权利要求1所述的方法,其中所述阻挡层包括氧化铝、掺杂镁的氧化铝、它们的掺杂物或它们的任何组合。
3.如权利要求1所述的方法,其中所述阻挡层通过原子层沉积(ALD)工艺被沉积,并且其中所述ALD工艺包括顺序地使所述航空部件暴露于铝前驱物和氧化剂。
4.如权利要求3所述的方法,其中所述铝前驱物包括三(烷基)铝、三(烷氧基)铝、二酮铝、它们的络合物、它们的加合物、它们的盐或它们的任何组合,并且其中所述氧化剂包括水、臭氧、氧气(O2)、原子氧、一氧化氮、过氧化物、醇、它们的等离子体或它们的任何组合。
5.如权利要求1所述的方法,其中所述阻挡层具有约5nm至约250nm的厚度。
6.如权利要求1所述的方法,其中所述催化氧化层包括二氧化铈、氧化锆、钙铝锰氧化物、钡钇锰氧化物、镧铑锰氧化物、镥铁氧化物、钇钡钴氧化物、氧化镧硫酸盐、它们的掺杂物或它们的任何组合。
7.如权利要求1所述的方法,其中所述催化氧化层包括二氧化铈和掺杂剂,并且其中所述掺杂剂包括钆、锰、锶、钴、铜、铝、它们的合金、它们的氧化物或它们的任何组合。
8.如权利要求1所述的方法,其中所述催化氧化层包括通过原子层沉积(ALD)工艺沉积的二氧化铈,并且其中所述ALD工艺包括重复ALD循环直到所述催化氧化层具有约10nm至约500nm的厚度。
9.如权利要求1所述的方法,其中所述催化氧化层具有约20nm至约100nm的厚度。
10.如权利要求1所述的方法,其中所述铈前驱物包括β-二酮铈化合物、环戊二烯基铈化合物、醇盐铈化合物、氨化物铈化合物、乙脒基铈化合物、它们的加合物或它们的任何组合。
11.如权利要求10所述的方法,其中所述铈前驱物包括β-二酮铈化合物,并且其中所述β-二酮铈化合物是Ce(thd)4、Ce(thd)3、Ce(thd)3(phen)、它们的加合物或它们的任何组合。
12.如权利要求10所述的方法,其中所述铈前驱物包括环戊二烯基铈化合物,并且其中所述环戊二烯基铈化合物是(Cp)3Ce、(MeCp)3Ce、(EtCp)3Ce、(PrCp)3Ce、(BuCp)3Ce、它们的加合物或它们的任何组合。
13.如权利要求10所述的方法,其中所述铈前驱物包括醇盐铈化合物,并且其中所述醇盐铈化合物是Ce(mmp)4(四(1-甲氧基-2-甲基-2-丙酯)铈)、Ce(dmap)4(四(1-(二甲氨基)丙-2-酯)铈)、Ce(dmop)4(四(2-(4,4-二甲基-4,5-二氢恶唑-2-基)丙-2-酯)铈)、它们的加合物或它们的任何组合。
14.如权利要求10所述的方法,其中所述铈前驱物包括氨化物铈化合物或乙脒基铈化合物,并且其中所述铈前驱物是(hmdsa)3Ce或(iPrCp)2Ce(N-iPr-amd)。
15.如权利要求1所述的方法,其中所述航空部件是燃料喷嘴、燃烧器衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合,并且其中所述航空部件的清洁表面包括镍、镍超级合金、不锈钢、钴、铬、钼、铁、钛、它们的合金或它们的任何组合。
16.如权利要求1所述的方法,其中所述航空部件的所述表面是所述航空部件的内表面,并且其中所述航空部件的所述表面具有约5至约1,000的深宽比。
17.如权利要求1所述的方法,其中当所述航空部件在存在燃料的情况下被加热时,所述保护涂层降低或抑制生焦。
18.如权利要求1所述的方法,其中所述保护涂层具有约10nm至约5,000nm的厚度和小于5%的厚度偏差。
19.一种用于在航空部件上沉积保护涂层的方法,包括:
通过原子层沉积(ALD)工艺在所述航空部件的表面上沉积包括二氧化铈的催化氧化层,其中:
所述航空部件是燃料喷嘴、燃烧室衬里、燃烧器护罩、热交换器、燃料管线、燃料阀或它们的任何组合;
所述航空部件的所述表面具有约5至约1,000的深宽比;并且
所述催化氧化层具有约10nm至约500nm的厚度。
20.一种航空部件,包括:
保护涂层,所述保护涂层设置在所述航空部件的表面上,其中所述保护涂层包括:
包括氧化铝的阻挡层,所述阻挡层设置在所述航空部件的所述表面上;和
包括二氧化铈的催化氧化层,所述催化氧化层设置在所述阻挡层上。
CN202080071130.8A 2019-10-08 2020-07-17 用于在航空部件上沉积抗焦化保护涂层的方法 Pending CN114502769A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962912513P 2019-10-08 2019-10-08
US62/912,513 2019-10-08
PCT/US2020/042444 WO2021071567A1 (en) 2019-10-08 2020-07-17 Methods for depositing anti-coking protective coatings on aerospace components

Publications (1)

Publication Number Publication Date
CN114502769A true CN114502769A (zh) 2022-05-13

Family

ID=75438042

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080071130.8A Pending CN114502769A (zh) 2019-10-08 2020-07-17 用于在航空部件上沉积抗焦化保护涂层的方法

Country Status (6)

Country Link
US (1) US20240076776A1 (zh)
EP (1) EP4041933A4 (zh)
JP (1) JP2022551859A (zh)
KR (1) KR20220079618A (zh)
CN (1) CN114502769A (zh)
WO (1) WO2021071567A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
WO2022106508A1 (en) * 2020-11-20 2022-05-27 Merck Patent Gmbh Lanthanide and lanthanide-like transition metal complexes
US11784041B2 (en) * 2022-02-08 2023-10-10 L'Air Liquide, Sociéte Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264244A (en) * 1991-12-20 1993-11-23 United Technologies Corporation Inhibiting coke formation by coating gas turbine elements with alumina
EP0645472A1 (en) * 1993-09-23 1995-03-29 General Electric Company Coated article for hot hydrocarbon fluid and method of preventing fuel thermal degradation deposits
US20050129849A1 (en) * 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a cerium oxide-enriched surface produced by precursor infiltration
JP2013182949A (ja) * 2012-02-29 2013-09-12 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
CN103861662A (zh) * 2012-12-13 2014-06-18 通用电气公司 带有氧化铝阻隔层的防结焦催化剂涂层
CN104498899A (zh) * 2014-12-13 2015-04-08 西安近代化学研究所 一种利用原子层沉积钝化层抑制金属表面积碳的方法
CN107849704A (zh) * 2016-07-15 2018-03-27 应用材料公司 具扩散阻障层及抗侵蚀层的多层涂层
US20190284686A1 (en) * 2018-03-19 2019-09-19 Applied Materials, Inc. Methods for depositing coatings on aerospace components

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5269137A (en) * 1991-12-20 1993-12-14 United Technologies Corporation Gas turbine elements bearing coke inhibiting coatings of alumina
US6887588B2 (en) * 2001-09-21 2005-05-03 General Electric Company Article protected by thermal barrier coating having a sintering inhibitor, and its fabrication
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7579085B2 (en) * 2005-08-19 2009-08-25 General Electric Company Coated silicon comprising material for protection against environmental corrosion
JP5696348B2 (ja) * 2008-08-09 2015-04-08 東京エレクトロン株式会社 金属回収方法、金属回収装置、排気系及びこれを用いた成膜装置
US11473197B2 (en) * 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264244A (en) * 1991-12-20 1993-11-23 United Technologies Corporation Inhibiting coke formation by coating gas turbine elements with alumina
EP0645472A1 (en) * 1993-09-23 1995-03-29 General Electric Company Coated article for hot hydrocarbon fluid and method of preventing fuel thermal degradation deposits
US20050129849A1 (en) * 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a cerium oxide-enriched surface produced by precursor infiltration
JP2013182949A (ja) * 2012-02-29 2013-09-12 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
CN103861662A (zh) * 2012-12-13 2014-06-18 通用电气公司 带有氧化铝阻隔层的防结焦催化剂涂层
CN104498899A (zh) * 2014-12-13 2015-04-08 西安近代化学研究所 一种利用原子层沉积钝化层抑制金属表面积碳的方法
CN107849704A (zh) * 2016-07-15 2018-03-27 应用材料公司 具扩散阻障层及抗侵蚀层的多层涂层
CN108531907A (zh) * 2016-07-15 2018-09-14 应用材料公司 具扩散阻障层及抗侵蚀层的多层涂层
US20190284686A1 (en) * 2018-03-19 2019-09-19 Applied Materials, Inc. Methods for depositing coatings on aerospace components

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KWON, HT ET AL.: "Sol–gel derived CeO2/α-Al2O3 bilayer thin film as an anti-coking barrier and its catalytic coke oxidation performance", AICHE JOURNAL, vol. 64, no. 11, pages 4019 - 4026 *

Also Published As

Publication number Publication date
EP4041933A4 (en) 2023-11-01
EP4041933A1 (en) 2022-08-17
WO2021071567A1 (en) 2021-04-15
KR20220079618A (ko) 2022-06-13
US20240076776A1 (en) 2024-03-07
JP2022551859A (ja) 2022-12-14

Similar Documents

Publication Publication Date Title
US11384648B2 (en) Methods for depositing coatings on aerospace components
CN114502769A (zh) 用于在航空部件上沉积抗焦化保护涂层的方法
US11794382B2 (en) Methods for depositing anti-coking protective coatings on aerospace components
CN114008236A (zh) 用于在航空部件上沉积牺牲涂层的方法
TW202204661A (zh) 保護航太部件不受腐蝕和氧化之方法
US20230002897A1 (en) Methods for forming protective coatings containing crystallized aluminum oxide
US20220002883A1 (en) Methods for refurbishing aerospace components
US20240175119A1 (en) Materials engineering for anti-coking coating stacks
US20220050051A1 (en) Methods for detecting end-points for cleaning processes of aerospace components
TW202136634A (zh) 用於在渦輪葉片及其他航空元件上沉積保護塗層的方法
CN111902566B (zh) 使用含铬薄膜保护金属部件以抗腐蚀的方法
US20220154335A1 (en) Aerospace components having protective coatings and methods for preparing the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination