TW202204661A - 保護航太部件不受腐蝕和氧化之方法 - Google Patents

保護航太部件不受腐蝕和氧化之方法 Download PDF

Info

Publication number
TW202204661A
TW202204661A TW110136873A TW110136873A TW202204661A TW 202204661 A TW202204661 A TW 202204661A TW 110136873 A TW110136873 A TW 110136873A TW 110136873 A TW110136873 A TW 110136873A TW 202204661 A TW202204661 A TW 202204661A
Authority
TW
Taiwan
Prior art keywords
layer
deposition
aerospace component
deposited
deposition layer
Prior art date
Application number
TW110136873A
Other languages
English (en)
Inventor
蘇克提 查特吉
蘭斯A 史庫德
尤瑞 美林克
大衛亞歷山大 布里茨
湯瑪士 尼斯里
大野賢一
普萊文K 那瓦卡
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202204661A publication Critical patent/TW202204661A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/02Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B64AIRCRAFT; AVIATION; COSMONAUTICS
    • B64FGROUND OR AIRCRAFT-CARRIER-DECK INSTALLATIONS SPECIALLY ADAPTED FOR USE IN CONNECTION WITH AIRCRAFT; DESIGNING, MANUFACTURING, ASSEMBLING, CLEANING, MAINTAINING OR REPAIRING AIRCRAFT, NOT OTHERWISE PROVIDED FOR; HANDLING, TRANSPORTING, TESTING OR INSPECTING AIRCRAFT COMPONENTS, NOT OTHERWISE PROVIDED FOR
    • B64F5/00Designing, manufacturing, assembling, cleaning, maintaining or repairing aircraft, not otherwise provided for; Handling, transporting, testing or inspecting aircraft components, not otherwise provided for
    • B64F5/40Maintaining or repairing aircraft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭示之實施例大致上關於航太部件上之保護塗層以及用於沉積保護塗層之方法。於一或更多個實施例中,用於在航太部件上沉積塗層之方法包括以下步驟:使用原子層沉積或化學氣相沉積製程在航太部件的表面上沉積一或更多層,以及執行部分氧化及退火製程以將一或更多層轉化成具有較佳相結晶總成(crystalline assembly)之壓結層(coalesced layer)。於氧化循環期間,鋁耗乏區域形成在航太部件的表面,以及氧化鋁區域形成在鋁耗乏區域與壓結層之間。壓結層形成保護塗層,其降低自航太部件之鋁耗乏率以及新氧化鋁積垢形成率。

Description

保護航太部件不受腐蝕和氧化之方法
本揭示之實施例大致上關於沉積製程,且特別是關於在航太部件上沉積膜之氣相沉積製程。
渦輪引擎典型地具有部件,彼等因暴露至熱氣和/或反應性化學物質(如,酸、鹼,或鹽)而隨時間腐蝕或降解。此類渦輪部件經常藉由熱和/或化學屏障塗層保護。用於環境保護及作為熱屏障塗層(TBC)系統中之黏合層兩者,在暴露至氣渦輪引擎中之燃燒熱氣的機翼上使用之當前塗層包括擴散鋁化物(aluminides)及各種金屬合金塗層兩者。將這些塗層施加於典型為基於鎳之超合金之基板材料之上,以提供保護而不受氧化及腐蝕攻擊。以數種不同方式在基板上形成這些塗層。舉例而言,於升高的溫度下藉由簡單地將基板暴露至富含鋁的環境可在鎳基超合金上生長鎳鋁化物層作為外塗層。鋁擴散至基板中並且與鎳結合以形成鎳鋁合金外表面。
藉由首先在基於鎳的基板之上電鍍預定厚度的鉑可形成以鉑修飾的鎳鋁化物塗層。於升高的溫度下將鍍鉑基板暴露至富含鋁的環境會造成含有固溶體鉑之鎳鋁合金的外區域的生長。於過多鋁存在下,當鋁擴散至鎳及鉑中並與鎳及鉑反應時,鉑鋁具有可能在NiAl基體中析出的兩相。
然而,因引擎效能需求增加提高了引擎操作溫度和/或引擎壽命需求,除了現有塗層的能力之外,當用作環境塗層或黏合塗層時需要塗層效能改進。由於這些需求,想要可用於環境保護或作為能夠耐受較高操作溫度或在必須移除以供修理前操作較長時段之黏合塗層,或兩者,之塗層。這些已知塗層材料及沉積技術具有數個缺點。藉由低壓電漿噴塗、電漿氣相沉積(PVD)、電子束PVD (EBPVD)、陰極電弧,或類似濺鍍技術而沉積之大部分金屬合金塗層為視線塗層(line of sight coatings),意指部件內部不能被塗佈。外部之鉑電鍍典型地形成相當均勻的塗層,然而,已證實電鍍部件內部具有挑戰性。所得的電鍍塗層經常太薄而沒有保護性或太厚而有其他不利機械效應,諸如高增重或疲勞壽命負債。類似地,鋁化物塗層受部件內部通道不均勻之苦。鋁化物塗層易脆,當疲勞時其可造成縮短的壽命。
此外,大部分的這些塗層的厚度為大於10微米等級,其可造成部件重量增加,使得碟片及其他支撐結構之設計更具挑戰性。許多人希望在用於裸金屬之當前設計實務之外部具有(1)保護金屬不受氧化及腐蝕、(2)在任意幾何形狀上能有高的膜厚度及組成均勻性、(3)對金屬具有高黏著性,和/或(4)充分薄而不會實質上增加重量或縮短疲勞壽命之塗層。
因此,需要改進的保護塗層以及用於沉積保護塗層之方法。
本揭示之實施例大致上關於航太部件上之保護塗層以及用於沉積保護塗層之方法。於一或更多個實施例中,用於在航太部件上沉積塗層之方法包括以下步驟:使用原子層沉積或化學氣相沉積製程在航太部件之表面上沉積一或更多層,以及執行部分氧化及退火製程以將一或更多層轉化成具有較佳相結晶總成(crystalline assembly)之壓結層(coalesced layer)。於氧化循環期間,鋁耗乏區域形成於航太部件之表面,以及氧化鋁區域形成於鋁耗乏區域與壓結層之間。壓結層形成保護塗層,其降低自航太部件之鋁耗乏率以及新氧化鋁積垢形成率。
於一個實施例中,用於在航太部件上沉積塗層之方法包含以下步驟:於約攝氏20度至約攝氏500度間之溫度下藉由第一原子層沉積製程將航太部件暴露至第一前驅物及第一反應物以於航太部件之表面上形成第一沉積層,航太部件包含鎳及鋁。第一沉積層於航太部件上形成保護塗層。保護塗層保護航太部件不受腐蝕和氧化以及降低自該航太部件之鋁耗乏率。
於另一個實施例中,用於在航太部件上沉積塗層之方法包含以下步驟:藉由化學氣相沉積製程在航太部件之表面上沉積第一沉積層,航太部件包含鎳及鋁;轉化第一沉積層成結晶相;以及在第一沉積層與航太部件間形成氧化鋁區域,氧化鋁區域具有結晶總成。第一沉積層及氧化鋁區域在航太部件上形成保護塗層。保護塗層保護航太部件不受腐蝕和氧化以及降低自航太部件之鋁耗乏率。
於又另一個實施例中,用於在航太部件上沉積塗層之方法包含以下步驟:藉由化學氣相沉積(CVD)製程或原子層沉積(ALD)製程在航太部件之表面上沉積第一沉積層,航太部件包含鎳及鋁;執行第一退火及氧化製程以將第一沉積層轉化成較佳的結晶相;藉由CVD製程或ALD製程在第一沉積層上沉積第二沉積層;以及執行第二退火及氧化製程以將第二沉積層轉化成較佳的結晶相。第一沉積層及第二沉積層在航太部件上形成保護塗層。保護塗層保護航太部件不受腐蝕和氧化以及降低自航太部件之鋁耗乏率。
本揭示之實施例大致上關於航太部件上之保護塗層以及用於沉積保護塗層之方法。於一或更多個實施例中,用於在航太部件上沉積塗層之方法包括以下步驟:使用原子層沉積或化學氣相沉積製程在航太部件之表面上沉積一或更多層,以及執行部分氧化及退火製程以將一或更多層轉化成具有較佳結晶總成之壓結層。於氧化循環期間,鋁耗乏區域形成於航太部件之表面,以及氧化鋁區域形成於鋁耗乏區域與壓結層之間。壓結層形成保護塗層,其降低自航太部件之鋁耗乏率以及新氧化鋁積垢形成率。
於一或更多個實施例中,用於在航太部件上沉積保護塗層之方法包括以下步驟:藉由原子層沉積(ALD)製程將航太部件順序地暴露至鉻前驅物及反應物以於航太部件之表面上形成含鉻層。含鉻層含有金屬鉻、氧化鉻、氮化鉻、碳化鉻、矽化鉻,或彼等的任何組合。
於一些實施例中,奈米層疊膜堆疊或保護塗層形成於航太部件之表面上,其中奈米層疊膜堆疊或保護塗層含有含鉻層及第二沉積層之交替層。藉由ALD可將航太部件順序地暴露至金屬或矽前驅物及第二反應物以於表面上形成第二沉積層。第二沉積層含有氧化鋁、摻雜鉿的氧化鋁、氮化鋁、氧化矽、氮化矽、碳化矽、氧化釔、氮化釔、釔矽氮化物、氧化鉿、氮化鉿、矽化鉿、鉿矽酸鹽、氧化鈦、氮化鈦、矽化鈦、鈦矽酸鹽,或彼等的任何組合。可使用含有含鉻層及第二沉積層之交替層的奈米層疊膜堆疊或保護塗層作為航太部件上之保護塗層。可供選擇地,於其他實施例中,可將沉積於航太部件上之奈米層疊膜堆疊或保護塗層暴露至退火製程以將奈米層疊膜堆疊或保護塗層轉化成壓結膜,可使用其作為航太部件上之保護塗層。
圖1為根據本文中所描述及論述之一或更多實施例之用於在一或更多航太部件上沉積塗層之方法100的流程圖。圖2A-2B、圖3A-3B、圖4A-4B、圖5A-5B,以及圖6A-6B為根據本文中所描述及論述之一或更多實施例之沉積於航太部件之表面上之保護塗層的各種示意實例。藉由以下描述及論述之方法100可於航太部件上沉積或以其他方式形成圖2A-6B之保護塗層。另外,於圖2A-6B說明各種實施例之際,於圖2A-6B各者中描繪的實施例可彼此組合。為描述目的,將於描述方法100之前描述圖2A-6B。
圖2A說明沉積於航太部件202上之保護塗層200。航太部件202包含鎳合金,該鎳合金包含鋁。保護塗層200包含第一沉積層204,該第一沉積層204包含鋁,諸如氧化鋁(Al2 O3 )。第一沉積層204可沉積成非晶或結晶相。圖2B說明於選擇性退火及氧化製程之後之圖2A的保護塗層200。舉例而言,當第一沉積層204沉積成非晶相時可執行選擇性退火及氧化製程。氧化製程可部分地氧化保護塗層200。
於選擇性退火及氧化製程後,保護塗層200包含設置於第一沉積層204與航太部件202間之中間區域206。中間區域206為航太部件202之鋁耗乏區域,或耗乏富含鋁相之區域。中間區域206可能不是一清楚的層,而可能是航太部件202之最頂端部分或區域。來自航太部件202之鋁擴散進入第一沉積層204中,添加額外含量之氧化鋁(未圖示)至第一沉積層204。額外含量之氧化鋁及第一沉積層204形成具有較佳結晶總成之壓結層208。因此,於圖2B之退火製程之後,第一沉積層204具有較大含量的氧化鋁。保護塗層200保護航太部件202不受腐蝕和氧化,以及進一步降低自中間區域206之鋁耗乏率。執行選擇性退火及氧化製程可進一步增進及強化保護塗層200之保護性質。
圖3A說明沉積於航太部件302上之保護塗層300或奈米層疊膜堆疊。航太部件302包含鎳合金,該鎳合金包含鋁。保護部件300包含第一沉積層310A,該第一沉積層310A包含鉻,諸如氧化鉻(Cr2 O3 )。第一沉積層310A可沉積成非晶相或結晶相。圖3B說明於選擇性退火及氧化製程之後之圖3A的保護塗層300。舉例而言,當沉積第一沉積層310A成非晶相時可執行選擇性退火及氧化製程。氧化製程可部分地氧化保護塗層300。保護塗層300包含於退火及氧化製程期間形成的壓結膜或層308,壓結層308包含來自第一沉積層310A之氧化鉻區域310B。壓結層308係呈較佳結晶相。
退火及氧化製程後,中間區域306係設置於壓結層308與航太部件302間。中間區域306為航太部件302之鋁耗乏區域,或耗乏富含鋁相之區域。中間區域306可能不是一清楚的層,而可能是航太部件302之最頂端部分或區域。來自航太部件302之鋁擴散進入壓結層308中,於中間區域306之上的壓結層308中形成氧化鋁之薄區域314。壓結層308進一步包含設置於氧化鋁區域310與氧化鉻區域310B間之混合的鉻-鋁區域312,諸如鉻-鋁氧化物((Al,Cr)2 O3 ),各區域呈結晶相。儘管顯示壓結層308之區域310B、312、314為分別的區域或層,壓結層308為一個實質上連續的層,包含區域310B、312、314的每一元素(即,氧化鋁、氧化鉻,以及混合的鉻-鋁氧化物(chromium-aluminum oxide))。保護塗層300保護航太部件302不受腐蝕和氧化,以及進一步降低自中間區域306之鋁耗乏率。執行選擇性退火及氧化製程可進一步增進及強化保護塗層300的保護性質。
圖4A說明沉積於航太部件402上之保護塗層400或奈米層疊膜堆疊。航太部件402包含鎳合金,該鎳合金包含鋁。保護部件400包含第一沉積層404A,該第一沉積層404A包含鋁,諸如氧化鋁,以及設置於第一沉積層404A上之第二沉積層410A,該第二沉積層410A包含鉻,諸如氧化鉻。第一沉積層404A及第二沉積層410A可各沉積成非晶相或結晶相。圖4B說明於選擇性退火及氧化製程之後之圖4A的保護塗層400。舉例而言,當第一沉積層404A和/或第二沉積層410A沉積成非晶相時可執行選擇性退火及氧化製程。氧化製程可部分地氧化保護塗層400。保護塗層400包括於退火及氧化製程期間形成之壓結膜或層408,壓結層408包含來自第一沉積層404A之氧化鋁區域404B以及來自第二沉積層410A之氧化鉻區域410B。壓結層408係呈較佳結晶相。
於一個實施例中,可沉積第一沉積層404A,接著進行退火及氧化以將第一沉積層404A轉化成較佳結晶相。可接著在第一沉積層404A上沉積第二沉積層410A,接著進行退火及氧化以將第二沉積層410A轉化成較佳結晶相。
退火及氧化製程後,中間區域406係設置於壓結層408與航太部件402間。中間區域406為航太部件402之鋁耗乏區域,或耗乏富含鋁相之區域。中間區域406可能不是一清楚的層,而可能是航太部件402之最頂端部分或區域。來自航太部件402之鋁擴散進入壓結層408中,添加額外含量之氧化鋁至中間區域406之上之壓結層408之氧化鋁區域404B。壓結層408進一步包含設置於氧化鋁區域404B與氧化鉻區域410B間之混合的鉻-鋁區域412,諸如鉻-鋁氧化物,各區域呈結晶相。儘管顯示壓結層408之區域404B、412、410B為分別的區域或層,壓結層408為一個實質上連續的層,包含區域404B、412、410B之每一元素(即,氧化鋁、氧化鉻,以及混合的鉻-鋁氧化物)。保護塗層400保護航太部件402不受腐蝕和氧化,以及進一步降低自中間區域406之鋁耗乏率。執行選擇性退火及氧化製程可進一步增進及強化保護塗層400的保護性質。
圖5A說明沉積於航太部件502上之保護塗層500或奈米層疊膜堆疊。航太部件502包含鎳合金,該鎳合金包含鋁。保護部件500包含第一沉積層504A,該第一沉積層504A包含鋁(如,氧化鋁)、設置於第一沉積層504A上之第二沉積層516,該第二沉積層516包含鉻(如,氧化鉻)、設置於第二沉積層516上之第三沉積層518,該第三沉積層518包含鋁(如,氧化鋁)、設置於第三沉積層518上之第四沉積層520,該第四沉積層520包含鉻(如,氧化鉻),以及設置於第四沉積層520上之第五沉積層522,該第五沉積層522包含鋁(如,氧化鋁)。可沉積沉積層504A、516、518、520、522各者成非晶相或結晶相。第一沉積層504A可具有較第二至第五沉積層516-522各層大的厚度。第二至第五沉積層516-522可具有大約相同的厚度。儘管顯示五層沉積層,可利用任何數目之層。
圖5B說明選擇性退火及氧化製程之後之圖5A的保護部件500。舉例而言,當沉積層504A、516、518、520、522之一或更多者沉積成非晶相時可執行選擇性退火及氧化製程。氧化製程可部分地氧化保護塗層500。於一個實施例中,可沉積第一沉積層504A,接著進行退火及氧化以將第一沉積層504A轉化成較佳結晶相。可接著於第一沉積層504A上沉積第二沉積層516,接著進行退火及氧化以將第二沉積層516轉化成較佳結晶相。可接著於第二沉積層516上沉積第三沉積層518,接著進行退火及氧化以將第三沉積層518轉化成較佳結晶相。可接著於第三沉積層518上沉積第四沉積層520,接著進行退火及氧化以將第四沉積層520轉化成較佳結晶相。可接著於第四沉積層520上沉積第五沉積層522,接著進行退火及氧化以將第五沉積層522轉化成較佳結晶相。
保護塗層500包含於退火及氧化製程期間形成之壓結膜或層508,壓結層508包含來自第一沉積層504A之氧化鋁區域504B以及混合的鉻-鋁區域512,諸如鉻-鋁氧化物。壓結層508係呈較佳結晶相。中間區域506設置於壓結層508與航太部件502之間。中間區域506為航太部件502之鋁耗乏區域,或耗乏富含鋁相之區域。中間區域506可能不是一清楚的層,而可能是航太部件502之最頂端部分或區域。來自航太部件502之鋁擴散進入壓結層508中,添加額外含量之氧化鋁至中間區域506之上之壓結層508之氧化鋁區域504B。儘管顯示壓結層508之區域504B及512為分別的區域或層,壓結層508為一個實質上連續的層,包含區域504B、512之每一元素(即,氧化鋁,以及混合的鉻-鋁氧化物)。保護塗層500保護航太部件502不受腐蝕和氧化,以及進一步降低自中間區域506之鋁耗乏率。執行選擇性退火及氧化製程可進一步增進及強化保護塗層500的保護性質。
圖6A說明沉積於航太部件602上之保護塗層600或奈米層疊膜堆疊。航太部件602包含鎳合金,該鎳合金包含鋁。保護部件600包含第一沉積層624,該第一沉積層624包含鉿(如,摻雜鉿的氧化鋁)、設置於第一沉積層624上之第二沉積層616,該第二沉積層616包含鉻(如,氧化鉻)、設置於第二沉積層616上之第三沉積層618,該第三沉積層618包含鋁(如,氧化鋁)、設置於該第三沉積層618上之第四沉積層620,該第四沉積層620包含鉻(如,氧化鉻),以及設置於該第四沉積層620上之第五沉積層622,該第五沉積層622包含鋁(如,氧化鋁)。可沉積沉積層624、616、618、620、622各者成非晶相或結晶相。第一沉積層624可具有較第二至第五沉積層616-622各層大的厚度。第二至第五沉積層616-622可具有大約相同的厚度。儘管顯示五層沉積層,可利用任何數目之層。
圖6B說明選擇性退火及氧化製程之後之圖6A的保護塗層600。舉例而言,當沉積層624、616、618、620、622之一或更多者沉積成非晶相時可執行選擇性退火及氧化製程。氧化製程可部分地氧化保護塗層600。於一個實施例中,可沉積第一沉積層624,接著進行退火及氧化以將第一沉積層624轉化成較佳結晶相。可接著於第一沉積層624上沉積第二沉積層616,接著進行退火及氧化以將第二沉積層616轉化成較佳結晶相。可接著於第二沉積層616上沉積第三沉積層618,接著進行退火及氧化以將第三沉積層618轉化成較佳結晶相。可接著於第三沉積層618上沉積第四沉積層620,接著進行退火及氧化以將第四沉積層620轉化成較佳結晶相。可接著於第四沉積層620上沉積第五沉積層622,接著進行退火及氧化以將第五沉積層622轉化成較佳結晶相。
保護塗層600包含設置於第一沉積層624上於退火及氧化製程期間形成之壓結膜或層608,壓結層608包含混合的鉻-鋁化合物,諸如鉻-鋁氧化物。壓結層608係呈較佳結晶相。中間區域606設置在壓結層608與航太部件602之間。中間區域606為航太部件602之鋁耗乏區域,或耗乏富含鋁相之區域。中間區域606可能不是一清楚的層,而可能是航太部件602之最頂端部分或區域。來自航太部件602之鋁擴散進入第一沉積層624中,添加額外含量之氧化鋁至中間區域606之上之第一沉積層624。保護塗層600保護航太部件602不受腐蝕和氧化,以及進一步降低自中間區域606之鋁耗乏率。執行選擇性退火及氧化製程可進一步增進及強化保護塗層600的保護性質。
於方塊110,在製造保護塗層200、300、400、500、600之前,可選擇性地將航太部件202、302、402、502、602暴露至一或更多個預清洗製程。於航太部件202、302、402、502、602上製造保護塗層200、300、400、500、600之前,航太部件202、302、402、502、602之表面可含有可被移除之氧化物、有機物質、油、土壤、微粒、碎屑,和/或其他污染物。預清洗製程可為或包括一或更多個噴砂或紋理化製程、真空淨化、溶劑清洗、酸清洗、濕式清洗、電漿清洗、音波振動處理,或彼等的任何組合。相較未暴露至預清洗製程,一旦經清洗和/或紋理化,後續沉積的保護塗層200、300、400、500、600對航太部件202、302、402、502、602之表面具有較強之黏著性。
於一或更多個實例中,航太部件202、302、402、502、602之表面可經噴砂或以其他方式暴露至珠粒、砂粒、碳酸鹽或其他微粒以自其移除氧化物及其他污染物和/或以提供紋理至航太部件202、302、402、502、602的表面。於一些實例中,航太部件202、302、402、502、602可放置於脈衝推拉系統內之腔室中並且暴露至淨化氣體(如,N2 、Ar、He,或彼等的任何組合)循環以及真空淨化以移除來自航太部件202、302、402、502、602上之小洞的碎屑。於其他實例中,航太部件202、302、402、502、602之表面可暴露至氫電漿、氧或臭氧電漿,和/或氮電漿,彼等可於電漿腔室中產生或藉由遠端電漿系統產生。
於一或更多個實例中,諸如用於有機物質移除或氧化物移除,航太部件202、302、402、502、602之表面可暴露至氫電漿,接著除氣,接著暴露至臭氧處理。於其他實例中,諸如用於有機物質移除,航太部件202、302、402、502、602之表面可暴露至濕式清洗,其包括:浸泡於鹼性去脂溶液中、沖洗、暴露表面至酸性清洗(如,硫酸、磷酸,或鹽酸)、沖洗,以及暴露表面至去離子水音波振動浴。於一些實例中,諸如用於氧化物移除,航太部件202、302、402、502、602之表面可暴露至濕式清洗,其包括:暴露表面至稀釋酸性溶液(如,醋酸或鹽酸)、沖洗,以及暴露表面至去離子水音波振動浴。於一或更多個實例中,諸如用於顆粒移除,航太部件202、302、402、502、602之表面可暴露至音波振動處理(如,百萬音波振動處理(megasonication))和/或超臨界二氧化碳清洗,接著為暴露至淨化氣體(如,N2 、Ar、He,或彼等的任何組合)循環以及真空淨化以自表面移除顆粒以及乾燥表面。於一些實例中,航太部件202、302、402、502、602可暴露至加熱或乾燥製程,諸如加熱航太部件202、302、402、502、602至約50°C、約65°C,或約80°C至約100°C、約120°C,或約150°C之溫度以及暴露表面至淨化氣體。航太部件202、302、402、502、602可於烤箱中加熱或暴露至燈以供加熱或乾燥製程。
於方塊120,航太部件202、302、402、502,或602暴露至第一前驅物及第一反應物以藉由氣相沉積製程在航太部件202、302、402、502、602上形成第一沉積層204、310A、404A、504A,或624,如圖2A、3A、4A、5A,以及6A中分別描繪的,以形成保護塗層200、300、400、500、600。氣相沉積製程可為ALD製程、電漿增強ALD (PE-ALD)製程、熱化學氣相沉積(CVD)製程、電漿增強CVD (PE-CVD)製程、低壓CVD製程,或彼等的任何組合。
於一或更多個實施例中,氣相沉積製程為ALD製程以及方法包括步驟:順序地暴露航太部件202、302、402、502,或602之表面至第一前驅物及第一反應物以形成第一沉積層204、310A、404A、504A,或624。ALD製程之各循環包括步驟:暴露航太部件之表面至第一前驅物、執行泵送-淨化、暴露航太部件至第一反應物,以及執行泵送-淨化,以形成第一沉積層204、310A、404A、504A,或624。第一前驅物和第一反應物之順序可相反,使得ALD循環包括步驟:暴露航太部件之表面至第一反應物、執行泵送-淨化、暴露航太部件至第一前驅物,以及執行泵送-淨化,以形成第一沉積層204、310A、404A、504A,或624。
於一些實例中,於各ALD循環期間,暴露航太部件202、302、402、502、602至第一前驅物持續約0.1秒至約10秒、至第一反應物持續約0.1秒至約10秒,以及泵送-淨化持續約0.5秒至約30秒。於其他實例中,於各ALD循環期間,暴露航太部件202、302、402、502、602至第一前驅物持續約0.5秒至約3秒、暴露至第一反應物持續約0.5秒至約3秒,以及泵送-淨化持續約1秒至約10秒。可於約20°C至約500°C,諸如約300°C之溫度下執行ALD製程。
重複各ALD循環自2、3、4、5、6、8、約10、約12,或約15次至約18、約20、約25、約30、約40、約50、約65、約80、約100、約120、約150、約200、約250、約300、約350、約400、約500、約800、約1,000,或更多次,以形成第一沉積層。舉例而言,重複各ALD循環自2次至約1,000次、2次至約800次、2次至約500次、2次至約300次、2次至約250次、2次至約200次、2次至約150次、2次至約120次、2次至約100次、2次至約80次、2次至約50次、2次至約30次、2次至約20次、2次至約15次、2次至約10次、2次至5次、約8次至約1,000次、約8次至約800次、約8次至約500次、約8次至約300次、約8次至約250次、約8次至約200次、約8次至約150次、約8次至約120次、約8次至約100次、約8次至約80次、約8次至約50次、約8次至約30次、約8次至約20次、約8次至約15次、約8次至約10次、約20次至約1,000次、約20次至約800次、約20次至約500次、約20次至約300次、約20次至約250次、約20次至約200次、約20次至約150次、約20次至約120次、約20次至約100次、約20次至約80次、約20次至約50次、約20次至約30次、約50次至約1,000次、約50次至約500次、約50次至約350次、約50次至約300次、約50次至約250次、約50次至約150次,或約50次至約100次,以形成第一沉積層204、310A、404A、504A,或624。
於其他實施例中,氣相沉積製程為CVD製程以及方法包括步驟:同時地暴露航太部件202、302、402、502,或602至第一前驅物及第一反應物,以形成第一沉積層204、310A、404A、504A,624。可於約300°C至約1200°C之溫度下執行CVD製程。可於高於ALD製程之溫度下執行CVD製程。舉例而言,可於約500°C之溫度下執行ALD製程以及可於約1100°C之溫度下執行CVD製程。CVD製程可為於約300°C至約1100°C之溫度下執行之PECVD製程、於約500°C至約1100°C之溫度下執行之低壓CVD製程,或於約500°C至約1100°C之溫度下執行之熱CVD製程。藉由CVD製程沉積第一沉積層204、310A、404A、504A、624可將第一沉積層204、310A、404A、504A、624轉化成結晶相。如此一來,保護塗層200、300、400、500、600可不需進行退火及氧化製程。然而,透過CVD製程沉積之第一沉積層204、310A、404A、504A、624可能需要進行退火及氧化製程以將第一沉積層204、310A、404A、504A、624轉化成較佳結晶總成。
於ALD製程或CVD製程期間,第一前驅物及第一反應物各者可獨立的包括一或更多載氣。在暴露至第一前驅物及第一反應物之間,一或更多淨化氣體可流過航太部件和/或遍及處理腔室。於一些實例中,可使用相同氣體作為載氣及淨化氣體。例示性載氣及淨化氣體可獨立地為或包括氮(N2 )、氬、氦、氖、氫(H2 ),或彼等的任何組合之一或更多者。
第一沉積層204、310A、404A、504A,或624之厚度可為約0.1 nm、約0.2 nm、約0.3 nm、約0.4 nm、約0.5 nm、約0.8 nm、約1 nm、約2 nm、約3 nm、約5 nm、約8 nm、約10 nm、約12 nm,或約15 nm至約18 nm、約20 nm、約25 nm、約30 nm、約40 nm、約50 nm、約60 nm、約80 nm、約100 nm、約120 nm,或約150 nm。舉例而言,第一沉積層204、310A、404A、504A,或624之厚度可為約0.1 nm至約150 nm、約0.2 nm至約150 nm、約0.2 nm至約120 nm、約0.2 nm至約100 nm、約0.2 nm至約80 nm、約0.2 nm至約50 nm、約0.2 nm至約40 nm、約0.2 nm至約30 nm、約0.2 nm至約20 nm、約0.2 nm至約10 nm、約0.2 nm至約5 nm、約0.2 nm至約1 nm、約0.2 nm至約0.5 nm、約0.5 nm至約150 nm、約0.5 nm至約120 nm、約0.5 nm至約100 nm、約0.5 nm至約80 nm、約0.5 nm至約50 nm、約0.5 nm至約40 nm、約0.5 nm至約30 nm、約0.5 nm至約20 nm、約0.5 nm至約10 nm、約0.5 nm至約5 nm、約0.5 nm至約1 nm、約2 nm至約150 nm、約2 nm至約120 nm、約2 nm至約100 nm、約2 nm至約80 nm、約2 nm至約50 nm、約2 nm至約40 nm、約2 nm至約30 nm、約2 nm至約20 nm、約2 nm至約10 nm、約2 nm至約5 nm、約2 nm至約3 nm、約10 nm至約150 nm、約10 nm至約120 nm、約10 nm至約100 nm、約10 nm至約80 nm、約10 nm至約50 nm、約10 nm至約40 nm、約10 nm至約30 nm、約10 nm至約20 nm,或約10 nm至約15 nm。
於一或更多個實施例中,第一前驅物含有一或更多鉻前驅物,諸如於圖3A中,一或更多鋁前驅物,諸如於圖2A、4A,以及5A中,或一或更多鉿前驅物,諸如於圖6A中。第一反應物含有一或更多還原劑、一或更多氧化劑、一或更多氮化劑、一或更多矽前驅物、一或更多碳前驅物,或彼等的任何組合。於一些實例中,諸如圖3A,第一沉積層310A為含鉻層,其可為或包括金屬鉻、氧化鉻、氮化鉻、矽化鉻、碳化鉻,或彼等的任何組合。於其他實例中,諸如圖2A、4A、5A,以及6A,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括金屬鋁、氧化鋁、氮化鋁、矽化鋁、碳化鋁,或彼等的任何組合。於進一步實例中,諸如圖6A,第一沉積層624為含鉿層,其可為或包括摻雜鉿的氧化鋁、金屬鉿、氧化鉿、氮化鉿、矽化鉿、碳化鉿,或彼等的任何組合。
鉻前驅物可為或包括環戊二烯基鉻化合物、羥鉻化合物、乙醯丙酮鉻化合物、二氮雜二烯基鉻化合物、彼等的取代物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合之一或更多者。例示性鉻前驅物可為或包括雙(環戊二烯)鉻(Cp2 Cr)、雙(五甲基環戊二烯)鉻((Me5 Cp)2 Cr)、雙(異丙基環戊二烯)鉻((iPrCp)2 Cr)、雙(乙基苯)鉻((EtBz)2 Cr)、六羥鉻(Cr(CO)6 )、乙醯丙酮鉻(Cr(acac)3 ,亦稱為參(2,4-戊二酮)鉻)、六氟乙醯丙酮鉻(Cr(hfac)3 )、參(2,2,6,6-四甲基-3,5-庚二酮)鉻(III) {Cr(tmhd)3 }、雙(1,4-二第三丁基二氮雜二烯基)鉻(II)、彼等的異構物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。例示性二氮雜二烯基鉻化合物可具有以下化學式:
Figure 02_image001
其中R及R'各獨立地選自H、C1-C6烷基、芳基、醯基、烷基醯胺基、肼基、甲矽烷基、醛、酮基、C2-C4烯基、炔基,或彼等的取代物。於一些實例中,R各獨立地為選自甲基、乙基、丙基、丁基或彼等之異構物之C1-C6烷基,以及R'為H。舉例而言,R為甲基且R'為H,R為乙基且R'為H,R為異丙基且R'為H,或R為第三丁基且R'為H。
鋁前驅物可為或包括烷基鋁化合物之一或更多者、烷氧基鋁化合物之一或更多者、乙醯丙酮鋁化合物之一或更多者、彼等的取代物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。例示性鋁前驅物可為或包括三甲基鋁、三乙基鋁、三丙基鋁、三丁基鋁、三甲氧基鋁、三乙氧基鋁、三丙氧基鋁、三丁氧基鋁、乙醯丙酮鋁(Al(acac)3 ,亦稱為參(2,4-戊二酮)鋁)、六氟乙醯丙酮鋁(Al(hfac)3 )、參二特戊醯基甲酮鋁(DPM3 Al;(C11 H19 O2 )3 Al)、彼等的異構物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。
鉿前驅物可為或包括環戊二烯基鉿化合物之一或更多者、胺基鉿化合物之一或更多者、烷基鉿化合物之一或更多者、烷氧基鉿化合物之一或更多者、彼等的取代物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。例示性鉿前驅物可為或包括雙(甲基環戊二烯)二甲基鉿((MeCp)2 HfMe2 )、雙(甲基環戊二烯)甲基甲氧基鉿((MeCp)2 Hf(OMe)(Me))、雙(環戊二烯)二甲基鉿((Cp)2 HfMe2 )、四(第三丁氧基)鉿、異丙氧化鉿((iPrO)4 Hf)、肆(二甲胺基)鉿(TDMAH)、肆(二乙胺基)鉿(TDEAH)、肆(乙基甲胺基)鉿(TEMAH)、彼等的異構物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。
鈦前驅物可為或包括環戊二烯基鈦化合物之一或更多者、胺基鈦化合物之一或更多者、烷基鈦化合物之一或更多者、烷氧基鈦化合物一或更多者、彼等的取代物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。例示性鈦前驅物可為或包括雙(甲基環戊二烯)二甲基鈦((MeCp)2 TiMe2 )、雙(甲基環戊二烯)甲基甲氧基鈦((MeCp)2 Ti(OMe)(Me))、雙(環戊二烯)二甲基鈦((Cp)2 TiMe2 )、四(第三丁氧基)鈦、異丙氧化鈦((iPrO)4 Ti)、肆(二甲胺基)鈦(TDMAT)、肆(二乙胺基)鈦(TDEAT)、肆(乙基甲胺基)鈦(TEMAT)、彼等的異構物、彼等的複合物、彼等的加成物、彼等的鹽,或彼等的任何組合。
於一或更多個實例中,第一沉積層310A為含鉻層,其可為或包括金屬鉻以及第一反應物含有一或更多還原劑。於一些實例中,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括金屬鋁以及第一反應物含有一或更多還原劑。於其他實例中,第一沉積層624為含鉿層,其可為或包括金屬鉿以及第一反應物含有一或更多還原劑。例示性還原劑可為或包括氫(H2 )、氨、肼、一或更多肼化合物、一或更多醇、環己二烯、二氫吡嗪、含鋁化合物、彼等的加成物、彼等的鹽、彼等的電漿衍生物,或彼等的任何組合。
於一些實例中,第一沉積層310A為含鉻層,其可為或包括氧化鉻以及第一反應物含有一或更多氧化劑。於其他實例中,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括氧化鋁以及第一反應物含有一或更多氧化劑。於進一步實例中,第一沉積層624為含鉿層,其可為或包括氧化鉿以及第一反應物含有一或更多氧化劑。例示性氧化劑可為或包括水(如,蒸汽)、氧(O2 )、原子氧、臭氧、一氧化二氮、一或更多過氧化物、一或更多醇、彼等的電漿,或彼等的任何組合。
於一或更多個實例中,第一沉積層310A為含鉻層,其可為或包括氮化鉻以及第一反應物含有一或更多氮化劑。於其他實例中,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括氮化鋁以及第一反應物含有一或更多氮化劑。於一些實例中,第一沉積層624為含鉿層,其可為或包括氮化鉿以及第一反應物含有一或更多氮化劑。例示性氮化劑可為或包括氨、原子氮、一或更多肼、一氧化氮、彼等的電漿,或彼等的任何組合。
於一或更多個實例中,第一沉積層310A為含鉻層,其可為或包括矽化鉻以及第一反應物含有一或更多矽前驅物。於一些實例中,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括矽化鋁以及第一反應物含有一或更多矽前驅物。於其他實例中,第一沉積層624為含鉿層,其可為或包括矽化鉿以及第一反應物含有一或更多矽前驅物。例示性矽前驅物可為或包括矽烷、二矽烷、三矽烷、四矽烷、五矽烷、六矽烷、一氯矽烷、二氯矽烷、三氯矽烷、四氯矽烷、六氯矽烷、經取代的矽烷、彼等的電漿衍生物,或彼等的任何組合。
於一些實例中,第一沉積層310A為含鉻層,其可為或包括碳化鉻以及第一反應物含有一或更多碳前驅物。於其他實例中,第一沉積層204、404A、504A,或624為含鋁層,其可為或包括碳化鋁以及第一反應物含有一或更多碳前驅物。於進一步實例中,第一沉積層624為含鉿層,其可為或包括碳化鉿以及第一反應物含有一或更多碳前驅物。例示性碳前驅物可為或包括一或更多烷烴、一或更多烯烴、一或更多炔烴、彼等的取代物、彼等的電漿,或彼等的任何組合。
於方塊130,航太部件402、502、602選擇性地暴露至第二前驅物及第二反應物,以於第一沉積層404A、504A,或624上形成第二沉積層410A、516,或616以增加至保護塗層400、500、600,如圖4A、5A,以及6A中所示。第一沉積層404A、504A,或624或圖4A、5A,以及6A以及第二沉積層410A、516、616或圖4A、5A,以及6A,分別具有彼此不同的組成。於一些實例中,第一前驅物和第二前驅物為不同前驅物,諸如第一前驅物為第一類金屬來源及第二前驅物為第二類金屬來源並且第一及第二類金屬不同。儘管圖2A及圖3A未圖示具有第二沉積層,保護塗層200、300可包括具有與第一沉積層204、310A不同組成之一或更多第二沉積層。
於一或更多個實施例中,第二前驅物為或包括一或更多鋁前驅物或一或更多鉻前驅物;然而,第二前驅物可為或包括一或更多鋁前驅物、一或更多鉻前驅物、一或更多鉿前驅物、一或更多釔前驅物,或彼等的任何組合。第二反應物可為用作第一反應物之任何其他反應物。舉例而言,第二反應物可為或包括一或更多還原劑、一或更多氧化劑、一或更多氮化劑、一或更多矽前驅物、一或更多碳前驅物,或彼等的任何組合,如以上描述及論述的。於ALD製程期間,第二前驅物及第二反應物可各獨立地包括一或更多載氣。在暴露至第二前驅物及第二反應物之間,一或更多淨化氣體可流過航太部件和/或遍及處理腔室。於一些實例中,可使用相同的氣體作為載氣及淨化氣體。例示性載氣及淨化氣體可獨立地為或包括氮(N2 )、氬、氦、氖、氫(H2 ),或彼等的任何組合中之一或更多者。
於一或更多個實施例中,第二沉積層410A、516、616含有氧化鉻或氧化鋁;然而,第二沉積層410A、516、616可含有氮化鋁、氧化矽、氮化矽、碳化矽、氧化釔、氮化釔、釔矽氮化物、氧化鉿、氮化鉿、矽化鉿、鉿矽酸鹽、氧化鈦、氮化鈦、矽化鈦、鈦矽酸鹽,或彼等的任何組合。於一或更多個實例中,若第一沉積層204、310A、404A、504A,或624含有氧化鋁或氮化鋁,則第二沉積層410A、516、616不含有氧化鋁或氮化鋁。類似地,若第一沉積層204、310A、404A、504A,或624含有氧化鉻或氮化鉻,則第二沉積層410A、516、616不含有氧化鉻或氮化鉻。若第一沉積層204、310A、404A、504A,或624含有氧化鉿或氮化鉿,則第二沉積層410A、516、616不含有氧化鉿或氮化鉿。
ALD製程之各循環包括步驟:暴露航太部件至第二前驅物、執行泵送-淨化、暴露航太部件至第二反應物,以及執行泵送-淨化,以形成第二沉積層410A、516、616。第二前驅物及第二反應物的順序可相反,使得ALD循環包括步驟:暴露航太部件之表面至第二反應物、執行泵送-淨化、暴露航太部件至第二前驅物,以及執行泵送-淨化,以形成第二沉積層410A、516、616。
於一或更多個實例中,於各ALD循環期間,將航太部件402、502、602暴露至第二前驅物持續約0.1秒至約10秒、暴露至第二反應物持續約0.1秒至約10秒,以及泵送-淨化持續約0.5秒至約30秒。於其他實例中,於各ALD循環期間,將航太部件402、502、602暴露至第二前驅物持續約0.5秒至約3秒、暴露至第二反應物持續約0.5秒至約3秒,以及泵送-淨化持續約1秒至約10秒。可於約20°C至約500°C,諸如約300°C之溫度下執行ALD製程。
重複各ALD循環自2、3、4、5、6、8、約10、約12,或約15次至約18、約20、約25、約30、約40、約50、約65、約80、約100、約120、約150、約200、約250、約300、約350、約400、約500、約800、約1,000,或更多次,以形成第二沉積層410A、516、616。舉例而言,重複各ALD循環自2次至約1,000次、2次至約800次、2次至約500次、2次至約300次、2次至約250次、2次至約200次、2次至約150次、2次至約120次、2次至約100次、2次至約80次、2次至約50次、2次至約30次、2次至約20次、2次至約15次、2次至約10次、2次至5次、約8次至約1,000次、約8次至約800次、約8次至約500次、約8次至約300次、約8次至約250次、約8次至約200次、約8次至約150次、約8次至約120次、約8次至約100次、約8次至約80次、約8次至約50次、約8次至約30次、約8次至約20次、約8次至約15次、約8次至約10次、約20次至約1,000次、約20次至約800次、約20次至約500次、約20次至約300次、約20次至約250次、約20次至約200次、約20次至約150次、約20次至約120次、約20次至約100次、約20次至約80次、約20次至約50次、約20次至約30次、約50次至約1,000次、約50次至約500次、約50次至約350次、約50次至約300次、約50次至約250次、約50次至約150次,或約50次至約100次,以形成第二沉積層410A、516、616。
第二沉積層410A、516、616之厚度可為約0.1 nm、約0.2 nm、約0.3 nm、約0.4 nm、約0.5 nm、約0.8 nm、約1 nm、約2 nm、約3 nm、約5 nm、約8 nm、約10 nm、約12 nm,或約15 nm至約18 nm、約20 nm、約25 nm、約30 nm、約40 nm、約50 nm、約60 nm、約80 nm、約100 nm、約120 nm,或約150 nm。舉例而言,第二沉積層410A、516、616之厚度可為約0.1 nm至約150 nm、約0.2 nm至約150 nm、約0.2 nm至約120 nm、約0.2 nm至約100 nm、約0.2 nm至約80 nm、約0.2 nm至約50 nm、約0.2 nm至約40 nm、約0.2 nm至約30 nm、約0.2 nm至約20 nm、約0.2 nm至約10 nm、約0.2 nm至約5 nm、約0.2 nm至約1 nm、約0.2 nm至約0.5 nm、約0.5 nm至約150 nm、約0.5 nm至約120 nm、約0.5 nm至約100 nm、約0.5 nm至約80 nm、約0.5 nm至約50 nm、約0.5 nm至約40 nm、約0.5 nm至約30 nm、約0.5 nm至約20 nm、約0.5 nm至約10 nm、約0.5 nm至約5 nm、約0.5 nm至約1 nm、約2 nm至約150 nm、約2 nm至約120 nm、約2 nm至約100 nm、約2 nm至約80 nm、約2 nm至約50 nm、約2 nm至約40 nm、約2 nm至約30 nm、約2 nm至約20 nm、約2 nm至約10 nm、約2 nm至約5 nm、約2 nm至約3 nm、約10 nm至約150 nm、約10 nm至約120 nm、約10 nm至約100 nm、約10 nm至約80 nm、約10 nm至約50 nm、約10 nm至約40 nm、約10 nm至約30 nm、約10 nm至約20 nm,或約10 nm至約15 nm。
於一些實例中,諸如圖4A,第一沉積層410A為含鉻層,其含有氧化鉻、氮化鉻,或彼等的組合,以及第二沉積層410A含有氧化鋁、氮化矽、氧化鉿、鉿矽酸鹽、氧化鈦,或彼等的任何組合中之一或更多者。
可使用CVD製程沉積第二沉積層410A、516、616。可於約300°C至約1200°C之溫度下執行CVD製程。可於高於ALD製程之溫度下執行CVD製程。舉例而言,可於約500°C之溫度下執行ALD製程及可於約1100°C之溫度下執行CVD製程。CVD製程可為於約300°C至約1100°C之溫度下執行之PECVD製程、於約500°C至約1100°C之溫度下執行之低壓CVD製程,或於約500°C至約1100°C之溫度下執行之熱CVD製程。藉由CVD製程沉積第二沉積層410A、516、616可將第二沉積層410A、516、616轉化成結晶相。如此一來,保護塗層200、300、400、500、600可不需進行退火及氧化製程。然而,透過CVD製程沉積的第二沉積層410A、516、616可能需要退火及氧化製程以將第二沉積層410A、516、616轉化成較佳結晶總成。
於方塊140,將航太部件602選擇性地暴露至第三前驅物以及第三反應物,以於第二沉積層616上形成第三沉積層618以增加至保護塗層600,諸如圖6A中所示。第一沉積層624、第二沉積層616,以及第三沉積層618各具有彼此不同的組成。於一些實例中,第三前驅物為與第一及第二前驅物不同之前驅物。第三沉積層618可具有與第二沉積層616相同的厚度。此外,可以與第二沉積層616相同的製程或方式,包括沉積方法、時間,以及循環,來形成第三沉積層618。如此一來,於方塊130論述的所有參數適用於方塊140。
於一或更多個實施例中,第三前驅物為或包括一或更多鋁前驅物;然而,第三前驅物可為或包括一或更多鋁前驅物、一或更多鉻前驅物、一或更多鉿前驅物、一或更多釔前驅物,或彼等的任何組合。於一些實例中,諸如圖6A,第一沉積層624為摻雜鉿的氧化鋁,第二沉積層616為含有氧化鉻之含鉻層,以及第三沉積層618為含有氧化鋁之一或更多者之含鋁層。
於方塊150,方法100包括步驟:選擇性地將航太部件502、602重複暴露至第一前驅物及第一反應物、第二前驅物及第二反應物,和/或第三前驅物及第三反應物一或更多次,直到達到或達成希望的厚度,諸如圖5A及6A中所示。若已達成保護塗層200、300、400希望的厚度,則移動至方塊160。若未達到保護塗層500、600希望的厚度,則開始將航太部件502暴露至第一前驅物及第一反應物以形成第三沉積層518、將航太部件502暴露至第二前驅物及第二反應物以形成第四沉積層520,以及將航太部件502暴露至第一前驅物及第一反應物以形成第五沉積層522之另一沉積循環,如圖5A中所示,或藉由將航太部件602暴露至第二前驅物及第二反應物以形成第四沉積層620以及將航太部件602暴露至第三前驅物及第三反應物以形成第五沉積層622之另一沉積循環,如圖6A中所示。重複沉積循環直到達成保護塗層500、600希望的厚度。
於一或更多個實施例中,保護塗層500、600可含有自1、2、3、4、5、6、7、8,或9對之第一及第二沉積層(如,504A及516、518及520)或第二及第三沉積層(如,616及618、620及622)至約10、約12、約15、約20、約25、約30、約40、約50、約65、約80、約100、約120、約150、約200、約250、約300、約500、約800,或約1,000對之第一及第二沉積層504A、516或第二及第三沉積層616、618。舉例而言,保護塗層500、600可含有自1至約1,000、1至約800、1至約500、1至約300、1至約250、1至約200、1至約150、1至約120、1至約100、1至約80、1至約65、1至約50、1至約30、1至約20、1至約15、1至約10、1至約8、1至約6、1至5、1至4、1至3、約5至約150、約5至約120、約5至約100、約5至約80、約5至約65、約5至約50、約5至約30、約5至約20、約5至約15、約5至約10、約5至約8、約5至約7、約10至約150、約10至約120、約10至約100、約10至約80、約10至約65、約10至約50、約10至約30、約10至約20、約10至約15,或約10至約12對之第一及第二沉積層504A、516或第二及第三沉積層616、618。於一或更多個實施例中,保護塗層500、600可含有奇數的層,使得具有額外的第一沉積層、第二沉積層,或第三沉積層,如圖5A中所示。
保護塗層200、300、400、500、600之總厚度可為約1 nm、約2 nm、約3 nm、約5 nm、約8 nm、約10 nm、約12 nm、約15 nm、約20 nm、約30 nm、約50 nm、約60 nm、約80 nm、約100 nm,或約120 nm至約150 nm、約180 nm、約200 nm、約250 nm、約300 nm、約350 nm、約400 nm、約500 nm、約800 nm、約1,000 nm、約2,000 nm、約3,000 nm、約4,000 nm、約5,000 nm、約6,000 nm、約7,000 nm、約8,000 nm、約9,000 nm、約10,000 nm,或更厚。於一些實例中,保護塗層200、300、400、500、600之厚度可小於10 µm (小於10,000 nm)。舉例而言,保護塗層200、300、400、500、600之厚度可為約1 nm至小於10,000 nm、約1 nm至約8,000 nm、約1 nm至約6,000 nm、約1 nm至約5,000 nm、約1 nm至約3,000 nm、約1 nm至約2,000 nm、約1 nm至約1,500 nm、約1 nm至約1,000 nm、約1 nm至約500 nm、約1 nm至約400 nm、約1 nm至約300 nm、約1 nm至約250 nm、約1 nm至約200 nm、約1 nm至約150 nm、約1 nm至約100 nm、約1 nm至約80 nm、約1 nm至約50 nm、約20 nm至約500 nm、約20 nm至約400 nm、約20 nm至約300 nm、約20 nm至約250 nm、約20 nm至約200 nm、約20 nm至約150 nm、約20 nm至約100 nm、約20 nm至約80 nm、約20 nm至約50 nm、約30 nm至約400 nm、約30 nm至約200 nm、約50 nm至約500 nm、約50 nm至約400 nm、約50 nm至約300 nm、約50 nm至約250 nm、約50 nm至約200 nm、約50 nm至約150 nm、約50 nm至約100 nm、約80 nm至約250 nm、約80 nm至約200 nm、約80 nm至約150 nm、約80 nm至約100 nm、約50 nm至約80 nm、約100 nm至約500 nm、約100 nm至約400 nm、約100 nm至約300 nm、約100 nm至約250 nm、約100 nm至約200 nm,或約100 nm至約150 nm。
於方塊160,於保護塗層200、300、400、500、600上執行選擇性氧化及退火製程,如圖2B、3B、4B、5B,以及6B中所示。舉例而言,當沉積層之一或更多者沉積成非晶相時可執行選擇性退火及氧化製程。此外,若藉由CVD製程沉積一或更多層,則CVD製程可將一或更多層轉化成結晶相。如此一來,保護塗層200、300、400、500、600可能不需進行退火及氧化製程。然而,透過CVD製程沉積的一或更多層可能需要進行退火及氧化製程以將一或更多層轉化成較佳結晶總成。可於約500°C至約1,100°C之溫度下執行退火及氧化製程。
氧化製程可部分地氧化保護塗層200、300、400、500、600。於一些實例中,於氧化及退火製程期間,保護塗層200、300、400、500、600可轉化成為壓結層208、308、408、508、608。於氧化及退火製程期間,高溫將保護塗層200、300、400、500、600內之層聚合成單一結構,其中新結晶總成增進保護塗層200或壓結層208、308、408、508、608之完整性及保護性質。
具有結晶總成之保護塗層200、300、400、500、600增進保護塗層200、300、400、500、600之強度、壽命,以及耐久性,以及減少航太部件202、302、402、502、602之表面的氧化率及自航太部件202、302、402、502、602之鋁耗乏率兩者。如此一來,呈結晶相之保護塗層200、300、400、500、600增加航太部件202、302、402、502、602之抗氧化性及抗腐蝕性。退火製程可為或包括熱退火、電漿退火、紫外線退火、雷射退火,或彼等的任何組合。此外,在沉積另一層之前,保護塗層200、300、400、500、600之各沉積層可個別地經退火及氧化,而不是於相同時間一起退火及氧化所有沉積層。執行選擇性退火及氧化製程可進一步增進及強化保護塗層200、300、400、500、600之保護性質。
此外,於氧化及退火製程期間,最靠近保護塗層200、300、400、500、600之航太部件202、302、402、502、602的層或區域206、306、406、506、606為耗乏鋁或富含鋁相,形成設置於航太部件202、302、402、502、602與第一沉積層204、310A、404A、504A、624間之中間區域206、306、406、506、606,以及進一步形成壓結層208、308、408、508、608中之氧化鋁層或區域204、314、404B、504B、624。來自中間區域206、306、406、506、606之鋁擴散進入壓結層208、308、408、508、608中,使中間區域206、306、406、506、606耗乏鋁以及同時地形成氧化鋁層或區域204、314、404B、504B、624。形成的氧化鋁層或區域204、314、404B、504B、624具有結晶總成。
中間區域206、306、406、506、606的厚度可因數種原因而變化,諸如存在於航太部件202、302、402、502、602中之鋁含量、保護塗層200、300、400、500、600經退火的時間長短,以及退火製程的溫度。然而,具有較佳結晶總成之保護塗層200、300、400、500、600降低自中間區域206、306、406、506、606之鋁耗乏率,以及進一步保護航太部件202、302、402、502、602不受腐蝕和氧化。
結晶的保護塗層200、300、400、500、600降低形成於航太部件202、302、402、502、602之表面之含鎳氧化物的含量。舉例而言,利用保護塗層200、300、400、500、600會造成低於10%之含鎳氧化物形成於航太部件202、302、402、502、602之表面上,諸如低於5%。
於氧化及退火製程期間,加熱設置於航太部件202、302、402、502、602上之保護塗層200、300、400、500、600至大於約500°C之溫度。於一些實施例中,加熱設置於航太部件202、302、402、502、602上之保護塗層200、300、400、500、600至大於約800°C之溫度。舉例而言,於氧化及退火製程期間,加熱設置於航太部件202、302、402、502、602之保護塗層200、300、400、500、600至約500°C至約1,500°C、約600°C至約1,400°C、約700°C至約1,300°C、約800°C至約1,200°C、約900°C至約1,100°C、約900°C至約1,000°C,或約1050°C之溫度。氧化及退火製程可發生於空氣環境中。若執行超過一次的退火及氧化製程(即,個別地退火及氧化沉積層),則各退火及氧化製程可發生於相同的溫度,或各退火及氧化製程可發生於不同的溫度。
於氧化及退火製程期間,保護塗層200、300、400、500、600可於低壓(如,自約0.1托至少於760托)、於環境壓力(如,約760托),和/或於高壓(如,自大於760托(1 atm)至約3,678托(約5 atm))下真空。於氧化及退火製程期間,保護塗層200、300、400、500、600可暴露至含有一或更多氣體之氛圍。於退火製程期間使用之例示性氣體可為或包括氮(N2 )、氬、氦、氫(H2 )、氧(O2 )、空氣,或彼等的任何組合。可執行氧化及退火製程持續約0.01秒至約10分鐘。於一些實例中,氧化及退火製程可為熱退火並且維持持續約1分鐘至約24小時,諸如約10分鐘至約10小時。於其他實例中,氧化及退火製程可為雷射退火或尖波退火並且維持持續約1毫秒、約100毫秒,或約1秒至約5秒、約10秒,或約15秒。
保護塗層200、300、400、500、600之厚度可為約1 nm、約2 nm、約3 nm、約5 nm、約8 nm、約10 nm、約12 nm、約15 nm、約20 nm、約30 nm、約50 nm、約60 nm、約80 nm、約100 nm,或約120 nm至約150 nm、約180 nm、約200 nm、約250 nm、約300 nm、約350 nm、約400 nm、約500 nm、約700 nm、約850 nm、約1,000 nm、約1,200 nm、約1,500 nm、約2,000 nm、約3,000 nm、約4,000 nm、約5,000 nm、約6,000 nm、約7,000 nm、約8,000 nm、約9,000 nm、約10,000 nm,或更厚。於一些實例中,保護塗層250或壓結膜240之厚度可小於10 µm (小於10,000 nm)。舉例而言,保護塗層200、300、400、500、600之厚度可為約1 nm至小於10,000 nm、約1 nm至約8,000 nm、約1 nm至約6,000 nm、約1 nm至約5,000 nm、約1 nm至約3,000 nm、約1 nm至約2,000 nm、約1 nm至約1,500 nm、約1 nm至約1,000 nm、約1 nm至約500 nm、約1 nm至約400 nm、約1 nm至約300 nm、約1 nm至約250 nm、約1 nm至約200 nm、約1 nm至約150 nm、約1 nm至約100 nm、約1 nm至約80 nm、約1 nm至約50 nm、約20 nm至約500 nm、約20 nm至約400 nm、約20 nm至約300 nm、約20 nm至約250 nm、約20 nm至約200 nm、約20 nm至約150 nm、約20 nm至約100 nm、約20 nm至約80 nm、約20 nm至約50 nm、約30 nm至約400 nm、約30 nm至約200 nm、約50 nm至約500 nm、約50 nm至約400 nm、約50 nm至約300 nm、約50 nm至約250 nm、約50 nm至約200 nm、約50 nm至約150 nm、約50 nm至約100 nm、約80 nm至約250 nm、約80 nm至約200 nm、約80 nm至約150 nm、約80 nm至約100 nm、約50 nm至約80 nm、約100 nm至約500 nm、約100 nm至約400 nm、約100 nm至約300 nm、約100 nm至約250 nm、約100 nm至約200 nm,或約100 nm至約150 nm。
於一或更多個實施例中,保護塗層200、300、400、500、600可具有相對高度的均勻性。保護塗層200、300、400、500、600可具有個別保護塗層200、250之厚度之小於50%、小於40%,或小於30%的均勻性。保護塗層200、300、400、500、600可獨立地具有厚度之自約0%、約0.5%、約1%、約2%、約3%、約5%、約8%,或約10%至約12%、約15%、約18%、約20%、約22%、約25%、約28%、約30%、約35%、約40%、約45%,或小於50%的均勻性。舉例而言,保護塗層200、300、400、500、600可獨立地具有厚度之自約0%至約50%、約0%至約40%、約0%至約30%、約0%至小於30%、約0%至約28%、約0%至約25%、約0%至約20%、約0%至約15%、約0%至約10%、約0%至約8%、約0%至約5%、約0%至約3%、約0%至約2%、約0%至約1%、約1%至約50%、約1%至約40%、約1%至約30%、約1%至小於30%、約1%至約28%、約1%至約25%、約1%至約20%、約1%至約15%、約1%至約10%、約1%至約8%、約1%至約5%、約1%至約3%、約1%至約2%、約5%至約50%、約5%至約40%、約5%至約30%、約5%至小於30%、約5%至約28%、約5%至約25%、約5%至約20%、約5%至約15%、約5%至約10%、約5%至約8%、約10%至約50%、約10%至約40%、約10%至約30%、約10%至小於30%、約10%至約28%、約10%至約25%、約10%至約20%、約10%至約15%,或約10%至約12%的均勻性。
於一些實施例中,可用整個材料之不同比例金屬來形成或以其他方式製造保護塗層200、300、400、500、600,諸如基礎金屬內之摻雜金屬或分級金屬(grading metal),其中任何金屬可呈任何化學氧化形式(如,氧化物、氮化物、矽化物、碳化物,或彼等的組合)。於一或更多個實例中,沉積第一沉積層204、310A、404A、504A、624至第一厚度以及沉積第二沉積層410A、516、616至第二厚度,其中第一厚度或著小於或著大於第二厚度。舉例而言,於方塊120期間,可藉由二或更多(3、4、5、6、7、8、9、10,或更多)次ALD循環來沉積第一沉積層204、310A、404A、504A、624以製造分別相同含量的子層(如,各ALD循環一子層),接著可藉由一次ALD循環或次數小於或大於用以沉積第一沉積層204、310A、404A、504A,或624之ALD循環之次數的數次ALD循環來沉積第二沉積層410A、516、616。於其他實例中,藉由CVD可沉積第一沉積層204、310A、404A、504A、624至第一厚度以及藉由ALD沉積第二沉積層410A、516、616至小於第一厚度的第二厚度。
於其他實施例中,可使用ALD製程來沉積第一沉積層204、310A、404A、504A、624和/或第二沉積層410A、516、616,其中在ALD製程期間藉由包括摻雜劑前驅物來摻雜沉積的材料。於一些實例中,相對於用以沉積基礎材料之ALD循環,摻雜劑前驅物可包括於個別ALD循環中。於其他實例中,於ALD循環期間,摻雜劑前驅物可與使用的任何化學前驅物共同注入。於進一步實例中,於ALD循環期間,摻雜劑前驅物可與化學前驅物分開注入。舉例而言,一次ALD循環可包括暴露航太部件至:第一前驅物、泵送-淨化、摻雜劑前驅物、泵送-淨化、第一反應物,以及泵送-淨化,以形成沉積層。於一些實例中,一次ALD循環可包括暴露航太部件至:摻雜劑前驅物、泵送-淨化、第一前驅物、泵送-淨化、第一反應物,以及泵送-淨化,以形成沉積層。於其他實例中,一次ALD循環可包括暴露航太部件至:第一前驅物、摻雜劑前驅物、泵送-淨化、第一反應物,以及泵送-淨化,以形成沉積層。
於一或更多個實施例中,第一沉積層204、310A、404A、504A、624和/或第二沉積層410A、516、616含有一或更多基礎材料及一或更多摻雜材料。基礎材料為或含有氧化鋁、氧化鉻,或氧化鋁及氧化鉻的組合。摻雜材料為或含有鉿、氧化鉿、釔、氧化釔、鈰、氧化鈰、矽、氧化矽、彼等的氮化物,或彼等的任何組合。本文中所描述的任何前驅物或試劑可用作摻雜前驅物或摻雜劑。例示性鈰前驅物可為或包括一或更多四(2,2,6,6-四甲基-3,5-庚二酮)鈰(IV) (Ce(TMHD)4 )、三(環戊二烯)鈰((C5 H5 )3 Ce)、三(丙基環戊二烯)鈰([(C3 H7 )C5 H4 ]3 Ce)、三(四甲基環戊二烯)鈰([(CH3 )4 C5 H]3 Ce),或彼等的任何組合。
第一沉積層204、310A、404A、504A,或624、第二沉積層410A、516、616、保護塗層200、300、400、500、600,和/或壓結層208、308、408、508、608內,摻雜材料之濃度可為約0.01原子百分比(at%)、約0.05 at%、約0.08 at%、約0.1 at%、約0.5 at%、約0.8 at%、約1 at%、約1.2 at%、約1.5 at%、約1.8 at%,或約2 at%至約2.5 at%、約3 at%、約3.5 at%、約4 at%、約5 at%、約8 at%、約10 at%、約15 at%、約20 at%、約25 at%,或約30 at%。舉例而言,第一沉積層204、310A、404A、504A,或624、第二沉積層410A、516、616,保護塗層200、300、400、500、600,和/或壓結層208、308、408、508、608內,摻雜材料之濃度可為約0.01 at%至約30 at%、約0.01 at%至約25 at%、約0.01 at%至約20 at%、約0.01 at%至約15 at%、約0.01 at%至約12 at%、約0.01 at%至約10 at%、約0.01 at%至約8 at%、約0.01 at%至約5 at%、約0.01 at%至約4 at%、約0.01 at%至約3 at%、約0.01 at%至約2.5 at%、約0.01 at%至約2 at%、約0.01 at%至約1.5 at%、約0.01 at%至約1 at%、約0.01 at%至約0.5 at%、約0.01 at%至約0.1 at%、約0.1 at%至約30 at%、約0.1 at%至約25 at%、約0.1 at%至約20 at%、約0.1 at%至約15 at%、約0.1 at%至約12 at%、約0.1 at%至約10 at%、約0.1 at%至約8 at%、約0.1 at%至約5 at%、約0.1 at%至約4 at%、約0.1 at%至約3 at%、約0.1 at%至約2.5 at%、約0.1 at%至約2 at%、約0.1 at%至約1.5 at%、約0.1 at%至約1 at%、約0.1 at%至約0.5 at%、約1 at%至約30 at%、約1 at%至約25 at%、約1 at%至約20 at%、約1 at%至約15 at%、約1 at%至約12 at%、約1 at%至約10 at%、約1 at%至約8 at%、約1 at%至約5 at%、約1 at%至約4 at%、約1 at%至約3 at%、約1 at%至約2.5 at%、約1 at%至約2 at%,或約1 at%至約1.5 at%。
於一或更多個實施例中,保護塗層200、300、400、500、600包括含有氧化鋁(或其他基礎材料)之第一沉積層204、310A、404A、504A、624及含有氧化鉻(或其他摻雜材料)之第二沉積層410A、516、616,或具有含有氧化鉻(或其他摻雜材料)之第一沉積層204、310A、404A、504A、624及含有氧化鋁(或其他基礎材料)之第二沉積層410A、516、616。於一或更多個實例中,保護塗層200、300、400、500、600含有氧化鋁及氧化鉻的組合、摻雜鉿的氧化鋁、鉿鋁化物,或彼等的任何組合。舉例而言,第一沉積層204、310A、404A、504A、624含有氧化鋁及第二沉積層410A、516、616含有氧化鉻,或者第一沉積層204、310A、404A、504A、624含有氧化鉻及第二沉積層410A、516、616含有氧化鋁。於其他實例中,保護塗層300、400、500、600包括由氧化鋁及氧化鉻之層所形成的壓結層208、308、408、508、608。於一或更多個實施例中,於含有氧化鋁(或其他基礎材料)之壓結層208、308、408、508、608內,保護塗層200、300、400、500、600具有約0.01 at%、約0.05 at%、約0.08 at%、約0.1 at%、約0.5 at%、約0.8 at%,或約1 at%至約1.2 at%、約1.5 at%、約1.8 at%、約2 at%、約2.5 at%、約3 at%、約3.5 at%、約4 at%、約4.5 at%,或約5 at%之鉿(或其他摻雜材料)的濃度。舉例而言,於含有氧化鋁(或其他基礎材料)之壓結層608內,保護塗層600具有約0.01 at%至約10 at%、約0.01 at%至約8 at%、約0.01 at%至約5 at%、約0.01 at%至約4 at%、約0.01 at%至約3 at%、約0.01 at%至約2.5 at%、約0.01 at%至約2 at%、約0.01 at%至約1.5 at%、約0.01 at%至約1 at%、約0.01 at%至約0.5 at%、約0.01 at%至約0.1 at%、約0.01 at%至約0.05 at%、約0.1 at%至約5 at%、約0.1 at%至約4 at%、約0.1 at%至約3 at%、約0.1 at%至約2.5 at%、約0.1 at%至約2 at%、約0.1 at%至約1.5 at%、約0.1 at%至約1 at%、約0.1 at%至約0.5 at%、約0.5 at%至約5 at%、約0.5 at%至約4 at%、約0.5 at%至約3 at%、約0.5 at%至約2.5 at%、約0.5 at%至約2 at%、約0.5 at%至約1.5 at%、約0.5 at%至約1 at%、約1 at%至約5 at%、約1 at%至約4 at%、約1 at%至約3 at%、約1 at%至約2.5 at%、約1 at%至約2 at%,或約1 at%至約1.5 at%之鉿(或其他摻雜材料)的濃度。
圖7A及7B根據本文中所描述及論述之一或更多實施例為包含鎳及鋁且具有保護塗層730設置於其上之航太部件700的示意視圖。圖7A為航太部件700的透視圖及圖7B為航太部件700的橫截面圖。保護塗層730可為或包括如本文中所描述及論述之一或更多沉積層、一或更多壓結膜,或彼等的任何組合。舉例而言,保護塗層730可為或包括圖2B之保護塗層200、圖3B之保護塗層300、圖4B之保護塗層400、圖5B之保護塗層500,和/或圖6B之保護塗層600之一或更多者。類似地,航太部件700可為或包括圖2A-2B、圖3A-3B、圖4A-4B、圖5A-5B、及圖6A-6B個別之航太部件202、302、402、502、602。如本文中所描述及論述的航太部件,包括航太部件700,可為或包括渦輪、航空器、太空船,或可包括一或更多渦輪之其他裝置(如,壓縮機、泵、渦輪風扇、增壓機,以及類似者)之一或更多部件或彼等的部分。例示性航太部件700可為或包括渦輪葉、渦輪葉片、支撐構件、框、肋、鰭、針狀鰭、燃燒器燃料噴嘴、燃燒器屏蔽、內部冷卻通道,或彼等的任何組合。
航太部件700具有一或更多外或外部表面710及一或更多內或內部表面720。內部表面720可界定一或更多航太部件700內延伸或含有的空腔702。空腔702可為設置於內部表面720內之通道、通路,或類似者。空腔702可具有一或更多開口704、706,以及708。航太部件700內之各空腔702典型地具有大於1之深寬比(如,長度除以寬度)。本文中描述及論述的方法提供沉積和/或以其他方式形成內部表面720上具有高深寬比(大於1)和/或於空腔702內之保護塗層200、300、400、500、600。
空腔702之深寬比可為自約2、約3、約5、約8、約10,或約12至約15、約20、約25、約30、約40、約50、約65、約80、約100、約120、約150、約200、約250、約300、約500、約800、約1,000,或更大。舉例而言,空腔702之深寬比可為自約2至約1,000、約2至約500、約2至約200、約2至約150、約2至約120、約2至約100、約2至約80、約2至約50、約2至約40、約2至約30、約2至約20、約2至約10、約2至約8、約5至約1,000、約5至約500、約5至約200、約5至約150、約5至約120、約5至約100、約5至約80、約5至約50、約5至約40、約5至約30、約5至約20、約5至約10、約5至約8、約10至約1,000、約10至約500、約10至約200、約10至約150、約10至約120、約10至約100、約10至約80、約10至約50、約10至約40、約10至約30、約10至約20、約20至約1,000、約20至約500、約20至約200、約20至約150、約20至約120、約20至約100、約20至約80、約20至約50、約20至約40,或約20至約30。
航太部件700及彼之任何表面,包括一或更多外或外部表面710和/或一或更多內或內部表面720,可由下列者形成、含有下列者,或以其他方式包括下列者:一或更多金屬,諸如鎳、鋁、鉻、鐵、鈦、鉿、一或更多鎳超合金、一或更多英高鎳合金、一或更多赫史特合金、一或更多蒙鎳合金、彼等的合金,或彼等的任何組合。舉例而言,航太部件700可包含英高鎳617、英高鎳625、英高鎳718、英高鎳X-750、海恩斯214合金、蒙鎳404,和/或蒙鎳K-500。可於航太部件700之任何表面上,包括一或更多外或外部表面710和/或一或更多內或內部表面720,沉積、形成,或以其他方式製造保護塗層730。
如本文中所描述及論述之保護塗層可為或包括沉積或以其他方式形成在航太部件之任何表面上之層疊膜堆疊、壓結膜、漸變組成,和/或單片膜之一或更多者。於一些實例中,保護塗層含有自約1%至約100%之氧化鉻。保護塗層為保形的且根據表面拓樸實質上覆蓋粗糙表面特徵,包括開孔、盲孔,以及表面之非視線區域。保護塗層不會實質地增加表面粗糙度,以及於一些實施例中,藉由保形地塗覆粗糙度至其聚合,保護塗層可降低表面粗糙度。保護塗層可具有來自沉積之顆粒,該等顆粒實質上大於航太部件之粗糙度但被視為與單片膜分離。保護塗層實質上良好黏著並且沒有針孔。保護塗層的厚度在40%之1標準差(1-sigma)內變化。於一或更多個實施例中,厚度變化小於20%、10%、5%、1%,或0.1%之1標準差。
當航太部件暴露至空氣、氧、硫和/或硫化合物、酸、鹼、鹽(如,Na、K、Mg、Li,或Ca鹽),或彼等的任何組合時,保護塗層提供腐蝕和氧化保護。
本文中所描述的一或更多實施例包括使用製造第一材料(如,氧化鉻、氧化鋁,和/或氮化鋁)及另一第二材料之交替的奈米層疊之方法而用於保存底層含鉻合金的方法。第二材料可為或包括氧化鋁、氮化鋁、氮氧化鋁、氧化矽、氮化矽、碳化矽、氧化釔、氮化釔、釔矽氮化物、氧化鉿、鉿矽酸鹽、矽化鉿、氮化鉿、氧化鈦、氮化鈦、矽化鈦、鈦矽酸鹽、彼等的摻雜劑、彼等的合金,或彼等的任何組合中之一或更多者。所得的膜可用作奈米層疊膜堆疊或膜可接受退火,其中高溫聚合膜成為單一結構,其中新結晶總成增進此覆蓋膜之完整性及保護性質。
於特別實施例中,經由汽相運輸將鉻前驅物(於約0°C至約250°C之溫度)輸送至航太部件持續5秒之預定脈衝長度。於此製程期間,於氮載氣流(總計約1,000 sccm)並且保持腔室於約350°C之預定溫度及約3.5托之預定壓力下操作沉積反應器。於鉻前驅物之脈衝後,腔室接續泵送及淨化所有必需氣體及副產物持續決定的時間長度。接下來,於約3.5托之腔室壓力下脈衝水至腔室中持續0.1秒。接著執行額外的腔室淨化(或泵送/淨化)以除去反應器之任何過多的反應物及反應副產物。重複此製程必須的次數以得到所欲膜厚度之目標CrOx膜。
針對第二膜(實例:氧化鋁),經由汽相運輸將前驅物,三甲基鋁(於約0°C至約30°C之溫度)輸送至航太部件持續0.1秒之預定脈衝長度。於此製程期間,於氮載氣流(總計約100 sccm)並且保持腔室於約150°C至約350°C之預定溫度及約1托至約5托之預定壓力下操作沉積反應器。於三甲基鋁之脈衝後,腔室接續泵送及淨化所有必需氣體及副產物持續決定的時間長度。接下來,於約3.5托之腔室壓力下脈衝水蒸汽至腔室中持續0.1秒。接著執行額外的腔室淨化以除去反應器之任何過多的反應物及反應副產物。重複此製程必須的次數以得到所欲膜厚度之目標Al2 O3 膜。航太部件接著進入退火爐於約500°C之溫度下約500 sccm之惰性氮氣流下持續約一小時。 摻雜的/合金化ALD層製程
本文中所描述的一或更多實施例包括藉由使用摻雜的含鉻膜或摻雜的含鋁膜而用於保存下方航太部件的方法。此膜為或包括藉由使用鉻前驅物或鋁前驅物,以及氧來源或氧化劑(用於氧化鉻或氧化鋁沉積)、氮來源或氮化劑(用於氮化鉻或氮化鋁沉積)、一或更多碳來源或碳前驅物(用於碳化鉻或碳化鋁沉積)、矽來源或矽前驅物(用於矽化鉻或矽化鋁沉積),或彼等的任何組合之一或更多者製造之含鉻膜。摻雜前驅物(或摻雜劑)可為或包括供鋁、釔、鉿、矽、鉭、鋯、鍶、鑭、釹、鈥、鋇、鑥、鏑、釤、鋱、銩、鈦、鈮、錳、鈧、銪、錫、鈰,或彼等的任何組合之來源。使用的前驅物可為或包括,但不限於如以上描述及論述之一或更多鉻前驅物或一或更多鋁前驅物。於沉積製程期間可使用鉻前驅物以製造含有三元材料(如,YCrO或CrAlO)之摻雜的膜。可使用所得的膜作為奈米層疊膜堆疊或膜可接受退火,其中高溫將膜聚合成單一結構,其中新結晶總成增進覆蓋膜之完整性及保護性質。
於特別實施例中,經由汽相運輸將鉻前驅物,雙(1,4-二第三丁基二氮雜二烯基鉻(II) (於約0°C至約250°C之溫度)輸送至航太部件持續5秒之預定脈衝長度。於此製程期間,於約1000 sccm之氮載氣流下並且保持腔室於約350°C之預定溫度及約3.5托之預定壓力下操作沉積反應器。於鉻前驅物之脈衝後,腔室接續泵送及淨化所有必需氣體及副產物持續決定的時間長度。接下來,於約3.5托之腔室壓力下脈衝第二反應物-水至腔室中持續0.1秒。接著執行第二腔室淨化以除去反應器之任何過多的反應物及反應副產物。
重複此鉻前驅物/泵送-淨化/水/泵送-淨化順序必須多的次數以得到所欲膜厚度之目標CrOx膜。此製程造成具有希望厚度之第一CrOx積層形成。
於第一CrOx積層沉積之後,於約1.6托之腔室壓力下脈衝第三反應物,肆(乙基甲胺基)鉿(TEMAH)至腔室中持續5秒。接著執行最終腔室泵送/淨化以除去反應器之任何過多的反應物及反應副產物。接下來,於約1.2托之腔室壓力下脈衝第二反應物,水至腔室中持續3秒。接著執行第二腔室泵送/淨化以除去反應器之任何過多的反應物及反應副產物。此單一順序造成具有單層(HfOx)厚度之第二HfOx積層形成。
重複此第一CrOx/第二HfOx積層順序必須多的次數以得到所欲膜厚度之目標摻雜Hf的氧化鉻膜(CrOx:Hf)。可使用所得的CrOx:Hf膜作為奈米層疊膜堆疊或膜可接受退火,其中高溫促動Hf擴散至CrOx層中,其中CrOx:Hf膜中較均勻之Hf分佈增進此覆蓋膜之完整性及保護性質。
於特別實施例中,經由汽相運輸將選擇的Al前驅物,三甲基鋁(TMAl) (於約0°C至約30°C之溫度)輸送至航太部件持續約0.1秒至約1秒之預定脈衝長度。於此製程期間,於約100 sccm之氮載氣流下並且保持腔室於約150°C至約400°C之預定溫度及約1托至約5托之預定壓力下操作沉積反應器。於三甲基鋁之脈衝後,腔室接續泵送及淨化所有必需氣體及副產物持續決定的時間長度。接下來,於約1托至約5托之腔室壓力下脈衝水蒸汽至腔室中持續3秒。接著執行額外的腔室淨化以除去反應器之任何過多的反應物及反應副產物。重複此鋁前驅物/泵送-淨化/水/泵送-淨化順序必須多的次數以得到所欲膜厚度之目標AlOx(如,Al2 O3 )膜。此製程造成具有希望厚度之第一AlOx積層形成。
於第一AlOx積層沉積之後,於約1.6托之腔室壓力下脈衝第三反應物,肆(乙基甲胺基)鉿(TEMAH)至腔室中持續5秒。接著執行最終腔室泵送/淨化以除去反應器之任何過多的反應物及反應副產物。接下來,於約1.2托之腔室壓力下脈衝第二反應物-水至腔室中持續3秒。接著執行第二腔室泵送/淨化以除去反應器之任何過多的反應物及反應副產物。此單一順序造成具有單層(HfOx)厚度之第二HfOx積層形成。
重複此第一AlOx/第二HfOx積層順序必須多的次數以得到所欲膜厚度之目標摻雜Hf的氧化鋁膜(AlOx:Hf)。於一些實例中,使用所得的AlOx:Hf膜作為奈米層疊膜堆疊。於其他實例中,所得的AlOx:Hf膜接受退火,其中高溫促動Hf擴散至AlOx層中,其中AlOx:Hf膜中越均勻之Hf分佈增進此覆蓋膜之完整性及保護性質。
SEM顯示Si航太部件上隨ALD生長之摻雜Hf的Al2 O3 層的橫截面。SEM顯示具有約0.1 at%之Hf濃度之摻雜Hf的Al2 O3 層的橫截面。總Al2 O3 :Hf膜厚度為約140 nm。膜含有六層Al2 O3 /HfO2 積層。單一Al2 O3 /HfO2 積層厚度為約23 nm。SEM顯示具有約0.5 at%之Hf濃度之摻雜Hf的Al2 O3 層的橫截面。總Al2 O3 :Hf膜厚度為約108 nm。膜含有二十一層Al2 O3 /HfO2 積層。單一Al2 O3 /HfO2 積層厚度為約5.1 nm。
清楚看見約0.1 at%之摻雜Hf的樣本之SEM橫截面上HfO2 及Al2 O3 層之視覺差異。然而,SEM解析度(10 nm)限制約0.5 at%之摻雜Hf的樣本之HfO2 及Al2 O3 層的視覺差異。使用SIMS來測定航太部件上隨ALD生長之摻雜Hf的Al2 O3 層之濃度深度輪廓。一摻雜Hf的Al2 O3 層之SIMS濃度深度輪廓為約0.1 at%之Hf濃度。膜含有六層Al2 O3 /HfO2 積層。一摻雜Hf的Al2 O3 層之SIMS濃度深度輪廓為約0.5 at%之Hf濃度。膜含有二十一層Al2 O3 /HfO2 積層。
拉塞福背向散射譜術(RBS)提供隨ALD生長之摻雜Hf的Al2 O3 層的組成分析數據。RBS分析證實具六層Al2 O3 /HfO2 積層之整體Al2 O3 :Hf層具有約0.1 at%之Hf濃度,以及具二十一層Al2 O3 /HfO2 積層之整體Al2 O3 :Hf層具有約0.5 at%之Hf濃度。
於一或更多個實施例中,包括含鉻材料之保護塗層對於許多應用是理想的,其中在空氣中形成穩定的氧化鉻以保護表面不受氧化、酸攻擊,以及硫腐蝕。於Fe、Co,和/或基於鎳之合金的情況中,選擇性地形成氧化鉻(以及氧化鋁)以產生鈍化表面。然而,形成此選擇性的氧化物層之前,其他金屬元素將氧化直到氧化鉻形成連續層。
於形成緻密氧化鉻層之後,於空氣中暴露至高溫(如,大於500°C)造成氧化鉻積垢增厚,其中鉻擴散出整體金屬並進入積垢中,以及來自空氣的氧擴散至積垢中。隨著時間推移,隨著積垢增厚積垢生長速率變慢是因為(1)氧擴散較慢及(2)於整體合金中鉻變為耗乏。針對合金,若鉻濃度掉到臨界值之下,則其他氧化物可能開始形成,彼等造成先前地保護性積垢剝落或失效。
為延長含鉻合金之壽命,可使用以下方法之一或更多者。於一或更多個實施例中,方法可包括步驟:沉積匹配原生氧化物之組成及結晶結構的氧化物層來製造保護塗層。於其他實施例中,方法可包括步驟:沉積與原生氧化物具不同結晶結構之氧化物層來製造保護塗層。於一些實施例中,方法可包括步驟:沉積具有不會存在於原生氧化物中之額外摻雜劑的氧化物層來製造保護塗層。於其他實施例中,方法可包括步驟:沉積另一氧化物(如,氧化矽或氧化鋁)作為覆蓋層或於多層堆疊中來製造保護塗層。
於方法之一或更多實施例中,非原生氧化物可初始地沉積至航太部件或其他基板之金屬表面的表面上,其有效地增厚氧化物,藉此減緩氧朝金屬表面擴散並且造成氧化物膜之較低的絕對厚度生長。於一些實例中,可在拋物線氧化物積垢生長曲線之背景下考慮此方法的益處。於較厚的積垢(如,大於0.5微米至約1.5微米),積垢厚度速率相對初始生長降低。於厚的積垢生長之前,藉由沉積具有約100 nm、約200 nm,或約300 nm至約1微米、約2微米,或約3微米厚度之氧化物膜。天然積垢之約0.5微米至約1微米之第一厚度的有效生長率在給定時段內可慢很多。因而,來自基板之鉻的耗乏率可降低,以及表面可暴露至環境的時間可較長。
藉由沉積氧化鉻之預定結晶結構,如,非晶形,可進一步減緩氧擴散。針對氧化鉻,氧沿著晶粒邊界擴散的速度可比在整體結晶中快,所以最少化晶粒邊界對減緩氧擴散可為有益的。因而,積垢生長可減緩,以及表面可暴露至環境的時間可較長。
於其他實施例中,方法可包括步驟:於製造保護塗層時併入一或更多摻雜劑至沉積的氧化物中。摻雜劑可為或包括供鋁、釔、鉿、矽、鉭、鋯、鍶、鑭、釹、鈥、鋇、鑥、鏑、釤、鋱、銩、鈦、鈮、錳、鈧、銪、錫、鈰,或彼等的任何組合之來源。摻雜劑可分隔至晶粒邊界並且修飾晶粒邊界擴散速率以減緩氧化物積垢生長率。
於一或更多個實施例中,航太部件包括設置於基板之表面上的塗層。表面或基板包括或含有鎳、鎳超合金、鋁、鉻、鐵、鈦、鉿、彼等的合金,或彼等的任何組合。塗層具有小於10 µm的厚度以及含有氧化鋁層。於一些實例中,航太部件的表面為航太部件之空腔內的內部表面。空腔可具有約5至約1,000之深寬比以及塗層可具有橫越內部表面厚度之小於30%的均勻性。
以上描述的結晶保護塗層降低形成於航太部件之表面之含鎳氧化物的含量並且進一步降低自航太部件之鋁耗乏率。舉例而言,利用保護塗層造成小於10%之含鎳氧化物形成於航太部件之表面上,諸如小於5%。另外,具有結晶總成之保護塗層增進保護塗層之完整性及保護性質,以及增進保護塗層之強度、壽命,以及耐久性。利用保護塗層進一步降低航太部件之表面的氧化率,增加航太部件之抗氧化性及抗腐蝕性。藉由使用ALD或CVD來沉積保護塗層,保護塗層為實質上保形的。
儘管前述關於本揭示之實例,可設想此揭示之其他及進一步實例而不背離此揭示之基本範疇,以及由隨附之申請專利範圍來決定此揭示之範疇。
100:方法 110:方塊 120:方塊 130:方塊 140:方塊 150:方塊 160:方塊 200:保護塗層 202:航太部件 204:第一沉積層 206:中間區域 208:壓結層 300:保護塗層 302:保護塗層 306:中間區域 308:壓結層 310A:第一沉積層 310B:區域 312:區域 314:區域 400:保護塗層 402:航太部件 404A:第一沉積層 404B:區域 406:中間區域 408:壓結層 410A:第二沉積層 410B:區域 412:區域 500:保護塗層 502:航太部件 504A:第一沉積層 504B:區域 506:中間區域 508:壓結層 512:區域 516:第二沉積層 518:第三沉積層 520:第四沉積層 522:第五沉積層 600:保護塗層 602:航太部件 606:中間區域 608:壓結層 616:第二沉積層 618:第三沉積層 620:第四沉積層 622:第五沉積層 624:第一沉積層 700:航太部件 702:空腔 704:開口 706:開口 708:開口 710:外部表面 720:內部表面 730:保護塗層
為可仔細理解本揭示之以上記載的特徵,藉由參照實施例可得到以上簡要綜述之本揭示之更具體的描述,於附圖中說明一些實施例。然而,要注意的是,附圖僅說明此揭示例示性實施例並因此不視為限制此揭示之範疇,以及本揭示可承認其他等效的實施例。
圖1為根據本文中描述及論述之一或更多個實施例之用於在航太部件上沉積塗層之方法的流程圖。
圖2A及2B為根據一個實施例之沉積於航太部件之表面上之保護塗層的示意視圖。
圖3A及3B為根據另一個實施例之沉積於航太部件之表面上之保護塗層的示意視圖。
圖4A及4B為根據又另一個實施例之沉積於航太部件之表面上之保護塗層的示意視圖。
圖5A及5B為根據另一個實施例之沉積於航太部件之表面上之保護塗層的示意視圖。
圖6A及6B為根據又另一個實施例之沉積於航太部件之表面上之保護塗層的示意視圖。
圖7A及7B為根據本文中描述及論述之一或更多個實施例之含有一或更多保護塗層之航太部件的示意視圖。
為促進理解,已盡可能使用相同的元件符號表示圖式共同之相同的元件。所考量的是,一個實施例的元件及特徵可有益地併入其他實施例中而無進一步記載。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
700:航太部件
702:空腔
704:開口
706:開口
710:外部表面
720:內部表面

Claims (20)

  1. 一種用於在一航太部件上沉積一塗層之方法,包含以下步驟: 於約攝氏20度至約攝氏500度間之一溫度下藉由一第一原子層沉積(ALD)製程將一航太部件暴露至一第一前驅物及一第一反應物以於該航太部件之一表面上形成一第一沉積層,該航太部件包含一金屬, 其中該第一沉積層於該航太部件上形成一保護塗層,以及 其中該保護塗層保護該航太部件不受腐蝕和氧化以及降低自該航太部件之該金屬的一耗乏率;以及 退火及氧化該航太部件上之該保護塗層以將該保護塗層轉化成為一結晶型,其中呈該結晶型之該保護塗層強化該保護塗層。
  2. 如請求項1所述之方法,其中該金屬包含一鋼。
  3. 如請求項1所述之方法,其中於約攝氏800度至約攝氏1500度間之一溫度下完成該退火及氧化,以及其中該氧化製程可部分地氧化該保護塗層。
  4. 如請求項1所述之方法,進一步包含以下步驟: 順序地暴露該航太部件至該第一前驅物及該第一反應物以形成該第一沉積層。
  5. 如請求項1所述之方法,進一步包含以下步驟: 在退火該第一沉積層之前藉由一第二ALD製程或一化學氣相沉積(CVD)製程,將該航太部件暴露至一第二前驅物及一第二反應物以於該第一沉積層上形成一第二沉積層,其中該第一沉積層及該第二沉積層具有彼此不同的組成, 其中退火及氧化該第一沉積層之步驟進一步包含步驟:同時地退火及氧化該第二沉積層以形成一壓結層(coalesced layer)。
  6. 如請求項5所述之方法,其中該第一沉積層包含氧化鋁、摻雜鉿的氧化鋁、摻雜釔的氧化鋁,或摻雜矽的氧化鋁。
  7. 如請求項1所述之方法,其中該第二沉積層包含矽或釔。
  8. 如請求項5所述之方法,進一步包含以下步驟: 在退火該第一沉積層及該第二沉積層之前,重複將該航太部件暴露至該第一前驅物及該第一反應物以於該第二沉積層上形成一第三沉積層; 重複將該航太部件暴露至該第二前驅物及該第二反應物以於該第三沉積層上形成一第四沉積層; 重複將該航太部件暴露至該第一前驅物及該第一反應物以於該第四沉積層上形成一第五沉積層;以及 退火及氧化該第一、第二、第三、第四,及第五沉積層以形成一壓結層。
  9. 如請求項1所述之方法,其中該第一沉積層包含氧化鉻,以及其中退火該第一沉積層會形成包含氧化鉻、氧化鋁,以及一混合的鉻-鋁氧化物(chromium-aluminum oxide)之一壓結層。
  10. 一種用於在一航太部件上沉積一塗層之方法,包含以下步驟: 藉由一化學氣相沉積(CVD)製程在一航太部件之一表面上沉積一第一沉積層,該航太部件包含一金屬; 將該第一沉積層轉化成一結晶相;以及 在該第一沉積層與該航太部件間形成一金屬氧化物區域,該金屬氧化物區域具有一結晶總成(crystalline assembly), 其中該第一沉積層及該金屬氧化物區域在該航太部件上形成一保護塗層,以及 其中該保護塗層保護該航太部件不受腐蝕和氧化以及降低自該航太部件之該金屬的一耗乏率。
  11. 如請求項10所述之方法,進一步包含以下步驟: 在將該第一沉積層轉化成一結晶相之前,藉由一第二CVD製程或一原子層沉積(ALD)製程於該第一沉積層上沉積一第二沉積層, 其中該第一沉積層及該第二沉積層具有彼此不同的組成,以及 其中將該第一沉積層轉化成一結晶相之步驟進一步包含步驟:形成具有該結晶相之一壓結層。
  12. 如請求項11所述之方法,其中該第一沉積層包含氧化鋁、摻雜鉿的氧化鋁、摻雜釔的氧化鋁,或摻雜矽的氧化鋁。
  13. 如請求項11所述之方法,進一步包含以下步驟: 在將該第一及第二沉積層轉化成該結晶相之前,於該第二沉積層上沉積一或更多個額外的沉積層;以及 將該第一沉積層、該第二沉積層,以及該一或更多個額外的沉積層轉化成具有一結晶相之一壓結層。
  14. 如請求項10所述之方法,其中該第一沉積層包含氧化鉻,以及其中形成該氧化鋁區域會形成包含氧化鉻、氧化鋁,及一混合的鉻-鋁氧化物之一壓結層。
  15. 如請求項14所述之方法,其中於約攝氏300度至約攝氏1100度之一溫度下沉積該第一沉積層。
  16. 如請求項10所述之方法,其中藉由於約攝氏500度至約攝氏1100度間之一溫度下退火該第一沉積層持續約1小時至約15小時之一時段來形成該金屬氧化物區域。
  17. 一種用於在一航太部件上沉積一塗層之方法,包含以下步驟: 藉由一化學氣相沉積(CVD)製程或一原子層沉積(ALD)製程在一航太部件之一表面上沉積一第一沉積層,該航太部件包含一金屬; 執行一第一退火及氧化製程以將該第一沉積層轉化成一較佳的結晶相; 藉由該CVD製程或該ALD製程在該第一沉積層上沉積一第二沉積層;以及 執行一第二退火及氧化製程以將該第二沉積層轉化成該較佳的結晶相, 其中該第一沉積層及該第二沉積層在該航太部件上形成一保護塗層,以及 其中該保護塗層保護該航太部件不受腐蝕和氧化以及降低自該航太部件之該金屬的一耗乏率。
  18. 如請求項17所述之方法,其中該第一沉積層包含氧化鋁、摻雜鉿的氧化鋁、摻雜釔的氧化鋁,或摻雜矽的氧化鋁。
  19. 如請求項17所述之方法,一金屬氧化物區域形成於該第一沉積層與該航太部件間,該金屬氧化物區域具有一結晶總成。
  20. 如請求項17所述之方法,其中該第一沉積層包含鋁,其中該第二沉積層包含鉻,以及其中在空氣中於約攝氏500度至約攝氏1200度間之一溫度下執行該第一退火及氧化製程及該第二退火及氧化製程持續約1小時至約15小時之一時段。
TW110136873A 2019-04-26 2020-04-23 保護航太部件不受腐蝕和氧化之方法 TW202204661A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962839186P 2019-04-26 2019-04-26
US62/839,186 2019-04-26

Publications (1)

Publication Number Publication Date
TW202204661A true TW202204661A (zh) 2022-02-01

Family

ID=72921344

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110136873A TW202204661A (zh) 2019-04-26 2020-04-23 保護航太部件不受腐蝕和氧化之方法
TW109113600A TWI745941B (zh) 2019-04-26 2020-04-23 保護航太部件不受腐蝕和氧化之方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109113600A TWI745941B (zh) 2019-04-26 2020-04-23 保護航太部件不受腐蝕和氧化之方法

Country Status (4)

Country Link
US (2) US11732353B2 (zh)
EP (1) EP3959356A4 (zh)
TW (2) TW202204661A (zh)
WO (1) WO2020219332A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Family Cites Families (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0209307B1 (en) 1985-07-15 1988-09-07 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Cleaning of metal articles
US4839245A (en) * 1985-09-30 1989-06-13 Union Carbide Corporation Zirconium nitride coated article and method for making same
US5217757A (en) 1986-11-03 1993-06-08 United Technologies Corporation Method for applying aluminide coatings to superalloys
FR2643087B1 (fr) 1989-02-16 1991-06-07 Unirec Procede de depot d'un revetement de type ceramique sur un substrat metallique et element comportant un revetement obtenu par ce procede
JP2823086B2 (ja) 1990-05-21 1998-11-11 日本碍子株式会社 連結部材およびその連結方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5891584A (en) 1991-03-25 1999-04-06 General Electric Company Coated article for hot hydrocarbon fluid and method of preventing fuel thermal degradation deposits
US5362228A (en) 1991-11-04 1994-11-08 Societe Europeenne De Propulsion Apparatus for preheating a flow of gas in an installation for chemical vapor infiltration, and a densification method using the apparatus
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5494829A (en) 1992-07-31 1996-02-27 Biostar, Inc. Devices and methods for detection of an analyte based upon light interference
US5538796A (en) * 1992-10-13 1996-07-23 General Electric Company Thermal barrier coating system having no bond coat
US6495271B1 (en) * 1993-03-01 2002-12-17 General Electric Company Spallation-resistant protective layer on high performance alloys
EP0645472A1 (en) 1993-09-23 1995-03-29 General Electric Company Coated article for hot hydrocarbon fluid and method of preventing fuel thermal degradation deposits
US5503874A (en) 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals
DE19680259T1 (de) 1995-04-06 1997-06-19 Gen Electric Verfahren und Verbundstoff zum Schutz eines Wärmesperren-Überzuges durch einen undurchlässigen Sperrüberzug
DE19709406A1 (de) 1996-09-30 1998-04-09 Basf Coatings Ag Verfahren und Vorrichtung zur Vermessung von lackierten Prüftafeln
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
KR100611136B1 (ko) 1996-12-10 2006-08-10 지멘스 악티엔게젤샤프트 열 절연층을 가지며 고온 가스에 노출될 수 있는 제품 및 그 제조 방법
US5912069A (en) 1996-12-19 1999-06-15 Sigma Laboratories Of Arizona Metal nanolaminate composite
JP2981184B2 (ja) 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
JP2991990B2 (ja) 1997-03-24 1999-12-20 トーカロ株式会社 耐高温環境用溶射被覆部材およびその製造方法
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6872429B1 (en) 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6805750B1 (en) 1998-06-12 2004-10-19 United Technologies Corporation Surface preparation process for deposition of ceramic coating
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6514629B1 (en) 1998-12-15 2003-02-04 General Electric Company Article with hafnium-silicon-modified platinum-aluminum bond or environmental coating
US6042898A (en) * 1998-12-15 2000-03-28 United Technologies Corporation Method for applying improved durability thermal barrier coatings
US6107186A (en) 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6207295B1 (en) 1999-07-13 2001-03-27 General Electric Company Article with tailorable high temperature coating
US6402898B1 (en) 1999-07-28 2002-06-11 Yeda Research And Development Co., Ltd. Coherently controlled laser distillation of chiral enantiomers
US6332926B1 (en) 1999-08-11 2001-12-25 General Electric Company Apparatus and method for selectively coating internal and external surfaces of an airfoil
US6630244B1 (en) 2001-03-23 2003-10-07 Delavan Inc. Carbon resistant surface coating
US6359089B2 (en) 2000-04-19 2002-03-19 Dupont Dow Elastomers, L.L.C. Fluorovinyl ether cure site monomers and fluoroelastomer copolymer compositions thereof
JP3603112B2 (ja) 2000-05-31 2004-12-22 独立行政法人産業技術総合研究所 アルミナ結晶質薄膜の低温製法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6544665B2 (en) 2001-01-18 2003-04-08 General Electric Company Thermally-stabilized thermal barrier coating
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6465040B2 (en) 2001-02-06 2002-10-15 General Electric Company Method for refurbishing a coating including a thermally grown oxide
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
EP1247941A1 (de) 2001-04-03 2002-10-09 Siemens Aktiengesellschaft Gasturbinenschaufel
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
WO2003008552A2 (en) 2001-07-17 2003-01-30 Whitehead Institute For Biomedical Research Mll translocations specify a distinct gene expression profile, distinguishing a unique leukemia
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6887588B2 (en) 2001-09-21 2005-05-03 General Electric Company Article protected by thermal barrier coating having a sintering inhibitor, and its fabrication
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
JP2003164819A (ja) 2001-11-30 2003-06-10 Fujikura Ltd 押出機またはその部品の清掃方法
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6949342B2 (en) 2001-12-21 2005-09-27 Whitehead Institute For Biomedical Research Prostate cancer diagnosis and outcome prediction by expression analysis
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR100442869B1 (ko) 2002-02-02 2004-08-02 삼성전자주식회사 반도체 기판 세정공정용 반도체 제조장치 및 그를 이용한반도체 기판 세정공정
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6905939B2 (en) 2002-02-27 2005-06-14 Applied Materials, Inc. Process for forming silicon oxide material
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7094445B2 (en) 2002-05-07 2006-08-22 General Electric Company Dimensionally controlled pack aluminiding of internal surfaces of a hollow article
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040009665A1 (en) 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
EP1512165A2 (en) 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040018738A1 (en) 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6730422B2 (en) * 2002-08-21 2004-05-04 United Technologies Corporation Thermal barrier coatings with low thermal conductivity
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6808816B2 (en) 2002-09-13 2004-10-26 General Electric Company Method and coating system for reducing carbonaceous deposits on surfaces exposed to hydrocarbon fuels at elevated temperatures
EP1411210A1 (en) 2002-10-15 2004-04-21 ALSTOM Technology Ltd Method of depositing an oxidation and fatigue resistant MCrAIY-coating
US8257450B2 (en) 2002-12-18 2012-09-04 Afton Chemical Intangibles Llc Manganese compounds to inhibit both low-and high-temperature corrosion in utility and industrial furnace systems
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US20070023142A1 (en) 2002-12-19 2007-02-01 Lagraff John R Airfoil refurbishment method
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7060366B2 (en) 2003-02-19 2006-06-13 General Electric Company Article including a substrate with a metallic coating and a chromium-aluminide protective coating thereon, and its preparation and use in component restoration
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
JP3865705B2 (ja) 2003-03-24 2007-01-10 トーカロ株式会社 耐食性および耐熱性に優れる熱遮蔽皮膜被覆材並びにその製造方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6887589B2 (en) 2003-04-18 2005-05-03 General Electric Company Nickel aluminide coating and coating systems formed therewith
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
KR100630677B1 (ko) 2003-07-02 2006-10-02 삼성전자주식회사 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법
US6905730B2 (en) 2003-07-08 2005-06-14 General Electric Company Aluminide coating of turbine engine component
US20100151125A1 (en) 2003-08-04 2010-06-17 General Electric Company Slurry chromizing process
GB0319349D0 (en) 2003-08-18 2003-09-17 Southside Thermal Sciences Sts Coatings and an optical method for detecting corrosion processes in coatings
US6921251B2 (en) 2003-09-05 2005-07-26 General Electric Company Aluminide or chromide coating of turbine engine rotor component
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6979498B2 (en) 2003-11-25 2005-12-27 General Electric Company Strengthened bond coats for thermal barrier coatings
US7361233B2 (en) 2003-12-10 2008-04-22 General Electric Company Methods of hydrogen cleaning of metallic surfaces
US20050129849A1 (en) 2003-12-12 2005-06-16 General Electric Company Article protected by a thermal barrier coating having a cerium oxide-enriched surface produced by precursor infiltration
US7285312B2 (en) * 2004-01-16 2007-10-23 Honeywell International, Inc. Atomic layer deposition for turbine components
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20050255329A1 (en) 2004-05-12 2005-11-17 General Electric Company Superalloy article having corrosion resistant coating thereon
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
JP2006010403A (ja) 2004-06-23 2006-01-12 Seiko Epson Corp 時計用外装部品の補修方法
EP1771598B1 (en) 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7875119B2 (en) 2004-10-01 2011-01-25 United Technologies Corporation Apparatus and method for coating an article
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060093849A1 (en) 2004-11-02 2006-05-04 Farmer Andrew D Method for applying chromium-containing coating to metal substrate and coated article thereof
US7374825B2 (en) 2004-12-01 2008-05-20 General Electric Company Protection of thermal barrier coating by an impermeable barrier coating
US7282271B2 (en) * 2004-12-01 2007-10-16 Honeywell International, Inc. Durable thermal barrier coatings
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP3757418B1 (ja) 2005-01-19 2006-03-22 石川島播磨重工業株式会社 拡散アルミナイドコーティングの局部施工方法
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
WO2006116841A1 (en) 2005-04-29 2006-11-09 National Research Council Of Canada Method of on-line thickness measurement of applied coatings
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7579085B2 (en) 2005-08-19 2009-08-25 General Electric Company Coated silicon comprising material for protection against environmental corrosion
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7740960B1 (en) 2005-08-26 2010-06-22 The United States Of America As Represented By The Secretary Of The Army Multifunctionally graded environmental barrier coatings for silicon-base ceramic components
US20070054487A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7807231B2 (en) 2005-11-30 2010-10-05 General Electric Company Process for forming thermal barrier coating resistant to infiltration
KR101192248B1 (ko) 2005-12-21 2012-10-17 에스케이케미칼주식회사 금속 표면에 형성된 산화막 및 탄화막 제거제
US20070160859A1 (en) 2006-01-06 2007-07-12 General Electric Company Layered thermal barrier coatings containing lanthanide series oxides for improved resistance to CMAS degradation
JP2007199188A (ja) 2006-01-24 2007-08-09 Seiko Epson Corp 電気光学装置及びその製造方法並びに電子機器
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7833358B2 (en) 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080135914A1 (en) 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080032510A1 (en) 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
US7700167B2 (en) 2006-08-31 2010-04-20 Honeywell International Inc. Erosion-protective coatings on polymer-matrix composites and components incorporating such coated composites
US20090239061A1 (en) 2006-11-08 2009-09-24 General Electric Corporation Ceramic corrosion resistant coating for oxidation resistance
WO2008057123A1 (en) 2006-11-09 2008-05-15 Massachusetts Institute Of Technology Preparation and properties of cr-c-p hard coatings annealed at high temperature for high temperature applications
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080268154A1 (en) 2007-04-30 2008-10-30 Shreyas Kher Methods for depositing a high-k dielectric material using chemical vapor deposition process
US8056652B2 (en) 2007-06-25 2011-11-15 Smith International, Inc. Barrier coated granules for improved hardfacing material using atomic layer deposition
US7910446B2 (en) 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US20090134035A1 (en) 2007-08-02 2009-05-28 United Technologies Corporation Method for forming platinum aluminide diffusion coatings
KR101281682B1 (ko) 2007-08-29 2013-07-03 삼성전자주식회사 알루미늄 산화물층 형성방법 및 이를 이용한 전하 트랩형메모리 소자의 제조 방법
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090098289A1 (en) 2007-10-12 2009-04-16 Deininger Mark A Pig and Method for Applying Prophylactic Surface Treatments
US7833586B2 (en) 2007-10-24 2010-11-16 General Electric Company Alumina-based protective coatings for thermal barrier coatings
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
WO2009091719A1 (en) 2008-01-14 2009-07-23 Applera Corporation Compositions, methods, and kits for detecting ribonucleic acid
US8114852B2 (en) 2008-01-15 2012-02-14 The United States Of America As Represented By The Secretary Of Agriculture N-linked glycosylation alteration in E1 glycoprotein of classical swine fever virus and novel classical swine fever virus vaccine
US20090186237A1 (en) 2008-01-18 2009-07-23 Rolls-Royce Corp. CMAS-Resistant Thermal Barrier Coatings
US20110175038A1 (en) 2008-01-28 2011-07-21 College Of William & Mary Coated carbon nanoflakes
US8227078B2 (en) 2008-02-11 2012-07-24 General Electric Company Anti-fouling coatings for combustion system components exposed to slag, ash and/or char
GB0805224D0 (en) 2008-03-20 2008-04-30 Minebea Co Ltd An aerospace bearing component
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7816200B2 (en) 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8609206B2 (en) 2008-05-01 2013-12-17 Maxim Seleznev Continuous or discrete metallization layer on a ceramic substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US7573586B1 (en) 2008-06-02 2009-08-11 United Technologies Corporation Method and system for measuring a coating thickness
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075499A1 (en) 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
US8031346B2 (en) 2008-10-31 2011-10-04 Siemens Energy, Inc. Coating evaluation process
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8470460B2 (en) 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
US8658255B2 (en) 2008-12-19 2014-02-25 General Electric Company Methods for making environmental barrier coatings and ceramic components having CMAS mitigation capability
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
GB0902633D0 (en) 2009-02-18 2009-04-01 Rolls Royce Plc A method and an arrangement for vapour phase coating of an internal surface of at least one hollow article
US8721812B2 (en) 2009-04-07 2014-05-13 Rolls-Royce Corporation Techniques for controlling precipitate phase domain size in an alloy
US8198671B2 (en) 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
PL2427590T3 (pl) 2009-05-08 2018-11-30 Mt Coatings, Llc Urządzenie i sposoby tworzenia modyfikowanych powłok metalowych
EP2436037B1 (en) 2009-05-28 2020-04-15 Thin Film Electronics ASA Semiconductor devices on diffusion barrier coated substrates and methods of making the same
US8439137B1 (en) 2010-01-15 2013-05-14 Us Synthetic Corporation Superabrasive compact including at least one braze layer thereon, in-process drill bit assembly including same, and method of manufacture
JP5581805B2 (ja) 2010-05-24 2014-09-03 トヨタ自動車株式会社 ステンレス鋼材へのめっき方法及びそのめっき材
EP2392895B1 (en) 2010-06-01 2013-03-06 Tenaris Connections Ltd. Method for measurement of geometrical parameters of coated threaded joints
US20120024403A1 (en) 2010-07-30 2012-02-02 Hamilton Sundstrand Corporation Inorganic coke resistant coatings to prevent aircraft fuel system fouling
US9255327B2 (en) 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
DE102010040839B4 (de) 2010-09-15 2013-10-17 Osram Opto Semiconductors Gmbh Verfahren zum Herstellen eines elektronsichen Bauelements und elektronisches Bauelement
US8871297B2 (en) 2010-09-30 2014-10-28 Barry Barnett Method of applying a nanocrystalline coating to a gas turbine engine component
US8673397B2 (en) 2010-11-10 2014-03-18 General Electric Company Methods of fabricating and coating a component
KR101679721B1 (ko) 2010-12-13 2016-11-28 삼성전자주식회사 포토마스크 및 그 제조 방법
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US20120318773A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
US8779407B2 (en) 2012-02-07 2014-07-15 Intermolecular, Inc. Multifunctional electrode
JP6126852B2 (ja) 2012-02-21 2017-05-10 ハウメット コーポレイションHowmet Corporation ガスタービン部品のコーティング及びコーティング方法
US9187511B2 (en) 2012-05-01 2015-11-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-aluminum alloy deposition with titanium-tetrahydroaluminate bimetallic molecules
EP2733310A1 (de) 2012-11-16 2014-05-21 Siemens Aktiengesellschaft Modifizierte Oberfläche um ein Loch
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
GB2511768A (en) 2013-03-12 2014-09-17 Rolls Royce Plc Erosion Resistant Coating
US9252392B2 (en) 2013-03-14 2016-02-02 Applied Materials, Inc. Thin film encapsulation-thin ultra high barrier layer for OLED application
SG11201507165PA (en) 2013-04-10 2015-10-29 Picosun Oy Protecting a target pump interior with an ald coating
EP2986752B1 (de) 2013-04-16 2021-04-07 Oerlikon Surface Solutions AG, Pfäffikon Oxidationsschutzschicht auf chrombasis
WO2015047783A1 (en) 2013-09-24 2015-04-02 United Technologies Corporation Method of simultaneously applying three different diffusion aluminide coatings to a single part
EP3060695B1 (en) 2013-10-21 2019-12-11 United Technologies Corporation Ceramic attachment configuration and method for manufacturing same
CN104647828B (zh) 2013-11-21 2017-06-16 北京有色金属研究总院 一种Cr2O3和Al2O3复合梯度阻氢涂层及其制备方法和应用
EP3187618A1 (en) 2013-12-10 2017-07-05 Applied Materials, Inc. Evaporation source for organic material, deposition apparatus for depositing organic materials in a vacuum chamber having an evaporation source for organic material, and method for evaporating organic material
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
JP6774135B2 (ja) 2014-01-15 2020-10-21 サヴロック リミテッド クロム被覆を製造する方法および被覆物体
EP3094764A4 (en) 2014-01-15 2017-08-30 Savroc Ltd Method for producing chromium-containing multilayer coating and a coated object
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
WO2015171207A1 (en) 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
CN106661749B (zh) 2014-07-11 2020-06-05 萨夫罗克有限公司 含铬涂层,其制备方法以及涂覆物体
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US10072335B2 (en) 2014-08-29 2018-09-11 University Of Maryland, College Park Method of coating of object
JP2017531096A (ja) 2014-09-22 2017-10-19 スリーエム イノベイティブ プロパティズ カンパニー 防汚性を有する内燃機関構成部品及びその製造方法
US9620150B2 (en) 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer
RS59879B1 (sr) 2014-12-04 2020-03-31 Meotec Gmbh & Co Kg Komponenta turbopunjača, motor sa unutrašnjim sagorevanjem sa turbopunjačem i postupak proizvodnje komponente turbopunjača
US10141582B2 (en) * 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9683281B2 (en) 2015-04-10 2017-06-20 United Technologies Corporation Laser assisted oxide removal
US10282647B2 (en) 2015-05-05 2019-05-07 Massachusetts Institute Of Technology Substrate pre-scanning for high throughput microscopy
WO2016185234A1 (en) 2015-05-15 2016-11-24 Applied Materials, Inc. Masking device for use in a lithium deposition process in the manufacturing of thin film batteries, apparatus configured for a lithium deposition process, method for manufacturing electrodes of thin film batteries, and thin film battery
DE102015209824A1 (de) 2015-05-28 2016-12-01 BSH Hausgeräte GmbH Vorrichtung und Verfahren zur Bestimmung der Schmutzfracht in einer Spül- oder Waschflotte
KR20170063149A (ko) 2015-11-30 2017-06-08 재단법인 포항산업과학연구원 소재 표면 세정 장치 및 세정 방법
FR3044684B1 (fr) 2015-12-03 2017-12-08 Ge Energy Products France Snc Inhibiteurs de la corrosion vanadique a base d'yttrium et de magnesium
EP3205765A1 (en) 2016-02-11 2017-08-16 The Procter and Gamble Company Method of washing
KR101761736B1 (ko) 2016-02-29 2017-07-26 주식회사 성진케미칼 저농도 킬레이트제를 이용하는 친환경성 산화막 제거제 및 그 사용방법
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR102511828B1 (ko) 2016-06-29 2023-03-21 삼성전자주식회사 자기 메모리 소자의 제조 방법
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108966657B (zh) 2017-03-17 2020-10-23 应用材料公司 载体、真空系统和操作真空系统的方法
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10745282B2 (en) 2017-06-08 2020-08-18 Applied Materials, Inc. Diamond-like carbon film
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CA3093404A1 (en) 2018-03-09 2019-09-12 Merit Medical Systems, Inc. Ultrasound compatible inflatable vascular compression and related systems and methods
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11473197B2 (en) 2018-03-16 2022-10-18 Raytheon Technologies Corporation HPC and HPT disks coated by atomic layer deposition
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
EP3768875A4 (en) 2018-03-19 2021-12-15 Applied Materials, Inc. REACTOR FOR APPLYING A COATING ON INTERNAL SURFACES OF COMPONENTS
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP2022551859A (ja) 2019-10-08 2022-12-14 アプライド マテリアルズ インコーポレイテッド 航空宇宙用部品上に耐コーキング性保護コーティングを堆積させるための方法

Also Published As

Publication number Publication date
EP3959356A4 (en) 2023-01-18
US11732353B2 (en) 2023-08-22
TWI745941B (zh) 2021-11-11
WO2020219332A1 (en) 2020-10-29
TW202104639A (zh) 2021-02-01
EP3959356A1 (en) 2022-03-02
US20200340107A1 (en) 2020-10-29
US20230167546A1 (en) 2023-06-01

Similar Documents

Publication Publication Date Title
US11560804B2 (en) Methods for depositing coatings on aerospace components
TWI745941B (zh) 保護航太部件不受腐蝕和氧化之方法
US11794382B2 (en) Methods for depositing anti-coking protective coatings on aerospace components
US20230002897A1 (en) Methods for forming protective coatings containing crystallized aluminum oxide
US11739429B2 (en) Methods for refurbishing aerospace components
US20210156267A1 (en) Methods for depositing protective coatings on turbine blades and other aerospace components
CN111902566B (zh) 使用含铬薄膜保护金属部件以抗腐蚀的方法