TWI796358B - 選擇性蝕刻的自對準通孔製程 - Google Patents

選擇性蝕刻的自對準通孔製程 Download PDF

Info

Publication number
TWI796358B
TWI796358B TW107131273A TW107131273A TWI796358B TW I796358 B TWI796358 B TW I796358B TW 107131273 A TW107131273 A TW 107131273A TW 107131273 A TW107131273 A TW 107131273A TW I796358 B TWI796358 B TW I796358B
Authority
TW
Taiwan
Prior art keywords
dielectric material
selective removal
exposing
contact region
removal operation
Prior art date
Application number
TW107131273A
Other languages
English (en)
Other versions
TW201921459A (zh
Inventor
林永振
趙清軍
郢 張
和湧 黃
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201921459A publication Critical patent/TW201921459A/zh
Application granted granted Critical
Publication of TWI796358B publication Critical patent/TWI796358B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

可施行處理方法以暴露半導體基板上的接觸區。該方法可包括以下步驟:選擇性地使半導體基板上的第一金屬相對於暴露的第一介電材料凹陷。該方法可包括在凹陷的第一金屬和暴露的第一介電材料上形成襯墊。該方法可包括在襯墊上形成第二介電材料。該方法可包括在第二介電材料的選定區域上形成硬遮罩。該方法亦可包括選擇性地去除第二介電材料以暴露覆蓋凹陷的第一金屬的襯墊的一部分。

Description

選擇性蝕刻的自對準通孔製程
本技術係關於半導體系統、製程和設備。更具體言之,本技術係關於用於在半導體元件上形成和蝕刻材料層的系統和方法。
藉由在基板表面上複雜地產生圖案化的材料層的製程使積體電路成為可能。在基板上產生圖案化材料需要用於去除暴露材料的受控方法。化學蝕刻用於各種目的,包括將光阻劑中的圖案轉為下層、減薄層或減薄已經存在於表面上的特徵的橫向尺寸。通常希望具有蝕刻一種材料比另一種材料更快的蝕刻製程,例如,圖案轉移製程或單獨的材料去除。據說這樣的蝕刻製程對第一種材料具有選擇性。由於材料、電路和製程的多樣性,已經發展出具有對各種材料的選擇性的蝕刻製程。
基於製程中使用的材料,蝕刻製程可以被稱為濕式或乾式。濕式HF蝕刻相較於其他介電質和材料優先去除氧化矽。然而,濕式製程可能難以穿透一些限定的溝槽且還可能使剩餘材料變形。乾式蝕刻製程可能深入到複雜的特徵和溝槽中,但可能無法提供可接受的從由上而下(top-to-bottom)的剖面。隨著元件尺寸在下一代元件中不斷縮小,當在特定層中僅形成幾奈米材料時,選擇性可能發揮更大作用,尤其是當材料在電晶體形成中是關鍵時。儘管已經在各種材料之間發展了許多不同的蝕刻製程選擇性,但標準選擇性可能不再適用於當前和未來的元件規模。
因此,對可用於生產高品質元件和結構的改良系統和方法有其需求。本技術解決了這些和其他需求。
可施行處理方法以暴露半導體基板上的接觸區。該方法可包括以下步驟:選擇性地使半導體基板上的第一金屬相對於暴露的第一介電材料凹陷。該方法可包括以下步驟:在凹陷的第一金屬和暴露的第一介電材料上形成襯墊。該方法可包括在襯墊上形成第二介電材料。該方法可包括在第二介電材料的選定區域上形成硬遮罩。該方法亦可包括選擇性地去除第二介電材料以暴露覆蓋凹陷的第一金屬的襯墊的一部分。
在一些實施例中,該方法亦可包括在第二介電材料上形成第三介電材料。第三介電材料和第一介電材料可以是相同的材料。選擇性地去除第二介電材料可包括以下步驟:選擇性地去除第三介電材料和第二介電材料。用於選擇性去除的製程化學其特徵可在於對第二介電材料的選擇性大於對第三介電材料的選擇性。該方法亦可包括形成覆蓋硬遮罩的光阻圖案。該方法亦可包括施行第三介電材料的一部分的第一選擇性去除。第一選擇性去除可以不暴露第二介電材料。該方法亦可包括在第一選擇性去除操作之後去除光阻圖案。該方法亦可包括施行第二選擇性去除操作以去除第三介電材料的第二部分和第二介電材料。第一選擇性去除操作和第二選擇性去除操作可以為實質各向異性。第一選擇性去除操作和第二選擇性去除操作中的至少一個操作可包括使用電漿增強的含氟前驅物的乾式蝕刻。
本技術的實施例亦可包括暴露半導體基板上的接觸區的附加方法。該方法可包括選擇性地使半導體基板上的金屬相對於暴露的第一介電材料凹陷以形成一縫隙。該方法可包括形成第二介電材料以達到該第一介電材料的一高度,該第二介電材料覆蓋縫隙內的金屬。該方法可包括形成覆蓋第二介電材料和第一介電材料的第三介電材料。該方法可包括在第二介電材料的選定區域上形成硬遮罩。該方法亦可包括選擇性地去除第三介電材料和第二介電材料以暴露凹陷的金屬。
在一些實施例中,第三介電材料和第一介電材料可以是相同的材料。用於選擇性去除的製程化學其特徵可在於對第二介電材料的選擇性大於對第三介電材料的選擇性。該方法亦可包括形成覆蓋硬遮罩的光阻圖案。該方法亦可包括施行第三介電材料的一部分的第一選擇性去除。第一選擇性去除可以不暴露第二介電材料。該方法亦可包括在第一選擇性去除操作之後去除光阻圖案。該方法亦可包括施行第二選擇性去除操作以去除第三介電材料的第二部分和第二介電材料。第一選擇性去除操作和第二選擇性去除操作可以為實質各向異性。第一選擇性去除操作和第二選擇性去除操作中的至少一個操作可包括使用電漿增強的含氟前驅物的乾式蝕刻。
與傳統系統和技術相比,這種技術可提供許多益處。例如,較大的接觸暴露可減少邊緣放置誤差。另外,選擇性蝕刻製程可避免夾雜襯墊材料或額外的圖案化操作。結合以下說明和所附圖式更詳細地描述這些和其他實施例以及它們的許多優點和特徵。
在後段處理期間,可將金屬和其他導電材料填充到基板上的結構層中。隨著電晶體結構繼續縮小,且深寬比繼續增加,層之間暴露的接觸著陸區域(contact landing area)可能變得更為關鍵。為了保持足夠的導電性,可能需要一定的接觸面積。在光刻和圖案化期間,光阻區域和圖案化的小缺陷可能導致邊緣放置誤差,其中後續蝕刻製程偏移,且沒有充分地暴露下面的接觸墊。此外,許多傳統的蝕刻製程,如反應離子蝕刻(「RIE」)可能沒有足夠的選擇性來維持複雜的臨界尺寸。儘管是相對各向異性的製程,但RIE蝕刻仍可能具有導致側壁損失的選擇性。雖然在形成期間可能會考慮這種損失的預算,例如材料的過度形成,但是因為被蝕刻的結構內的區域具有不同的尺寸,因此計算一個區域中的損失量可能不適合於更大區域的損失量。因此,儘管在預算的一個部分中可能發生5nm的損耗,但是仍然可能發生6-7nm的較大部分的損失,從而導致製造期間的失配。
另外,RIE製程產生蝕刻副產物或聚合物殘留物,通常以濕式蝕刻製程去除蝕刻副產物或聚合物殘留物。此濕式蝕刻通常使側壁保護層過度蝕刻而超過臨界尺寸,這可能導致相鄰電晶體層的形成和間隔的問題,且進一步蝕刻低k氮化物間隔物和層間介電氧化物。因為RIE製程的選擇性可以在10:1的範圍內,所以可以過度蝕刻臨界接觸材料和其他結構材料。本技術可藉由使用自對準圖案化製程來克服這些問題,自對準圖案化製程確保實質或完全接近下面的金屬凹槽。另外,藉由使用特定材料和蝕刻化學物質,可形成改良的結構,其減少排隊時間(queue time)且可減少材料層的數量。
儘管其餘的揭示內容將利用所揭露的技術常規地識別特定的蝕刻製程,但是將容易理解到,該系統和方法同樣適用於在所述腔室中可能發生的沉積和清洗製程。因此,不應認為該技術僅限於與單獨的蝕刻製程一起使用。本揭示案將討論一種可能的系統和腔室,其可以根據本技術在示例性製程程序所述的操作之前與本技術一起用於施行某些去除操作。
1 繪示根據實施例的沉積、蝕刻、烘焙和固化腔室的處理系統100的一個實施例的俯視圖。在該圖示中,一對前開式晶圓傳送盒(FOUP)102供應各種尺寸的基板,這些基板由機械臂104接收並在放入(位於串聯區段109a-c中的)基板處理腔室108a-f之一之前放置在低壓保持區域106中。第二機械臂110可用於將基板晶圓從保持區域106移送到基板處理腔室108a-f並返回。每個基板處理腔室108a-f可以經配備以施行多種基板處理操作,除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、脫氣、定向和其他基板製程之外,其還包括本案所述之乾式蝕刻製程。
基板處理腔室108a-f可包括一個或多個系統部件,用於在基板晶圓上沉積、退火、固化和/或蝕刻介電膜。在一個配置中,兩對處理腔室(如108c-d和108e-f)可用於在基板上沉積介電材料,及第三對處理腔室(如108a-b)可用於蝕刻所沉積的介電質。在另一配置中,所有三對腔室(如108a-f)可經配置蝕刻基板上的介電膜。所述的製程中的任何一個或多個可在與不同實施例中所示的製造系統分開的腔室中進行。應當理解,系統100可以考慮用於介電膜的沉積、蝕刻、退火和固化腔室的附加配置。
2A 繪示示例性處理腔室系統200的截面圖,其中處理腔室內具有分隔的電漿產生區域。在膜蝕刻(如氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等)期間,製程氣體可通過氣體入口組件205流入第一電漿區域215。遠端電漿系統(RPS)201可以可選地被包含在系統中,且可處理第一氣體,第一氣體接著行進通過氣體入口組件205。入口組件205可包括兩個或更多個不同的氣體供應通道,其中第二通道(未圖示)可繞過RPS 201(如果包含的話)。
所示為冷卻板203、面板217、離子抑制器223、噴頭225和基板支撐件265(其上設置有基板255),且根據實施例可各自包括以上各者。基座265可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度,熱交換流體可在處理操作期間操作以加熱和/或冷卻基板或晶圓。也可使用嵌入式電阻加熱器元件來電阻加熱基座265的晶圓支撐盤(可包括鋁、陶瓷或其組合)以達到相對高的溫度,如從高達或約100℃加熱到約1100℃或以上。
面板217可以是方錐狀、圓錐形或窄頂部延伸到寬底部的其他類似結構。如圖所示,面板217也可以是平的,且包括用於分配處理氣體的複數個貫通道(through-channel)。根據RPS 201的使用,電漿產生氣體和/或電漿激發物質可穿過面板217中的複數個孔(如圖2B所示),以用於更均勻地輸送到第一電漿區域215中。
示例性配置可包括使氣體入口組件205向由面板217從第一電漿區域215所分隔的氣體供應區域258開始,使得氣體/物質流過面板217中的孔進入第一電漿區域215中。可選擇結構和操作特徵以防止電漿從第一電漿區域215大量回流到供應區域258、氣體入口組件205和流體供應系統210中。面板217或腔室的導電頂部與噴頭225顯示為具有絕緣環220在這些特徵之間,這允許AC電位相對於噴頭225和/或離子抑制器223施加到面板217。絕緣環220可位於面板217與噴頭225和/或離子抑制器223之間,使得能夠在第一電漿區域中形成電容耦合電漿(CCP)。擋板(未圖示)亦可位於第一電漿區域215中,或以其他方式與氣體入口組件205耦接,以影響通過氣體入口組件205進入區域的流體流動。
離子抑制器223可包括板或其他幾何形狀,其界定貫穿整個結構的複數個孔,複數個孔經配置抑制離子帶電物質自第一電漿區域215遷移出去,同時允許不帶電的中性或自由基物質通過離子抑制器223進入抑制器和噴頭之間的活化氣體輸送區域。在實施例中,離子抑制器223可包括具有各種孔配置的穿孔板。這些不帶電荷的物質可包括高活性物質,其與較低活性的載氣一起輸送通過孔。如上所述,可減少離子物質遷移通過孔,且在某些情況下可以完全抑制。控制通過離子抑制器223的離子物質的量可有利地增加對與下面的晶圓基板接觸的氣體混合物的控制,這接著可以增加對氣體混合物的沉積和/或蝕刻特性的控制。例如,調整氣體混合物的離子濃度可以顯著改變其蝕刻選擇性,如SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在施行沉積的替代實施例中,它還可以改變用於介電材料的保形-可流動式(conformal-to-flowable)沉積的平衡。
離子抑制器223中的複數個孔可經配置控制活化氣體(即離子、自由基和/或中性物質)通過離子抑制器223。例如,可控制孔的深寬比、或孔的直徑到長度、和/或孔的幾何形狀,使得通過離子抑制器223的活化氣體中的離子帶電物質的流動減少。離子抑制器223中的孔可包括面向電漿激發區域215的錐形部分,以及面向噴頭225的圓柱形部分。可調整圓柱形部分的形狀和尺寸以控制傳到噴頭225的離子物質的流動。可調節的電偏壓也可施加到離子抑制器223,作為附加構件以控制離子物質通過抑制器的流動。
離子抑制器223可用於減少或消除從電漿產生區域行進到基板的離子帶電物質的量。不帶電的中性和自由基物質仍然可通過離子抑制器中的開口以與基板反應。應當注意,在實施例中,在基板周圍的反應區域中可不完全消除離子帶電物質。在某些情況下,離子物質旨在到達基板以施行蝕刻和/或沉積製程。在這些情況下,離子抑制劑可幫助將反應區域中的離子物質的濃度控制在有助於該製程的程度。
噴頭225與離子抑制器223組合可允許存在於第一電漿區域215中的電漿避免直接激發基板處理區域233中的氣體,同時仍允許所激發的物質從腔室電漿區域215行進到基板處理區域233中。以這種方式,腔室可經配置以防止電漿接觸經蝕刻的基板255。這可以有利地保護在基板上圖案化的各種複雜結構和膜,如果基板上圖案化的各種複雜結構和膜與產生的電漿直接接觸,可能損壞、脫位(dislocate)或以其他方式翹曲。另外,當允許電漿接觸基板或接近基板水平面時,氧化物物質蝕刻的速率可能增加。因此,如果材料的暴露區域是氧化物,則可藉由使基板保持遠離電漿來進一步保護此材料。
處理系統可進一步包括電源供應240,電源供應240與處理腔室電耦接,以向面板217、離子抑制器223、噴頭225和/或基座265提供電力,以在第一電漿區域215或處理區域233中產生電漿。電源供應可經配置而根據所施行的製程向腔室輸送可調節的功率量。這樣的配置可允許可調諧電漿用於正在施行的製程中。與遠端電漿單元不同,遠端電漿單元通常具有開啟或關閉功能,而可調諧電漿可經配置向電漿區域215輸送特定功率量。這接著可允許發展特定的電漿特性,使得前驅物可以以特定方式解離,以增強這些前驅物產生的蝕刻分佈。
可在噴頭225上方的腔室電漿區域215或噴頭225下方的基板處理區域233中點燃電漿。在實施例中,在基板處理區域233中形成的電漿可以是以基座作為電極形成的DC偏壓電漿。電漿可存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的入流中產生自由基前驅物。通常在射頻(RF)範圍內的AC電壓可施加在處理腔室的導電頂部(如面板217)與噴頭225和/或離子抑制器223之間,以在沉積期間點燃腔室電漿區域215中的電漿。RF電源供應可產生13.56MHz的高RF頻率,但是也可單獨產生其他頻率或者與13.56MHz頻率組合產生其他頻率。
2B 繪示影響通過面板217的處理氣體分佈之特徵的詳盡視圖253。如圖2A和2B所示,面板217、冷卻板203和氣體入口組件205相交以界定氣體供應區域258,處理氣體可從氣體入口205輸送到氣體供應區域258中。氣體可填充氣體供應區域258且通過面板217中的孔259流到第一電漿區域215。孔259可經配置以實質單向的方式引導流動,使得處理氣體可流入處理區域233中,但是可在通過面板217之後部分地或完全地防止回流到氣體供應區域258中。
用於處理腔室部分200的氣體分配組件(如噴頭225)可被稱為雙通道噴頭(DCSH),且在圖3的實施例中另外詳述。雙通道噴頭可提供蝕刻製程,其允許在處理區域233外部分離蝕刻劑,以在被輸送到處理區域之前提供與腔室部件以及彼此有限的相互作用。
噴頭225可包括上板214和下板216。該等板可彼此耦接以在該等板之間界定容積218。該等板的耦接可提供通過上板和下板的第一流體通道219,以及通過下板216的第二流體通道221。形成的通道可經配置提供從容積218單獨經由第二流體通道221通過下板216的流體通路,且第一流體通道219可與該等板和第二流體通道221之間的容積218流體隔離。容積218可通過氣體分配組件225的側面流體地接近。
3 是根據實施例的與處理腔室一起使用的噴頭325的底部視圖。噴頭325可對應於圖2A所示的噴頭225。(表示第一流體通道219的視圖的)貫通孔365可具有複數個形狀和配置,以控制和影響前驅物通過噴頭225的流動。(表示第二流體通道221的視圖的)小孔375可實質均勻地分佈在噴頭的表面上,甚至實質均勻地分佈在貫通孔365中,且可有助於在前驅物離開噴頭時提供比其他配置更均勻的前驅物混合。
4 繪示蝕刻方法400,蝕刻方法400中的許多操作可例如在如前所述之腔室200中施行。方法400可包括在啟動方法之前的一個或多個操作,其包括前端處理、沉積、蝕刻、拋光、清洗或可在所述操作之前施行的任何其他操作。該方法可包括如圖中所示的多個可選操作,其可以或可以不與根據本技術的方法具體相關聯。例如,描述了許多操作以便提供更廣泛的結構形成範圍,但是這些對於本技術不是關鍵的,或者可以通過替代方法來施行,如下面將進一步討論的。方法400描述 5 中示意性示出的操作,將結合方法400的操作描述其圖示。應理解,圖5僅繪示部分示意圖,且基板可含有具有如圖中所示的態樣的任何數量的電晶體部分。
方法400可涉及將半導體結構發展到特定製造操作的可選操作。如圖5A所示,半導體結構可表示在操作405中已經在形成的結構上施行化學機械拋光操作之後的元件,或者在一些其他去除操作以形成半導體結構500的平坦或實質平坦的頂表面之後的元件。如圖所示,結構500可包括第一金屬505的部分以及第一介電材料510的部分。結構500可繪示半導體元件的中間部分,且可具有形成在所示部分下方的多個電晶體結構。例如,金屬505可包括接觸未圖示的下層結構的金屬化。示例性金屬可包括許多導電材料,其包括鈷、銅、釕、鎢或可用於在結構層之間提供導電性的任何其他材料。介電材料510可以是任何數量的介電質,其包括層間介電,例如低k材料、可流動氧化物、超低k材料或可在半導體基板的層之間和之內使用的任何其他材料。
在操作410,金屬材料505可從介電材料層510之間選擇性地凹陷。如圖5B所示,金屬材料可凹陷到可以是金屬的設計規格高度的一高度。例如,金屬505和介電質510中的一者或兩者可經形成為高於材料的最終設計高度的一高度。這可允許去除和增加形成操作以選擇性地凹陷和構建額外的結構以產生最終期望的結構。例如,最初形成的金屬可經形成為高於設計規格的高度,且可經形成為設計規格高度的至少約1.1倍。在一些實施例中,金屬505最初可經形成至設計規格高度的至少約1.3倍的高度,或者可經形成至至少約1.5倍、至少約1.7倍、至少約2.0倍、至少約2.3倍、至少約2.5倍、至少約2.7倍、至少約3.0倍、至少約3.5倍、至少約4.0倍或更多倍的高度,其取決於在該製程中施行的操作數量。作為一個非限制性示例,在指定50nm的設計高度的情況下,結構的高度可以是100nm,且使金屬凹陷可將高度減小50nm。
可在先前描述的腔室200中施行凹陷操作410,例如其可允許施行金屬選擇性蝕刻,金屬選擇性蝕刻可去除金屬505,同時保持第一介電材料510。可使用利用電漿或遠端電漿的乾式蝕刻製程來施行該製程,其可產生含鹵素前驅物(如含氟前驅物或含氯前驅物)的電漿流出物。該製程亦可在實施例中使用含氫前驅物,其也可被包括在遠端電漿中或者可繞過遠端電漿以與處理區域中的自由基含鹵素電漿流出物相互作用。
在實施例中,該製程可在約10Torr以下施行,且在實施例中可在約5Torr或以下的溫度下施行。在實施例中,該製程也可在約100℃以下的溫度下施行,且可在約50℃以下施行。如在腔室200或者在該腔室上的變化中施行的,或者在能夠施行類似操作的不同腔室中施行的,該製程可將金屬材料505去除到介電材料510的部分之間的特定高度。在實施例中,該製程可對金屬材料505相對於層間介電材料510具有大於或約10:1的選擇性,且在一些實施例中,可具有大於或約50:1、大於或約100:1、大於或約200:1、大於或約300:1、大於或約400:1、或者大於或約500:1的選擇性。對於方法400的其他操作中下面進一步討論的任何後續去除過程,可使用或實現類似的蝕刻製程或類似的選擇性。
在可選的操作415,可橫跨在結構500上形成襯墊材料515,如圖5C所示。襯墊材料可覆蓋所有暴露區域,且可在凹陷金屬505以及介電材料510上形成。可形成襯墊515在下面討論的後續操作中的作為蝕刻終止層。另外,根據在後續操作中使用的材料,如第二和/或第三介電材料,在一些實施例中可不包括襯墊515。在每次操作,將識別基於包含或不包含襯墊515的後續操作的差異。襯墊515可以是任何數量的材料,且在實施例中,襯墊515可以是氮化矽、碳氮化矽、金屬氧化物材料(包括氧化鋁),或者可以是可在圖案化結構上形成的其他材料。在一些實施例中,襯墊材料515可在凹陷結構上保形地形成,以允許形成小於幾微米的最小層。因此,在一些實施例中,儘管襯墊515可在原子層沉積製程中形成,但是亦可使用可以產生保形層的其他製程。
隨後形成襯墊515,當形成時,可在操作420中施行縫隙填充。如圖5D所示,第二介電材料520可形成或沉積在襯墊層515上方,以及可形成或沉積在由凹陷金屬505形成的溝槽內。可施行化學機械拋光操作以平坦化該結構以及使在該結構的凸起部分(如介電材料510)上方的襯墊層暴露。第二介電材料520可以是含矽材料,且可以是氧化矽、碳氧化矽或一些其他介電材料,如上所述額外的層間介電質。第二介電材料520可藉由任何數量的機制沉積,包括例如在可流動的化學氣相沉積製程中。在一些實施例中,第二介電質520可與第一介電質510不同,然而在其他實施例中,兩個介電材料可以是相同的。
在可選操作425,可形成覆蓋第二介電材料的第三介電材料525。如圖5E所示,可形成第三介電材料,第三介電材料覆蓋第二介電材料520以及襯墊材料515。考慮到後續步驟,可將第三介電材料形成到一定高度。例如,可使第三介電材料525形成到比覆蓋元件結構的下一階(level)中的金屬505而形成的第二金屬層的設計規格更高的高度。因此,第三介電質525的高度可以基於後續階的設計規格高度,以及可使第三介電質525形成到如先前針對初始結構所描述的設計規格以上的任何高度。在實施例中,第三介電材料525可以是先前識別的層間介電材料中的任何一者,且在一些實施例中可與第一介電材料510相同。
注意到第三介電材料525的形成是可選的操作,其可受到或不受到襯墊層515的形成的影響。例如,如果沒有形成襯墊層515,則可包括覆蓋金屬505的第二介電材料,且可形成覆蓋第一和第二介電材料的第三介電材料。這可促進下面進一步討論的去除操作。然而,當形成襯墊層515時,可去除第三介電材料525,或者可去除第二介電材料520,且可僅形成覆蓋襯墊515的單一介電質。這可能是因為襯墊可在後面的製造中作為蝕刻終止,這可允許僅形成單一介電材料,如下面將關於去除操作進一步所描述的。
在操作430,可在第三介電材料525上方形成遮罩材料530,如圖5F所示。遮罩材料530可以是適用於蝕刻製程的任何材料,其將提供對介電材料525的選擇性。在一個實例中,遮罩材料525可以是在第三介電材料525的某些區域上方圖案化的硬遮罩。例如,遮罩材料520可包括氮化鈦、碳化鎢或可在後續的去除操作期間保持的各種其他材料。遮罩材料可經圖案化以形成下層金屬505或第二介電材料520的暴露。遮罩可經圖案化而具有比金屬505的寬度更大的開口,以準備自對準製程。例如,如圖所示,可形成遮罩材料530以在介電材料520的部分區域上延伸,從而在一個或多個區域中完全暴露第二介電材料520。這可允許後續的處理在一個區域中形成金屬延伸部,而不接觸其他金屬區域,其可在以後的操作中接觸,或者保持與先前的形成製程不同。
可在可選操作435中施行光阻圖案535的形成,如圖5G所示。光阻可在遮罩材料530上方延伸,且可與遮罩材料的覆蓋範圍之外的第三介電材料525接觸。如此可允許施行雙鑲嵌蝕刻製程以形成溝槽和通孔結構,溝槽和通孔結構可在一個或多個區域中朝向金屬505的一部分延伸。可形成光阻以保持過大的接觸孔,這可允許介入層引導自對準製程。如此可確保在後續的圖案化中暴露金屬505的整個區域,而不需要各向同性的製程。在操作440,可一起施行移送蝕刻製程與第一選擇性蝕刻,以去除第三介電材料的一部分,如圖5H所示。
移送製程可選擇性地去除第三介電材料525的第一區域,其可形成雙鑲嵌結構的通孔部分。蝕刻深度可取決於第二介電材料520的高度,且可達到相當於第二介電材料520的高度的約100%或更少的深度。在一些實施例中,基於後續蝕刻製程的第三介電材料和第二介電材料之間的選擇性,高度可少於第二介電材料520的高度的100%,且在實施例中可少於約90%或為約90%、少於約80%或為約80%、少於約70%或為約70%、少於約60%或為約60%、少於約50%或為約50%、少於約40%或為約40%、少於約30%或為約30%、少於約20%或為約20%、少於約10%或為約10%或者更少。不管第一選擇性蝕刻的深度如何,蝕刻製程440可不暴露第二介電材料,或者可不施行蝕刻製程440到襯墊515或第一介電材料510的水平面。一旦施行蝕刻到足夠的深度,就可在可選操作445剝去(strip)光阻535。
可在操作450施行第二選擇性去除操作,如圖5I所示。如圖所示,可施行第二選擇性去除製程以各向異性地去除硬遮罩材料530的區域之間的所有第三介電材料,且可以進一步去除一個或多個區域中的所有縫隙填充材料或第二介電材料520。可如前所述在腔室200或在能夠選擇性地去除層間介電材料的一些其他蝕刻腔室中施行蝕刻操作。可使用選擇的化學物質來施行該製程以相對於硬遮罩材料530去除全部兩者介電材料。亦可選擇化學物質以及先前沉積的介電材料,以提供針對第二介電材料520高於第三介電材料525的選擇性。去除操作可暴露襯墊材料515並在第二介電材料520已被去除的地方產生縫隙540。另外,在沒有形成襯墊515的實施例中,去除操作可暴露金屬505。
藉由具有第二介電材料520對於第三介電材料525的選擇性,可在暴露時更快地去除第二介電材料520。取決於對襯墊的選擇性,當襯墊存在時,這可能不是很大的考量。然而,當沒有形成襯墊時,第二介電質和第三介電質之間的選擇性(其可與第一介電質相同或相似)可界定第一介電材料的部分510的邊緣剖面。當在金屬和第一介電材料上方沒有形成襯墊時,如果第二選擇性蝕刻的選擇性相對較低,則在第三介電材料525被去除時結構510可蝕刻。然而,隨著第二介電與第三或第一介電材料之間的選擇性改善,可產生部分510的較小斜面或蝕刻邊緣。
例如,如果第二介電材料520的縫隙填充為約50nm,則如果第二介電材料520之間的選擇性相對於第三介電材料和/或第一介電材料為至少50:1,則一旦暴露出第二介電材料520,就可去除第三或第一介電材料最小的量。因此,一旦暴露第二介電材料520,可完全去除第二介電材料520,而只需有限地額外去除其他暴露的介電材料。另外,在一些實施例中,第二介電材料520與第三和/或第一介電材料之間的第二蝕刻操作的選擇性可大於或約為100:1、大於或約為200:1、大於或約為300:1、大於或約為400:1、大於或約為500:1或更大。因此,在本技術的實施例中,可在有襯墊材料或在沒有襯墊材料的情況下生產如圖所示的剖面。
方法400可包括在可選操作455的額外處理。例如,額外的操作可包括在形成時去除襯墊515或沖孔穿過(punching through)襯墊515,且額外的操作可包括在形成的溝槽和通孔內形成額外的金屬化。藉由利用選擇性蝕刻(如在腔室200中),可施行限制方法中的層數和操作的處理流程。另外,可施行自對準製程,自對準製程在半導體元件的特定水平面處完全暴露來自一組金屬部分的一個或多個下面的接觸區。另外,可基於本技術調整或維持接觸材料的剖面和數量,這可改善導電性或降低由於傳統製程的殘留蝕刻終止層材料產生的電阻。最後,藉由對每個選擇性去除操作使用電漿增強蝕刻操作,可在本技術的實施例中在沒有反應離子蝕刻或濕式蝕刻的情況下施行方法400的選擇性去除操作。
在前面的描述中,出於解釋的目的,已經闡述了許多細節以提供對本技術的各種實施例的理解。然而,可在沒有這些細節中的部分或者有額外細節的情況下,對本發明所屬領域中具有通常知識者彰顯實施某些實施例。
已經揭露了若干實施例,本發明所屬領域中具有通常知識者將認識到,在不背離實施例精神的情況下,可使用各種變體、替代構造和等效物。此外,說明書中不對多種習知製程與元件做描述,以避免不必要地混淆了本發明。故,上述說明不應被視為對本發明範疇之限制。
當提供數值範圍時,除非文字中另外清楚指明,應知亦特定地揭露介於該範圍的上下限值之間各個區間值至下限值單位的最小部分。所陳述值或陳述範圍中之區間值以及與陳述範圍中任何其他陳述值或區間值之間的每個較小範圍也被涵蓋。這些較小範圍的上限值與下限值可獨立包含或排除於該範圍中,且各範圍(不管是包含其中一個、包含兩個或不含該上限值與下限值)皆涵蓋於本發明內所陳述之範圍中,除非有特別排除之限制。當所陳述之範圍包括該等極限值的其中一者或兩者,則也包括將那些所含極限值的任一者或二者排除的範圍。
除非上下文另有明確規定,否則如本說明書和所附請求項中所使用的單數形式「一」、「一個」和「該」包括複數指示物。因此,例如,「一層」所指的包括複數個這樣的層,以及「該前驅物」所指的包括一個或多個前驅物及發明所屬領域中具有通常知識者所習知的等效物等。
此外,當在本說明書及以下申請專利範圍中使用術語「包括」、「包含」、「含有」用於指定所述特徵、整體、部件或操作的存在,但是可不排除一個或多個其他特徵、整體、部件、操作、動作或群組的存在或增加。
100‧‧‧處理系統102‧‧‧前開式晶圓傳送盒(FOUP)104‧‧‧機械臂106‧‧‧保持區域108a-108f‧‧‧基板處理腔室109a-109c‧‧‧串聯區段200‧‧‧處理腔室系統201‧‧‧遠端電漿系統(RPS)203‧‧‧冷卻板205‧‧‧氣體入口組件210‧‧‧流體供應系統214‧‧‧上板215‧‧‧第一電漿區域216‧‧‧下板217‧‧‧面板218‧‧‧容積219‧‧‧第一流體通道220‧‧‧絕緣環221‧‧‧第二流體通道223‧‧‧離子抑制器225‧‧‧噴頭233‧‧‧基板處理區域240‧‧‧電源供應253‧‧‧詳盡視圖255‧‧‧基板258‧‧‧氣體供應區域259‧‧‧孔265‧‧‧基板支撐件325‧‧‧噴頭365‧‧‧貫通孔375‧‧‧小孔400‧‧‧蝕刻方法405‧‧‧操作410‧‧‧操作415‧‧‧操作420‧‧‧操作425‧‧‧操作430‧‧‧操作435‧‧‧操作440‧‧‧操作445‧‧‧操作450‧‧‧操作455‧‧‧操作500‧‧‧結構505‧‧‧第一金屬510‧‧‧第一介電材料515‧‧‧襯墊材料520‧‧‧第二介電材料525‧‧‧第三介電材料530‧‧‧遮罩材料535‧‧‧光阻圖案540‧‧‧縫隙
藉由參考本說明書的其他部分和圖式,可對所揭露技術的本質和優點有進一步理解。
圖1繪示根據本技術的實施例的示例性處理系統的俯視圖。
圖2A繪示根據本技術的實施例的示例性處理腔室的示意性截面圖。
圖2B繪示根據本技術的實施例的示例性噴頭的詳細視圖。
圖3繪示根據本技術的實施例的示例性噴頭的底部平面圖。
圖4繪示根據本技術的實施例的暴露接觸區的方法中的所選操作。
圖5A-5I繪示根據本技術的實施例的在其上施行所選操作的基板材料的示意性截面圖。
包括若干圖示作為示意圖。應當理解,圖示僅用於說明目的,且除非特別說明依比例圖示,否則不應視為依比例圖示。此外,作為示意圖,提供圖示是為了幫助理解,且可能不包括與實際表示相比的所有態樣或訊息,且可能因為說明目的而包括誇大的材料。
在所附圖示中,類似的部件和/或特徵可具有相同的參考標號。此外,可藉由在參考標號之後用區分相似部件的字母來區分相同類型的各種部件。如果在說明書中僅使用第一參考標號,則該描述適用於具有相同第一參考標號的任何一個類似部件,而與該字母無關。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
400‧‧‧蝕刻方法
405‧‧‧操作
410‧‧‧操作
415‧‧‧操作
420‧‧‧操作
425‧‧‧操作
430‧‧‧操作
435‧‧‧操作
440‧‧‧操作
445‧‧‧操作
450‧‧‧操作
455‧‧‧操作

Claims (15)

  1. 一種在一半導體基板上暴露一接觸區的方法,該方法包括以下步驟:選擇性地使該半導體基板上的一第一金屬相對於一暴露的第一介電材料凹陷(recess);在該凹陷的第一金屬和該暴露的第一介電材料上形成一襯墊;在該襯墊上形成一第二介電材料;在該第二介電材料上形成一第三介電材料,其中該第三介電材料和該第一介電材料是相同的材料;在該第二介電材料的選定區域上形成一硬遮罩;施行該第三介電材料的一部分的一第一選擇性去除,其中該第一選擇性去除不暴露該第二介電材料;及選擇性地去除該第二介電材料以暴露覆蓋在該凹陷的第一金屬上的該襯墊的一部分,其中選擇性地去除該第二介電材料的步驟包括以下步驟:選擇性地去除該第三介電材料和該第二介電材料。
  2. 如請求項1所述之暴露一接觸區的方法,其中用於選擇性去除的一製程化學其特徵在於對該第二介電材料的一選擇性大於對該第三介電材料的一選擇性。
  3. 如請求項1所述之暴露一接觸區的方法,進 一步包括以下步驟:形成覆蓋該硬遮罩的一光阻圖案。
  4. 如請求項3所述之暴露一接觸區的方法,進一步包括以下步驟:在該第一選擇性去除操作之後去除該光阻圖案。
  5. 如請求項4所述之暴露一接觸區的方法,進一步包括以下步驟:施行一第二選擇性去除操作以去除該第三介電材料的一第二部分和該第二介電材料。
  6. 如請求項5所述之暴露一接觸區的方法,其中該第一選擇性去除操作和該第二選擇性去除操作為各向異性(anisotropic)。
  7. 如請求項5所述之暴露一接觸區的方法,其中該第一選擇性去除操作或該第二選擇性去除操作包括使用一電漿增強的含氟前驅物的一乾式蝕刻。
  8. 一種在一半導體基板上暴露一接觸區的方法,該方法包括以下步驟:選擇性地使一半導體基板上的一金屬相對於一暴露的第一介電材料凹陷以形成一縫隙;形成一第二介電材料以達到該第一介電材料的一高度,該第二介電材料覆蓋該縫隙內的該金屬;形成覆蓋該第二介電材料和該第一介電材料的一第三介電材料; 在該第二介電材料的選定區域上形成一硬遮罩;及在一單一去除操作期間選擇性地去除該第三介電材料和該第二介電材料兩者以暴露該凹陷的金屬,其中用於選擇性去除的一製程化學其特徵在於對該第二介電材料的一選擇性大於對該第三介電材料的一選擇性。
  9. 如請求項8所述之暴露一接觸區的方法,其中該第三介電材料和該第一介電材料是相同的材料。
  10. 如請求項8所述之暴露一接觸區的方法,進一步包括以下步驟:形成覆蓋該硬遮罩的一光阻圖案。
  11. 如請求項10所述之暴露一接觸區的方法,進一步包括以下步驟:施行該第三介電材料的一部分的一第一選擇性去除,其中該第一選擇性去除不暴露該第二介電材料。
  12. 如請求項11所述之暴露一接觸區的方法,進一步包括以下步驟:在該第一選擇性去除操作之後去除該光阻圖案。
  13. 如請求項12所述之暴露一接觸區的方法,進一步包括以下步驟:施行一第二選擇性去除操作以去除該第三介電材料的一第二部分和該第二介電材料。
  14. 如請求項13所述之暴露一接觸區的方法,其中該第一選擇性去除操作和該第二選擇性去除操作為各向異性。
  15. 如請求項13所述之暴露一接觸區的方法,其中該第一選擇性去除操作或該第二選擇性去除操作包括使用一電漿增強的含氟前驅物的一乾式蝕刻。
TW107131273A 2017-09-18 2018-09-06 選擇性蝕刻的自對準通孔製程 TWI796358B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762560093P 2017-09-18 2017-09-18
US62/560,093 2017-09-18

Publications (2)

Publication Number Publication Date
TW201921459A TW201921459A (zh) 2019-06-01
TWI796358B true TWI796358B (zh) 2023-03-21

Family

ID=65720667

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107131273A TWI796358B (zh) 2017-09-18 2018-09-06 選擇性蝕刻的自對準通孔製程

Country Status (5)

Country Link
US (1) US10840138B2 (zh)
KR (1) KR102355958B1 (zh)
CN (1) CN111095525A (zh)
TW (1) TWI796358B (zh)
WO (1) WO2019055970A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019050735A1 (en) * 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
JP2022528697A (ja) 2019-04-08 2022-06-15 アプライド マテリアルズ インコーポレイテッド フォトレジストプロファイルを修正し、限界寸法を調整するための方法
WO2020214238A1 (en) 2019-04-16 2020-10-22 Applied Materials, Inc. Method of thin film deposition in trenches
US11629402B2 (en) 2019-04-16 2023-04-18 Applied Materials, Inc. Atomic layer deposition on optical structures
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
WO2023027827A1 (en) * 2021-08-24 2023-03-02 Applied Materials, Inc. Fully self aligned via integration processes
US11869808B2 (en) * 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150255284A1 (en) * 2013-12-18 2015-09-10 Robert L. Bristol Self-aligned via patterning with multi-colored photobuckets for back end of line (beol) interconnects
TW201635468A (zh) * 2014-12-22 2016-10-01 英特爾股份有限公司 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US6869879B1 (en) * 2000-11-03 2005-03-22 Advancedmicro Devices, Inc. Method for forming conductive interconnects
KR100386622B1 (ko) * 2001-06-27 2003-06-09 주식회사 하이닉스반도체 듀얼 다마신 배선 형성방법
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
KR100441685B1 (ko) * 2002-09-19 2004-07-27 삼성전자주식회사 듀얼 다마신 공정
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
KR100638972B1 (ko) 2003-12-31 2006-10-25 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법
KR100791694B1 (ko) * 2006-11-24 2008-01-03 동부일렉트로닉스 주식회사 듀얼 다마신을 이용한 금속 배선의 제조 방법
US20090321940A1 (en) 2008-06-30 2009-12-31 Gerhard Kunkel Method for Manufacturing Contact Openings, Method for Manufacturing an Integrated Circuit, an Integrated Circuit
EP3036757A4 (en) 2013-08-21 2017-03-29 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US9059257B2 (en) 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150255284A1 (en) * 2013-12-18 2015-09-10 Robert L. Bristol Self-aligned via patterning with multi-colored photobuckets for back end of line (beol) interconnects
TW201635468A (zh) * 2014-12-22 2016-10-01 英特爾股份有限公司 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構

Also Published As

Publication number Publication date
KR102355958B1 (ko) 2022-01-25
US10840138B2 (en) 2020-11-17
KR20200044978A (ko) 2020-04-29
TW201921459A (zh) 2019-06-01
WO2019055970A1 (en) 2019-03-21
CN111095525A (zh) 2020-05-01
US20190088543A1 (en) 2019-03-21

Similar Documents

Publication Publication Date Title
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
US20210217668A1 (en) Replacement contact process
US10529737B2 (en) Accommodating imperfectly aligned memory holes
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US20180261686A1 (en) Transistor sidewall formation process
US10854426B2 (en) Metal recess for semiconductor structures
TW201826383A (zh) 選擇性的SiN側向凹部
US11735467B2 (en) Airgap formation processes
TWI819802B (zh) 底部隔離之形成
US10170336B1 (en) Methods for anisotropic control of selective silicon removal
US10256112B1 (en) Selective tungsten removal
US11715780B2 (en) High performance and low power semiconductor device
US11488835B2 (en) Systems and methods for tungsten-containing film removal
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻