JP2022528697A - フォトレジストプロファイルを修正し、限界寸法を調整するための方法 - Google Patents

フォトレジストプロファイルを修正し、限界寸法を調整するための方法 Download PDF

Info

Publication number
JP2022528697A
JP2022528697A JP2021559362A JP2021559362A JP2022528697A JP 2022528697 A JP2022528697 A JP 2022528697A JP 2021559362 A JP2021559362 A JP 2021559362A JP 2021559362 A JP2021559362 A JP 2021559362A JP 2022528697 A JP2022528697 A JP 2022528697A
Authority
JP
Japan
Prior art keywords
photoresist
structural layer
sacrificial structural
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021559362A
Other languages
English (en)
Other versions
JPWO2020209939A5 (ja
Inventor
ミーナクシ グプタ,
ルイ チェン,
シュリーニヴァース ガッギラ,
カーティック ジャナキラマン,
ディワカール エヌ. ケッドラヤ,
ツーピン ホアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022528697A publication Critical patent/JP2022528697A/ja
Publication of JPWO2020209939A5 publication Critical patent/JPWO2020209939A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板を処理するための実施形態が提供され、フォトレジストをトリミングして、平滑な側壁表面を有するフォトレジストプロファイルを提供し、パターニングされたフィーチャ及び/又はその後に堆積される誘電体層の限界寸法を調整する方法を含む。この方法は、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、犠牲構造層上に粗いフォトレジストプロファイルを生成するために、フォトレジストをパターニングすることとを含みうる。この方法はまた、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、フォトレジストをプラズマでトリミングすることと、基板上に配置された、パターニングされたフィーチャを形成するために、犠牲構造層の第2の部分をエッチングすることと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む。【選択図】図3G

Description

[0001] 本開示の実施形態は、概して、半導体処理のための方法に関する。具体的には、本開示の実施形態は、フォトレジスト層をトリミングし、共形的な誘電体膜を堆積させるための方法に関する。
関連技術の説明
[0002] 誘電体層は、現代の半導体デバイスの製造におけるバリア層又はスペーサのような用途に使用されてきた。誘電体層は、原子層堆積(ALD)又は化学気相堆積(CVD)などの堆積プロセスを用いて、パターニングされた基板において、フィーチャ、例えばトレンチ又はビアの上に堆積させることができる。次いで、誘電体層を異方性エッチングして、フィーチャの両側にスペーサを形成する。ALDプロセスを用いてスペーサを堆積させる方法は、ALDプロセスの自己制御的な性質により、フィーチャにわたって共形層を提供しうるが、関連する反応機構により、CVDプロセスを用いて、パターン負荷がゼロであるパターニングされた基板及びブランケット基板にわたって、高共形誘電体層を形成することは困難であった。
[0003] フィーチャのスケーリングでは、193液浸リソグラフィ(193 immersion lithography)の制限のため、所望の限界寸法(CD)ターゲットが困難になりうる。CDターゲットと所望のプロファイルを達成するために、自己整合ダブルパターニング(SADP)や自己整合クオドルプルパターニング(SAQP)のようなマルチパターニングされた技術が、最先端の半導体デバイスを構築するための種々の用途に使用されている。フォトレジスト(PR)パターニングは、いくつかの方法で達成されうる。従来のプロセス・オブ・レコード(process of record:POR)の手法では、リソグラフィ-エッチング-リソグラフィ-エッチング(Litho-Etch-Litho-Etch:LELE)又はリソグラフィ-フリーズ-リソグラフィ-エッチング(Litho-Freeze-Litho-Etch:LFLE)のいずれかを使用して、パターンを下のハードマスクに転写する。他の手法では、自己整合マルチパターニングプロセス(self-aligned multiple patterning processes)が使用され、ハードマスクがPRでパターニングされ、共形的なスペーサが、フォトレジスト又は一般にマンドレルとして知られるスピン・オン・カーボン(spin on carbon)上に堆積される。自己整合マルチパターニング技術は、半導体デバイスの製造において高価なステップであるリソグラフィステップを排除するため、従来のパターニング技術と比較してコスト効率が良い。
[0004] PR露光と現像の間、PRプロファイルは側壁に沿って平滑ではなく、典型的には非常に波打った形状で粗い。このような平滑でないロファイルは、共形的なスペーサの堆積のように、続いて堆積した膜に移動する。即ち、共形スペーサは、下にあるPR層と同じ形状又はプロファイルに従い、類似の波状で粗い表面をとるだろう。スペーサのエッチングバックとPRマンドレルの除去後に、スペーサ側壁も非常に粗くなり、転写可能なパターンにより、デバイス及び線幅粗さの問題に多くのCD変動が誘起されるだろう。
[0005] 従って、フォトレジストをトリミングして、改良された平滑な表面を有するプロファイルを提供し、限界寸法を調整する方法が必要とされている。また、パターン負荷を低減した高アスペクト比のトレンチ内に誘電体層を堆積させる必要性もある。
[0006] 基板を処理するための実施形態が提供され、フォトレジストをトリミングして、平滑な側壁表面を有するフォトレジストプロファイルを提供し、パターニングされたフィーチャ及び/又はその後に堆積された誘電体層のための限界寸法(critical dimension:CD)を調整又は調節する方法を含む。
[0007] 1つ又は複数の実施形態では、この方法は、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、犠牲構造層上に粗いフォトレジストプロファイルを生成するために、フォトレジストをパターニングすることとを含む。この方法はまた、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、フォトレジストをプラズマでトリミングすることと、基板上に配置された、パターニングされたフィーチャを形成するために、犠牲構造層の第2の部分をエッチングすることと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む。いくつかの実施形態において、精密なフォトレジストプロファイルは、約10Åから約20Åの線幅粗さと、約10Åから約20Åの線エッジ粗さとを有する。他の実施形態では、フォトレジストのトリミング及び誘電体層の堆積は、PE-CVDチャンバなどの同じ処理チャンバ内で行われうる。
[0008] 上記で簡単に要約され、以下でより詳細に論じられる本開示の実施形態は、添付の図面に示される本開示の例示的な実施形態を参照することによって理解することができる。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が本開示の典型的な実施形態を例示しているにすぎず、よって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0009] 本明細書に記載及び説明される1つ又は複数の実施形態による方法を実行するために使用することができる基板処理システムの概略図を示す。 [0010] 本明細書に記載及び説明される1つ又は複数の実施形態による、例示的な自己整合ダブルパターニング(SADP)プロセスに関連するプロセスのフローチャートを示す。 [0011] A-Gは、本明細書に記載及び説明される1つ又は複数の実施形態による、図2に示されるプロセスによって様々な間隔で形成される構造の断面図を示す。
[0012] 理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。図面は、縮尺どおりに描かれておらず、明瞭性のために簡略化されることがある。1つの実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうることが想定される。
[0013] 基板を処理するための実施形態が提供され、フォトレジストをトリミングして、平滑な側壁表面を有するフォトレジストプロファイルを提供し、パターニングされた特徴及び/又はその後に堆積された誘電体層のための限界寸法(critical dimension:CD)を調整又は調節する方法を含む。この方法は、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、犠牲構造層上に粗いフォトレジストプロファイルを生成するために、フォトレジストをパターニングすることとを含みうる。この方法はまた、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、フォトレジストをプラズマでトリミングすることと、基板上に配置された、パターニングされた特徴を形成するために、犠牲構造層の第2の部分をエッチングすることと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む。いくつかの例では、フォトレジストをトリミングし、誘電体層を堆積させることは、プラズマ化学気相堆積(PE-CVD)チャンバのような、同じ処理チャンバ内で行われる。
[0014] 本開示の実施形態を実施するために使用されうる例示的なシステム及び/又はチャンバは、任意の適切な薄膜堆積システムを含みうる。適切なシステムの例は、DxZ(商標)処理チャンバ、PRODUCER HARP(商標)処理チャンバ、PRECISION 5000(登録商標)システム、PRODUCER(商標)システム、PRODUCER GT(商標)処理チャンバ、PRODUCER SE(商標)処理チャンバ、PRODUCER(商標)CVD処理チャンバ、PRODUCER SACVD(商標)処理チャンバ、及びPRODUCER(登録商標)XP PRECISION(商標)CVD処理チャンバを使用しうる、CENTURA(登録商標)システムを含み、これらは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から市販されている。PE-CVDプロセスを実行可能な他のツールもまた、本明細書に記載の実施形態から利益を得るように適合されうる。加えて、本明細書に記載のPE-CVDプロセスを可能にする任意のシステムを有利に使用することができる。1つ又は複数の実施形態では、リモートプラズマシステム(RPS)は、任意の処理チャンバと流体連結し、本明細書で記載及び説明する方法の間に利用することができる。本明細書に記載の装置の説明は、例示的なものであり、本明細書に記載の実施形態の範囲を限定するものとして理解又は解釈されるべきではない。このプロセスは、200mm、300mm、又は450mmの基板、又は半導体処理に適した他の媒体など、任意の基板上で実行されうる。
[0015] 図1は、本明細書に記載及び説明される実施形態による方法を実行するために使用できる基板処理システム132の概略図を示す。基板処理システム132は、ガスパネル130及びコントローラ110に連結された処理チャンバ100を含む。処理チャンバ100は、概して、内部処理空間126を画定する上部124、側部101、及び底部壁122を含む。基板190を支持するための支持ペデスタル150が、処理チャンバ100の内部処理空間126内に位置決めされる。支持ペデスタル150は、ステム160によって支持され、アルミニウム、セラミック、及びステンレス鋼のような他の適切な材料から製造することができる。支持ペデスタル150は、変位機構(図示せず)を用いて処理チャンバ100内部を垂直方向に移動されうる。
[0016] 支持ペデスタル150は、支持ペデスタル150の表面192上に支持された基板190の温度を制御するのに適した埋め込み式ヒータ要素170を含みうる。支持ペデスタル150は、電源106から埋め込み式ヒータ要素170に電流を印加することによって、抵抗加熱されうる。電源106から供給される電流は、コントローラ110によって調整され、埋め込み式ヒータ要素170によって生成される熱を制御し、よって膜堆積中に、基板190及び支持ペデスタル150を実質的に一定の温度に維持する。供給される電流は、支持ペデスタル150の温度を選択的に制御するように調整されうる。
[0017] 支持ペデスタル150の温度は、約30℃、約40℃、約50℃、約80℃、約100℃、約150℃、約200℃、約250℃、約300℃、又は約400℃から約450℃、約500℃、約600℃、約700℃、約800℃、又は約1000℃とされうる。例えば、支持ペデスタル150の温度は、約30℃から約1000℃、約50℃から約1000℃、約50℃から約800℃、約50℃から約700℃、約50℃から約600℃、約50℃から約550℃、約50℃から約500℃、約50℃から約400℃、約100℃から約1000℃、約100℃から約800℃、約100℃から約700℃、約100℃から約600℃、約100℃から約550℃、約100℃から約500℃、約100℃から約400℃、約200℃から約1000℃、約200℃から約800℃、約200℃から約700℃、約200℃から約600℃、約200℃から約550℃、約200℃から約500℃、約200℃から約400℃、約400℃から約1000℃、約400℃から約800℃、約400℃から約700℃、約400℃から約600℃、又は約400℃から約500℃でありうる。
[0018] 支持ペデスタル150の温度をモニタするために、熱電対などの温度センサ172が支持ペデスタル150に埋め込まれうる。測定された温度は、コントローラ110によって使用されて、埋め込み式ヒータ要素170に供給される電力を制御して、基板190を所望の温度に維持する。
[0019] 真空ポンプ102は、処理チャンバ100の底部に形成されたポートに連結される。真空ポンプ102は、処理チャンバ100内の所望のガス圧力を維持するために使用される。また、真空ポンプ102は、処理チャンバ100から処理後のガス及びプロセスの副生成物を排気する。
[0020] 複数の開孔128を有するガス分配アセンブリ120が、支持ペデスタル150の上方で、処理チャンバ100の上部に配置される。開孔128は、種々のプロセスガスの処理チャンバ100への流入を容易にするために、異なるサイズ、数、分布、形状、設計、及び直径を有しうる。ガス分配アセンブリ120は、プロセス中に種々のガスを内部処理空間126に供給可能にするガスパネル130に連結される。プラズマは、ガス分配アセンブリ120を出るプロセス混合ガスから形成されて、プロセスガスの熱分解を促進し、その結果、基板190の表面191上に材料が堆積する。
[0021] ガス分配アセンブリ120及び支持ペデスタル150は、内部処理空間126内に一対の離間した電極を形成しうる。1つ又は複数のRF電源140は、ガス分配アセンブリ120と支持ペデスタル150との間のプラズマの発生を容易にするために、ガス分配アセンブリ120に整合ネットワーク138を通してバイアス電位を提供されうる。あるいは、RF電源140及び整合ネットワーク138は、ガス分配アセンブリ120、支持ペデスタル150に連結されてもよく、又はガス分配アセンブリ120及び支持ペデスタル150の両方に連結されてもよく、又は処理チャンバ100の外部に配置されたアンテナ(図示せず)に連結されてもよい。1つ又は複数の実施形態では、RF電源140は、約50kHzから約13.6MHzの周波数で、約10ワットから約3,000ワットの範囲の電力を供給することができる。他の実施形態では、RF電源140は、約50kHzから約13.6MHzの周波数で、約500ワットから約1,800ワットの範囲の電力を供給することができる。
[0022] コントローラ110は、中央処理装置(CPU)112と、メモリ116と、プロセスシーケンスを制御し、ガスパネル130からのガス流を調整するために利用されるサポート回路114とを含む。CPU112は、産業用設定で使用されうる任意の形態の汎用コンピュータプロセッサでありうる。ソフトウェアルーチンは、メモリ116(ランダムアクセスメモリ、読出専用メモリ、フロッピー、若しくはハードディスクドライブなど)に、又はその他の形態のデジタルストレージに記憶されうる。サポート回路114は、通常、CPU112に連結され、キャッシュ、クロック回路、入力/出力システム、電源などを含みうる。コントローラ110と基板処理システム132の様々な構成要素との間の双方向通信は、信号バス118と総称される多数の信号ケーブルを通して処理される。
[0023] 図2は、本開示の実施形態による例示的な自己整合ダブルパターニング(SADP)プロセスに関連するプロセス200のフローチャートである。図3A~図3Gは、図2に示すプロセス200によって形成された構造の断面図を示す。自己整合ダブルパターニングプロセスは、例示の目的で選択され、変形例が考えられる。本開示の概念は、NANDフラッシュアプリケーション、DRAMアプリケーション、又はCMOSアプリケーションなどの様々な半導体プロセスで必要に応じて、保護スペーサ又は保護犠牲層を使用しうる、自己整合トリプルパターニング(SATP)プロセス、自己整合クオドルプルパターニング(SAQP)プロセス、ビア/孔縮小プロセス、バックエンドオブライン(BEOL)、又はこれらの任意の組合せなど、他の堆積プロセス又はパターニングスキームに等しく適用可能である。
[0024] プロセス200は、図3Aに示すように、基板300上に犠牲構造層320を形成することによってブロック202で開始する。犠牲構造層320は、上面323を有する。犠牲構造層320は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、又はポリシリコンなどのシリコンベースの材料でありうる。犠牲構造層320に使用される材料の選択は、その上に形成されるレジスト層に対するエッチング/アッシング速度に応じて変化しうることが企図される。
[0025] 基板300は単一体として図示されているが、基板300は、金属コンタクト、トレンチアイソレーション、ゲート、ビット線、又は任意の他の相互接続フィーチャなどの半導体デバイスを形成する際に使用される1つ又は複数の材料を含みうる。基板300は、結晶シリコン、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、ストレインドシリコン、シリコンゲルマニウム、タングステン、窒化チタン、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、低誘電率(low k)誘電体、及びこれらの任意の組合せのうちの1つ又は複数を含む材料又は層スタックでありうる。メモリ用途が所望される実施形態では、基板300は、シリコン基板材料、酸化物材料、及び窒化物材料を含んでもよく、間にポリシリコンが挟まれても挟まれなくてもよい。
[0026] ブロック204では、フォトレジスト材料のようなフォトレジスト層330が、犠牲構造層320の上面323の一部の上に堆積され、図3Bに描かれているように、所望のピッチでパターニングされる。フォトレジスト層330のセグメントの各々の間の側壁のようなフォトレジスト層330の表面331は、典型的には、エッチングされた後に、非常に粗く、波状であるか、さもなければ不均一である。
[0027] ブロック206では、フォトレジスト層330は、フォトレジストプロファイルを修正し、限界寸法(CD)を調節するために、プラズマプロセスによってトリミングされる。一旦トリミングされると、フォトレジスト層330は、図3Cに示されるように、フォトレジスト層330の各セグメントの側壁のような、平滑な、真っ直ぐな、又はそうでなければ平坦な表面332を有する。犠牲構造層320の上面323は、隣接する表面332の間を延び、基板300の外側エッジまで延びる。プラズマプロセスは、本明細書に記載され説明される任意の他の堆積プロセスと同じプラズマCVD(PE-CVD)チャンバ内で行うことができる。
[0028] プラズマは、高周波(RF)、マイクロ波、ECR、又はこれらの組み合わせなどの様々なソースを使用して連続モードで生成され、プラズマコースは、容量結合プラズマ(CCP)又は誘導結合プラズマ(ICP)であるか又はこれらを含みうる。プラズマ源は、連続プラズマでもパルスプラズマでもよい。プラズマは、約100kHzから約2.4GHzの周波数、及び約10ワットから約1,000ワットの電力で発生させることができる。プラズマのデューティサイクルは、約3%~100%でありうる。処理チャンバは、約10mTorrから約50Torrの内部チャンバ圧力を有しうる。トリミングプロセス中に、プラズマによって1つ又は複数のプロセスガス及び/又はキャリアガスが活性化され、フォトレジスト層330に曝露されうる。例示的なプロセスガスは、水素(H)、アンモニア(NH)、ヒドラジン(N)、亜酸化窒素(NO)、酸素(O)、1つ又は複数の炭化水素(例えば、メタン(CH)、エテン(C)、エチン(C))、三フッ化窒素(NF)、塩素(Cl)、窒素(N)、二酸化炭素(CO)、一酸化炭素(CO)、水又は水蒸気(HO)、これらのイオン、これらのプラズマ、若しくはこれらの任意の組み合わせでありうるか、又はこれらを含みうる。例示的なキャリアガスは、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、窒素(N)、又はこれらの任意の組み合わせでありうるか、又はこれらを含みうる。
[0029] 1つ又は複数の例では、マッシュルームヘッドを備える平らでない(例えば、波状又は粗い)フォトレジスト(PR)プロファイルを有するフォトレジスト(PR)層は、直線状又はわずかにテーパ状のPRプロファイルを有するようにトリミングされうる。いくつかの例では、PRをトリミングするためのプラズマプロセスは、次の条件で実行されうる。約5Torrの内部チャンバ圧力、プラズマが約13.56MHzの周波数を有するRF CCPであること、約200ワットのプラズマ出力、及び約20%のプラズマデューティサイクル。他の例では、PRをトリミングするためのプラズマプロセスは、次の条件で実行されうる。約0.5Torrの内部チャンバ圧力、プラズマが約2.4GHzの周波数を有するRF ICPであること、約500ワットのプラズマ出力、及び約100%のプラズマデューティサイクル。
[0030] プラズマプロセスの間、PRプロファイルは調節され、所望のCDが達成される。PRトリムプロファイル調整は、表面332上の線幅粗さ及び線エッジ粗さを改善するのに役立つ。1つ又は複数の実施形態では、表面332上の線幅粗さ及び線エッジ粗さの各々は、独立して、約10Å、約12Å、又は約15Åから、約16Å、約18Å、又は約20Åでありうる。例えば、表面332上の線幅粗さ及び線エッジ粗さの各々は、独立して、約10Åから約20Å、約10Åから約18Å、約10Åから約16Å、約10Åから約15Å、約12Åから約20Å、約12Åから約18Å、約12Åから約16Å、約12Åから約15Å、約15Åから約20Å、約15Åから約18Å、又は約15Åから約16Åでありうる。このプロファイル調整は、次世代最先端半導体デバイス上のスペーサマルチパターニング技術上にスペーサを実装するのに役立つ。
[0031] 1つ又は複数の実施形態では、ブロック208において、1つ又は複数の適切なフォトリソグラフィ及びエッチングプロセスが、マスクとしてフォトレジスト層330を使用して行われ、基板300上の犠牲構造層320からパターニングされたフィーチャ321を形成する。パターニングされたフィーチャ321は、約1:1から約50:1、例えば約2:1から約20:1、約3:1から約10:1、又は約4:1から約8:1のアスペクト比を有しうる。本開示における「アスペクト比」という用語は、パターニングされたフィーチャの高さ寸法対幅寸法の比を指す。
[0032] 基板300上のパターニングされたフィーチャ321は、パターニングされた領域301を提供し、一方、パターニングされたフィーチャ321がない開口領域は、図3Dに示されるように、ブランケット領域303を提供する。パターニングされたフィーチャ321は、例えば、ゲートスタック又はバイア若しくはトレンチなどの相互接続を形成するために使用されうる。パターニングされたフィーチャ321は、時にプレースホルダ、マンドレル又はコアと呼ばれることがあり、使用されるフォトレジスト材料に基づいて特定の線幅及び/又は間隔を有する。パターニングされたフィーチャ321の幅は、フォトレジスト層330にトリミングプロセスを施すことによって調整されうる。パターンが犠牲構造層320に転写された後に、任意の残留フォトレジスト及びハードマスク材料(使用される場合)は、適切なフォトレジスト剥離プロセスを使用して除去される。
[0033] 他の実施形態では、ブロック208におけるフォトリソグラフィ及びエッチングプロセスを省略することができ、1つ又は複数の誘電体層を、パターニングされたフィーチャ(図示せず)を形成するフォトレジスト層330上及び上方に共形的に直接堆積させることができる。これらのパターニングされたフィーチャは、約1:1から約50:1、例えば約3:1から約10:1のアスペクト比を有しうる。
[0034] ブロック210では、図3Eに示されるように、誘電体層340が、パターニングされたフィーチャ321(パターニングされた領域301)及び基板300の露出された上面325(ブランケット領域303)上に共形的に堆積される。誘電体層340は、後述する改良されたプロセス条件を用いて堆積される場合、基板300の表面にわたってパターン負荷が実質的にゼロである良好なステップカバレッジを達成するだろう。例えば、特性差の異なる表面間の膜厚さのパーセンテージは、3%未満である。典型的には、パターン負荷は、熱CVDプロセスに関しては高く、堆積された層は、密に充填された、パターニングされたフィーチャを有する領域よりもブランケット領域において厚くなることが多い。これは、部分的には、基板の露出表面積の差異、及び反応物の供給に関連する質量支配反応によるものである。パターニングされた領域、例えば、パターニングされた領域301は、誘電体層340が堆積される開口領域又はブランケット領域、例えば、ブランケット領域303よりも大きな堆積表面を有する。場合によっては、パターニングされた領域301は、2より大きい(約3、約4、約5、約8、約10、約15、約20、又はそれより大きい)乗法係数だけ、ブランケット領域303より大きい露出垂直表面を有しうる。基板の露出表面積の差によって、堆積速度が、パターニングされた領域301とブランケット領域303との間で変化しうる。
[0035] スペーサの用途では、フィーチャCDはスペーサの厚さによって決定されるので、パターンの負荷は異なる位置でCD変動をもたらすだろう。本開示の改善されたプロセス条件により、誘電体層340は、基板300のパターニングされた領域301及びブランケット領域303にわたって実質的に同じ堆積/反応速度で堆積させることができるようになる堆積/反応速度は、パターニングされた領域301とブランケット領域303の両方について同じであるので、これらの領域間の膜の厚さの変動はゼロであるか、又は最小限まで縮小することができる。その結果、誘電体層340は、パターニングされた領域301及びブランケット領域303の上に、ゼロパターン負荷で共形的に形成されうるか、又は別法で堆積されうる。
[0036] 本開示から利益を得ることができる誘電体層340は、酸化ケイ素、酸窒化ケイ素、又は窒化ケイ素などのケイ素含有誘電体材料を含む。誘電体層340は、膜特性を調整するために、炭素ドープされうる、水素ドープされうる、及び/又は他の化合物又は元素(n型又はp型ドーパントなど)を含みうる。いくつかの実施形態では、誘電体層340は、アモルファスカーボン(a-C)層などの炭素系材料でありうる。
[0037] 誘電体層340の堆積は、基板を低温で堆積前駆体に曝露することによって、処理チャンバ内で行われる。いくつかの実施態様において、誘電体層340の堆積プロセスにおける化学反応副生成物である調整ガスは、誘電体層340の堆積中に堆積前駆体と同時に(例えば、共流モードで)流される。堆積プロセス中に、基板処理領域にはプラズマ励起は存在しない。堆積前駆体は、用途に応じて、任意の適切な前駆体のうちの1つ又は複数でありうる。ケイ素含有誘電体材料が望ましい実施形態では、堆積前駆体は、ケイ素含有前駆体を含みうる。処理チャンバは、CVDチャンバ又は任意の適切な熱処理チャンバでありうる。
[0038] 適切なケイ素含有前駆体は、シラン、ハロゲン化されたシラン、オルガノシラン、及びこれらの任意の組み合わせを含みうる。シランは、シラン(SiH)、及びジシラン(Si)、トリシラン(Si)、及びテトラシラン(Si10)などの実験式Si(2x+2)を有する高級シラン、又は他の高級シラン、ポリクロロシランなどの1つ又は複数のクロロシラン、又はこれらの任意の組合せを含みうる。オクタメチルシクロテトラシロキサン(OMCTS)、メチルジエトキシシラン(MDEOS)、ビス(ターシャル-ブチルアミノ)シラン(BTBAS)、トリス(ジメチルアミノ)シラン(トリスDMAS)、テトラキス(ジメチルアミノ)シラン(テトラDMAS)、トリス(ジエチルアミノ)シラン(トリスDEAS)、テトラキス(ジエチルアミノ)シラン(テトラDEAS)、ジクロロシラン、トリクロロシラン、ジブロモシラン、四塩化ケイ素、四臭化ケイ素、又はこれらの組み合わせ、又は酸素対ケイ素の原子の比が0対約6である有機ケイ素化合物といった、他のケイ素含有前駆体も使用されうる。適切な有機ケイ素化合物は、シロキサン化合物、ハロゲン化されたシロキサン化合物(テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン、ヘキサクロロジシロキサン、及び/又はオクタクロロトリシロキサンなどの1つ又は複数のハロゲン部分(例えば、フッ化物、塩化物、臭化物、又はヨウ化物)を含む)、並びにアミノシラン(トリシルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、トリス(ジメチル-アミノ)クロロシラン、メチルシラトラン、又はこれらの任意の組合せなど)でありうる。
[0039] 誘電体層340が炭素系材料である実施形態では、堆積前駆体は、炭化水素化合物などの炭素含有前駆体でありうる。炭化水素化合物は、炭化水素化合物のフッ素誘導体、酸素誘導体、水酸基誘導体、及びホウ素含有誘導体を含む、炭化水素化合物の部分的に又は完全にドープされた誘導体でありうる。適切な炭化水素化合物は、例えば、メタン(CH)、エタン(C)、プロパン(C)、ブタン(C10)及びその異性体であるイソブタン、ペンタン(C12)及びその異性体であるイソペンタン及びネオペンタン、ヘキサン(C14)及びその異性体である2-メチルペンタン、3-メチルペンタン、2,3-ジメチルブタン、及び2,2-ジメチルブタンといったアルカン、この異性体、この誘導体、又はこの任意の組み合わせなどの化合物のうちの1つ又は複数を含みうる。更なる適切な炭化水素は、エチレン、プロピレン、ブチレン及びその異性体、ペンテン及びその異性体などのアルケン、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなどのジエンを含みうる。そして、ハロゲン化されたアルケンは、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含む。また、アセチレン(C)、プロピン(C)、ブチン(C)、ビニルアセチレン及びこれらの誘導体などのアルキンが、炭素前駆体として使用されうる。ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェニルアセチレン(C)、フェノール、クレゾール、フラン、アルファ-テルピネン、シメン、1,1,3,3-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチル-メタクリレート、及びt-ブチルフルフリルエーテルなどの追加の環状炭化水素、式C及び/又はCを有する化合物又はラジカル、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼン、ヘキサフルオロベンゼンなどを含むハロゲン化された芳香族化合物が使用されうる。四塩化炭素(CCl)、ジヨードメタン(CH)、1つ又は複数のクロロフルオロカーボン(CFC)、ブロモトリクロロメタン(BrCCl)、1,1-ジクロロエチレン、ブロモベンゼン、又はこれらの誘導体などのハロゲン化された炭化水素も使用されうる。
[0040] 調整ガスは、上述したように、誘電体層340の堆積プロセスにおける化学反応副生成物である。したがって、調整ガスは、堆積プロセス及び堆積前駆体に使用される化学物質に応じて選択される。調整ガスは、化学反応に関与してもしなくてもよい。堆積前駆体がシランを使用するケイ素含有前駆体である実施形態では、基板は堆積前駆体及び調整ガスに曝露され、堆積前駆体が反応して化学反応副生成物を形成する。このような場合、化学反応副生成物は調整ガスと同じである。例えば、SiH+2O→SiO+2HOの例示的な反応では、生成物はSiO及び水(HO)であり、副生成物は水素ガス(H)を含みうる。この場合、調整ガスは、水素ガスであってもよく、又は水素ガスを含んでもよい。
[0041] 堆積前駆体がケイ素含有前駆体であるか又はケイ素含有前駆体を含む実施形態では、ケイ素含有前駆体の流量は、処理チャンバ内にケイ素含有前駆体の分圧を提供するように制御される。処理チャンバ内の堆積前駆体の分圧は、基板の表面又はその近傍に存在する反応前駆体の濃度の指標である。300mm基板が使用される実施形態では、ケイ素含有前駆体は、約10sccmから約10,000sccm、例えば約20sccmから約5,000sccm、例えば約50sccmから約2,000sccmの流量で提供されうる。流量は、ケイ素含有前駆体の分圧が、約10Torrから約100Torr、例えば、約20Torrから約90Torr、約40Torrから約80Torr、又は約40Torrから約60Torrの範囲に制御されるように調整されうる。ケイ素含有前駆体の分圧がガス分子の平均自由行程長に悪影響を及ぼさず、したがってパターニングされたフィーチャ321間のトレンチ内への前駆体の拡散率に影響を及ぼさない限り、ケイ素含有前駆体の分圧は、より高く(例えば、100Torr以上)なりうる。
[0042] 同様に、調整ガスのための流量は、処理チャンバ内の調整ガスの分圧を提供するように制御される。調整ガスは、堆積前駆体とほぼ同じ流量で供給されうる。流量は、調整ガスの分圧が、約10Torrから約100Torr、例えば、約20Torrから約90Torr、約40Torrから約80Torr、又は約40Torrから約60Torrの範囲に制御されるように調整されうる。ケイ素含有前駆体及び調整ガスが処理チャンバ内に共流される様々な実施形態では、調整ガス及びケイ素含有前駆体は、約1:2から約1:6、例えば約1:4の体積流量比(調整ガス:ケイ素含有前駆体)で提供されうる。
[0043] いくつかの実施形態では、堆積プロセス中にキャリア/不活性ガスは使用されない。そのような場合、チャンバ圧力は、ケイ素含有前駆体の分圧、又は堆積前駆体と調整ガスとを組み合わせた圧力と実質的に同一でありうる。いずれの場合も、前駆体/調整ガスの流量及び増加した分圧により、前駆体/ガスが、パターニングされたフィーチャ321の間のトレンチの底部に拡散又は浸透可能となる。
[0044] 場合によっては、ケイ素含有前駆体を処理チャンバに運ぶために、キャリアガスが使用されうる。場合によっては、処理チャンバを特定の圧力に維持するのを助けるために、不活性ガスが使用されうる。場合によっては、誘電体層340の密度及び堆積速度を制御するために、希釈ガスが使用されうる。適切なキャリア/不活性/希釈ガスは、ヘリウム、アルゴン、水素(H)、アンモニア、窒素(N)、クリプトン、キセノン、又はこれらの任意の組み合わせを含みうる。場合によっては、ジボラン(B)、ホスフィン(PH)、アルシン(AsH)、又はこれらの任意の組合せなどのp型又はn型ドーパントガスが、堆積プロセス中に使用されうる。いずれの場合も、前駆体(例えば、堆積及び調整ガス)とキャリア/不活性/希釈ガス又はドーパントガスとの組み合わせが、処理チャンバの全圧を設定するために使用できる。
[0045] 全圧は、約20Torr、約30Torr、約50Torr、約80Torr、又は約100Torrから約150Torr、約200Torr、約250Torr、約300Torr、約400Torr、約500Torr、約600Torr、又はそれ以上でありうる。例えば、全圧は、約20Torrから約600Torr、約20Torrから約500Torr、約20Torrから約400Torr、約20Torrから約300Torr、約20Torrから約200Torr、約20Torrから約100Torr、約20Torrから約50Torr、約50Torrから約600Torr、約50Torrから約500Torr、約50Torrから約400Torr、約50Torrから約300Torr、約50Torrから約200Torr、約50Torrから約100Torr、約50Torrから約80Torr、約100Torrから約600Torr、約100Torrから約500Torr、約100Torrから約400Torr、約100Torrから約300Torr、約100Torrから約200Torr、又は約100Torrから約150Torrの範囲内にありうる。
[0046] 堆積プロセス中に、基板300は、約550℃以下、例えば約500℃以下、約250℃から約480℃などの温度に維持されうる。1つ又は複数の例では、基板300は、約350℃から約450℃の温度に維持される。基板300は、前駆体及び/又はガスが処理チャンバに進入するシャワーヘッド面板から、約50ミル、約80ミル、又は約100ミルから、約120ミル、約150ミル、約200ミル、約250ミル、又は約300ミルの間隔で配置されうる。前駆体/調整ガスが一定の流れに保たれるとき、基板とシャワーヘッド面板との間の間隔は、ガス速度を増加させるために制御することができ、これは次に、パターニングされたフィーチャ321の間のトレンチの底部への前駆体の拡散を助ける。1つ又は複数の実施形態では、前駆体/調整ガスが約0.1L/分~1L/分の速度で流されるように、流量及び間隔が制御されうる。いずれの場合も、分圧、基板温度、及び流量パラメータは、誘電体層340が約1Å/分から約600Å/分、例えば約2Å/分から約50Å/分の速度で堆積されるように調節されうる。
[0047] 堆積誘電体層340は、約50Å、約80Å、約100Å、又は約120Åから約150Å、約200Å、約250Å、約300Å、約400Å、約500Å、又は約600Åの厚さを有しうる。例えば、堆積された誘電体層340は、約50Åから約600Å、約50Åから約500Å、約50Åから約400Å、約50Åから約300Å、約50Åから約250Å、約50Åから約200Å、約50Åから約150Å、約50Åから約100Å、約100Åから約600Å、約100Åから約500Å、約100Åから約400Å、約100Åから約300Å、約100Åから約250Å、約100Åから約200Å、約100Åから約150Å、約200Åから約600Å、約200Åから約500Å、約200Åから約400Å、約200Åから約300Å、又は約200Åから約250Åの厚さを有しうる。
[0048] 本明細書に記載のプロセス条件は、異なるサイズの基板を処理するために修正できることに留意されたい。上記のプロセス条件は、炭素含有前駆体などの他の堆積前駆体に適用可能であり、又は誘電体層340の材料に応じて変更することができる。当業者は、所望の誘電体層を堆積させるために、流量及び/又は他のパラメータを変更することができる。
[0049] 1つ又は複数の堆積前駆体及び調整ガスの分圧を増加させることにより、堆積プロセスを飽和状態で進行させることが可能になり、これは、堆積反応がパターニングされた領域301及びブランケット領域303で起こるのに必要な量を上回る量で、堆積前駆体及び調整ガスが提供されることを意味する。1つ又は複数の実施形態では、堆積前駆体及び調整ガスは、パターニングされた領域301及びブランケット領域303で消費されうる堆積前駆体及び調整ガスの量よりも少なくとも20%以上、例えば約50%から約300%多い量で提供される。言い換えれば、パターニングされた領域301及びブランケット領域303は、過剰量の堆積前駆体及び調整ガスに曝露される。いかなる特定の理論によっても縛られることなく、飽和状態は、堆積前駆体及び調整ガスの供給が、パターニングされた領域301及びブランケット領域303の両方における前駆体の消費よりもはるかに高いので、パターニングされた領域301とブランケット領域303との間の厚さの変動を減少させうる。堆積前駆体の濃度がより高ければ、堆積速度が増し、調整ガス(例えば、堆積プロセスの化学反応副生成物)の濃度がより高ければ、所与の温度における堆積速度の低下につながりうるので、飽和状態により、堆積前駆体の濃度及び調整ガスの濃度が、パターニングされた領域301及びブランケット領域303にわたって同じレベルに維持できるようになるだろう。したがって、パターニングされた領域301とブランケット領域303との間の堆積速度は、実質的に同一であり、それによって、熱CVDプロセスに対する低減された又は実質的にゼロパターン負荷を達成することになる。
[0050] ブロック212では、ブロック210で議論された、改良された堆積プロセスを使用して、誘電体層340がパターニングされたフィーチャ321上に共形的に堆積された後に、誘電体層340の一部が異方性エッチング(垂直エッチング)され、パターニングされたフィーチャ321の側壁上に誘電体層340(又はその少なくとも一部)を残す。特に、パターニングされたフィーチャ321の上面327上の誘電体層340及び基板300の露出された表面(例えば、ブランケット領域303)上の誘電体層340は、パターニングされたフィーチャ321の上面327及び基板300の上面325を露出するために除去される。残りの誘電体層340は、したがって、側壁スペーサ341を形成し、図3Fに示されるように、パターニングされたフィーチャ321の側壁を保護する。誘電体層340の改良された堆積プロセスのために、形成された側壁スペーサ341は、基板表面にわたって均一な厚さを有し、マルチパターニング用途のために一定のCDを提供しうる。
[0051] ブロック214では、パターニングされたフィーチャ321は、プラズマエッチングプロセス又は他の適切な湿式ストリッピングプロセスを使用して除去され、図3Gに示されるように、基板300の上面325にわたって配置された側壁スペーサ341を残す。プラズマエッチングプロセスは、基板300をフッ素ベースのエッチング化学物質から発生したプラズマに接触させて、パターニングされたフィーチャ321を除去することによって実行されうる。エッチング化学物質は、側壁スペーサ341がエッチング/ストリッピングプロセス中に損傷を受けないように選択的である。パターニングされたフィーチャ321を除去すると、側壁スペーサ341は、下層、層スタック、又は構造をエッチングするためのハードマスクとして使用されうる。
[0052] 要約すると、本開示の実施形態は、基板を処理するための方法を提供する。1つ又は複数の実施形態では、方法は、平滑な側壁表面を有するフォトレジストプロファイルを提供し、パターニングされたフィーチャ及び/又はその後に堆積された誘電体層のための限界寸法(CD)を調整又は調節するために、フォトレジストをトリミングすることを含む。この方法は、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、犠牲構造層上に粗いフォトレジストプロファイルを生成するために、フォトレジストをパターニングすることとを含みうる。この方法はまた、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、フォトレジストをプラズマでトリミングすることと、基板上に配置された、パターニングされた特徴を形成するために、犠牲構造層の第2の部分をエッチングすることと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む。いくつかの例では、フォトレジストをトリミングし、誘電体層を堆積させることは、PE-CVDチャンバのような同じ処理チャンバ内で行われる。
[0053] 本開示の実施形態は更に、以下の段落1-16のうちの任意の1つ又は複数に関する。
[0054] 1.基板を処理するための方法であって、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、フォトレジストをパターニングして、犠牲構造層上に粗いフォトレジストプロファイルを生成することと、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマでフォトレジストをトリミングすること、犠牲構造層の第2の部分をエッチングして、基板上に配置された、パターニングされたフィーチャを形成することと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む、方法。
[0055] 2.基板を処理するための方法であって、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、フォトレジストをパターニングして、犠牲構造層上に粗いフォトレジストプロファイルを生成することと、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマでフォトレジストをトリミングすることであって、精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さと、約10Åから約20Åの線エッジ粗さとを有する、プラズマでフォトレジストをトリミングすることと、犠牲構造層の第2の部分をエッチングして、基板上に配置された、パターニングされたフィーチャを形成することと、犠牲構造層の第2の部分をエッチングして、基板上に配置された、パターニングされたフィーチャを形成することであって、フォトレジストをトリミングすることと、誘電体層を堆積させることとが、同じ処理チャンバ内で行われる、犠牲構造層の第2の部分をエッチングして、基板上に配置された、パターニングされたフィーチャを形成することとを含む、方法。
[0056] 3.基板を処理するための方法であって、基板上に犠牲構造層を堆積させることと、犠牲構造層上にフォトレジストを堆積させることと、フォトレジストをパターニングして、犠牲構造層上に粗いフォトレジストプロファイルを生成することと、犠牲構造層の第2の部分が露出されている間に、犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマでフォトレジストをトリミングすることであって、精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さと、約10Åから約20Åの線エッジ粗さとを有する、プラズマでフォトレジストをトリミングすることと、犠牲構造層の第2の部分をエッチングして、基板上に配置された、パターニングされたフィーチャを形成することと、パターニングされたフィーチャからフォトレジストを除去することと、パターニングされたフィーチャの上に誘電体層を堆積させることとを含む、方法。
[0057] 4.精密なフォトレジストプロファイルが、粗いフォトレジストプロファイルの側壁表面よりも平滑な又は波形の少ない表面を有する側壁表面を含む、段落1~3のいずれかに記載の方法。
[0058] 5.フォトレジストをトリミングすることが、パターニングされたフィーチャ又はその後に堆積された誘電体層の限界寸法を調節することを更に含む、段落1~4のいずれかに記載の方法。
[0059] 6.精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さを有する、段落1~5のいずれかに記載の方法。
[0060] 7.精密なフォトレジストプロファイルが、約10Åから約20Åの線エッジ粗さを有する、段落1~6のいずれかに記載の方法。
[0061] 8.フォトレジストをトリミングし、誘電体層を堆積させることが、同じ処理チャンバ内で行われる、段落1~7のいずれかに記載の方法。
[0062] 9.処理チャンバは、プラズマ化学気相堆積(PE-CVD)チャンバである、段落1~8のいずれかに記載の方法。
[0063] 10.プラズマが容量結合プラズマ(CCP)である、段落1~9のいずれかに記載の方法。
[0064] 11.プラズマが、誘導結合プラズマ(ICP)である、段落1~10のいずれかに記載の方法。
[0065] 12.プラズマが、約100kHzから約2.4GHzの範囲の周波数で生成される、段落1~11のいずれかに記載の方法。
[0066] 13.プラズマが、約10ワットから約1000ワットの範囲の電力で生成される、段落1~12のいずれかに記載の方法。
[0067] 14.プラズマが、約10mTorrから約50Torrの範囲の圧力を有する処理チャンバ内で生成される、段落1~13のいずれかに記載の方法。
[0068] 15.フォトレジストをトリミングすることが、フォトレジストを、水素(H)、アンモニア、亜酸化窒素、酸素(O)、炭化水素、三フッ化窒素、塩素(Cl)、窒素(N)、二酸化炭素、一酸化炭素、水、これらのイオン、これらのプラズマ、又はこれらの任意の組み合わせを含むプロセスガスに曝露すること更に含む、段落1~14のいずれかに記載の方法。
[0069] 16.パターニングされたフィーチャの上に誘電体層を堆積させる前に、パターニングされたフィーチャからフォトレジストを除去することを更に含む、段落1~15のいずれかに記載の方法。
[0070] 上記は、本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態が考案されてもよく、本開示の範囲は以下の特許請求の範囲によって決定される。本明細書に記載の全ての文書は、本文と矛盾しない範囲で、任意の優先文書及び/又は試験手順を含めて、参照により本明細書に組み込まれる。上記の一般的な説明及び特定の実施形態から明らかであるように、本開示の形態が図示及び説明されてきたが、本開示の主旨及び範囲から逸脱せずに、様々な修正が行われうる。したがって、本開示がそれによって限定されることは意図されていない。同様に、「備える(comprising)」という用語は、米国法の目的のための「含む(including)」という用語と同義であると考えられる。同様に、組成物、要素、又は要素のグループの前に、「備える(comprising)」という移行句が付く場合にはいつでも、組成物、要素(単数又は複数)の列挙の前に、「本質的に~からなる(consisting essentially of)」、「~からなる(consisting of)」、「~からなるグループから選択された(selected from the group of consisting of)」、又は「~である(is)」という移行句を有する同じ組成物又は要素のグループも意図されており、その逆もまた同じであると理解される。
[0071] 一組の数値上限及び一組の数値下限を使用して、特定の実施形態及びフィーチャが説明されてきた。別段の指示がない限り、任意の2つの値の組み合わせ、例えば、任意のより低い値と任意のより高い値との組み合わせ、任意の2つのより低い値の組み合わせ、及び/又は任意の2つのより高い値の組み合わせを含む範囲が企図されることを理解されたい。特定の下限、上限、及び範囲は、以下の1つ又は複数の特許請求の範囲に記載されている。

Claims (15)

  1. 基板を処理するための方法であって、
    前記基板上に犠牲構造層を堆積させることと、
    前記犠牲構造層上にフォトレジストを堆積させることと、
    前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
    前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることと、
    前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
    前記パターニングされたフィーチャの上に誘電体層を堆積させることと
    を含む、方法。
  2. 前記精密なフォトレジストプロファイルが、前記粗いフォトレジストプロファイルの側壁表面よりも平滑な又は波形の少ない表面を有する側壁表面を含む、請求項1に記載の方法。
  3. 前記フォトレジストをトリミングすることが、前記パターニングされたフィーチャ又はその後に堆積された前記誘電体層の限界寸法を調節することを更に含む、請求項1に記載の方法。
  4. 前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さと、約10Åから約20Åの線エッジ粗さとを有する、請求項1に記載の方法。
  5. 前記フォトレジストをトリミングすることと、前記誘電体層を堆積させることとが、同じ処理チャンバ内で行われる、請求項1に記載の方法。
  6. 前記処理チャンバが、プラズマ化学気相堆積チャンバである、請求項5に記載の方法。
  7. 前記プラズマが、約100kHzから約2.4GHzの範囲の周波数及び約10ワットから約1,000ワットの範囲の電力で生成され、前記プラズマが、約10mTorrから約50Torrの範囲の圧力を有する処理チャンバ内で生成される、請求項1に記載の方法。
  8. 前記フォトレジストをトリミングすることが、前記フォトレジストを、水素(H)、アンモニア、亜酸化窒素、酸素(O)、炭化水素、三フッ化窒素、塩素(Cl)、窒素(N)、二酸化炭素、一酸化炭素、水、これらのイオン、これらのプラズマ、又はこれらの任意の組み合わせを含むプロセスガスに曝露すること更に含む、請求項1に記載の方法。
  9. 前記パターニングされたフィーチャの上に前記誘電体層を堆積させる前に、前記パターニングされたフィーチャから前記フォトレジストを除去することを更に含む、請求項1に記載の方法。
  10. 基板を処理するための方法であって、
    前記基板上に犠牲構造層を堆積させることと、
    前記犠牲構造層上にフォトレジストを堆積させることと、
    前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
    前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることであって、前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さ及び約10Åから約20Åの線エッジ粗さを有する、プラズマで前記フォトレジストをトリミングすることと、
    前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
    前記パターニングされたフィーチャの上に誘電体層を堆積させることであって、前記フォトレジストをトリミングすることと、前記誘電体層を堆積させることとが、同じ処理チャンバ内で行われる、誘電体層を堆積させることと
    を含む、方法。
  11. 前記精密なフォトレジストプロファイルが、前記粗いフォトレジストプロファイルの側壁表面よりも平滑な又は波形の少ない表面を有する側壁表面を含む、請求項10に記載の方法。
  12. 前記フォトレジストをトリミングすることが、その後に堆積された前記誘電体層のための限界寸法を調節することを更に含む、請求項10に記載の方法。
  13. 前記プラズマが、約100kHzから約2.4GHzの範囲の周波数及び約10ワットから約1,000ワットの範囲の電力で生成され、前記プラズマが、約10mTorrから約50Torrの範囲の圧力を有する処理チャンバ内で生成される、請求項10に記載の方法。
  14. 前記フォトレジストをトリミングすることが、前記フォトレジストを、水素(H)、アンモニア、亜酸化窒素、酸素(O)、炭化水素、三フッ化窒素、塩素(Cl)、窒素(N)、二酸化炭素、一酸化炭素、水、これらのイオン、これらのプラズマ、又はこれらの任意の組み合わせを含むプロセスガスに曝露すること更に含む、請求項10に記載の方法。
  15. 基板を処理するための方法であって、
    前記基板上に犠牲構造層を堆積させることと、
    前記犠牲構造層上にフォトレジストを堆積させることと、
    前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
    前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることであって、前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さ及び約10Åから約20Åの線エッジ粗さを有する、プラズマで前記フォトレジストをトリミングすることと、
    前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
    前記パターニングされたフィーチャから前記フォトレジストを除去することと、
    前記パターニングされたフィーチャの上に誘電体層を堆積させることと
    を含む、方法。
JP2021559362A 2019-04-08 2020-02-21 フォトレジストプロファイルを修正し、限界寸法を調整するための方法 Pending JP2022528697A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962830759P 2019-04-08 2019-04-08
US62/830,759 2019-04-08
PCT/US2020/019151 WO2020209939A1 (en) 2019-04-08 2020-02-21 Methods for modifying photoresist profiles and tuning critical dimensions

Publications (2)

Publication Number Publication Date
JP2022528697A true JP2022528697A (ja) 2022-06-15
JPWO2020209939A5 JPWO2020209939A5 (ja) 2023-03-03

Family

ID=72663259

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021559362A Pending JP2022528697A (ja) 2019-04-08 2020-02-21 フォトレジストプロファイルを修正し、限界寸法を調整するための方法

Country Status (7)

Country Link
US (1) US11456173B2 (ja)
JP (1) JP2022528697A (ja)
KR (1) KR20210138119A (ja)
CN (1) CN113795908A (ja)
SG (1) SG11202110987UA (ja)
TW (1) TW202041700A (ja)
WO (1) WO2020209939A1 (ja)

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR100630677B1 (ko) * 2003-07-02 2006-10-02 삼성전자주식회사 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7846849B2 (en) * 2007-06-01 2010-12-07 Applied Materials, Inc. Frequency tripling using spacer mask having interposed regions
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
KR20130141550A (ko) * 2010-10-27 2013-12-26 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 선폭 거칠기를 조절하기 위한 방법들 및 장치
US20120318773A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US20170005297A1 (en) 2013-12-10 2017-01-05 Stefan Bangert Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10153191B2 (en) 2014-05-09 2018-12-11 Applied Materials, Inc. Substrate carrier system and method for using the same
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
JP2018521219A (ja) 2015-05-15 2018-08-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜バッテリの製造におけるリチウム堆積プロセスで使用されるマスキングデバイス、リチウム堆積プロセスのために構成された装置、薄膜バッテリの電極を製造するための方法、及び薄膜バッテリ
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
KR102140569B1 (ko) 2017-03-17 2020-08-03 어플라이드 머티어리얼스, 인코포레이티드 캐리어, 진공 시스템 및 진공 시스템을 동작시키는 방법
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading

Also Published As

Publication number Publication date
KR20210138119A (ko) 2021-11-18
WO2020209939A1 (en) 2020-10-15
TW202041700A (zh) 2020-11-16
SG11202110987UA (en) 2021-10-28
US20200321210A1 (en) 2020-10-08
CN113795908A (zh) 2021-12-14
US11456173B2 (en) 2022-09-27

Similar Documents

Publication Publication Date Title
US20200043722A1 (en) Cvd based spacer deposition with zero loading
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
JP2022529610A (ja) 多重スペーサパターニングのスキーム
US20240339316A1 (en) Processes for depositing sib films
US20200266064A1 (en) Method of processing a substrate
US11456173B2 (en) Methods for modifying photoresist profiles and tuning critical dimensions
JP2022513746A (ja) リンをドープされた窒化ケイ素膜の堆積方法
JP7579791B2 (ja) 基板を処理する方法
US20230335402A1 (en) Methods of forming thermally stable carbon film
JP2023533711A (ja) ハードマスク及びその他のパターニング応用のための高密度ドープ炭素膜を製造するための方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230221

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240813