JP2022528697A - フォトレジストプロファイルを修正し、限界寸法を調整するための方法 - Google Patents
フォトレジストプロファイルを修正し、限界寸法を調整するための方法 Download PDFInfo
- Publication number
- JP2022528697A JP2022528697A JP2021559362A JP2021559362A JP2022528697A JP 2022528697 A JP2022528697 A JP 2022528697A JP 2021559362 A JP2021559362 A JP 2021559362A JP 2021559362 A JP2021559362 A JP 2021559362A JP 2022528697 A JP2022528697 A JP 2022528697A
- Authority
- JP
- Japan
- Prior art keywords
- photoresist
- structural layer
- sacrificial structural
- plasma
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 126
- 238000000034 method Methods 0.000 claims abstract description 113
- 239000000758 substrate Substances 0.000 claims abstract description 71
- 238000012545 processing Methods 0.000 claims abstract description 56
- 238000000151 deposition Methods 0.000 claims abstract description 55
- 238000005530 etching Methods 0.000 claims abstract description 20
- 239000007789 gas Substances 0.000 claims description 59
- 210000002381 plasma Anatomy 0.000 claims description 55
- 230000008569 process Effects 0.000 claims description 55
- 238000009966 trimming Methods 0.000 claims description 30
- 238000000059 patterning Methods 0.000 claims description 19
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 10
- 150000002430 hydrocarbons Chemical class 0.000 claims description 10
- 238000005229 chemical vapour deposition Methods 0.000 claims description 9
- 239000000460 chlorine Substances 0.000 claims description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- 229910001868 water Inorganic materials 0.000 claims description 6
- 229910021529 ammonia Inorganic materials 0.000 claims description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 229930195733 hydrocarbon Natural products 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- 150000002500 ions Chemical class 0.000 claims description 4
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 4
- WKXNTUKZVVFSIN-UHFFFAOYSA-N S(=O)([O-])[O-].[NH4+].S(=O)(O)O.[NH4+].S(=O)(O)O Chemical compound S(=O)([O-])[O-].[NH4+].S(=O)(O)O.[NH4+].S(=O)(O)O WKXNTUKZVVFSIN-UHFFFAOYSA-N 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 2
- 238000010586 diagram Methods 0.000 abstract description 3
- 239000002243 precursor Substances 0.000 description 51
- 230000008021 deposition Effects 0.000 description 30
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 25
- 229910052710 silicon Inorganic materials 0.000 description 24
- 239000010703 silicon Substances 0.000 description 24
- 230000003750 conditioning effect Effects 0.000 description 21
- 125000006850 spacer group Chemical group 0.000 description 18
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 17
- 238000005137 deposition process Methods 0.000 description 14
- 239000000463 material Substances 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 12
- 230000036961 partial effect Effects 0.000 description 10
- 238000009826 distribution Methods 0.000 description 9
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 8
- -1 polychlorosilanes Chemical class 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 239000006227 byproduct Substances 0.000 description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 5
- 230000001105 regulatory effect Effects 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 description 4
- 239000001569 carbon dioxide Substances 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- ZQBFAOFFOQMSGJ-UHFFFAOYSA-N hexafluorobenzene Chemical compound FC1=C(F)C(F)=C(F)C(F)=C1F ZQBFAOFFOQMSGJ-UHFFFAOYSA-N 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- AFABGHUZZDYHJO-UHFFFAOYSA-N dimethyl butane Natural products CCCC(C)C AFABGHUZZDYHJO-UHFFFAOYSA-N 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- SSCVMVQLICADPI-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)silyl]methanamine Chemical compound CN(C)[Si](N(C)C)(N(C)C)N(C)C SSCVMVQLICADPI-UHFFFAOYSA-N 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 150000004756 silanes Chemical class 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- HNRMPXKDFBEGFZ-UHFFFAOYSA-N 2,2-dimethylbutane Chemical compound CCC(C)(C)C HNRMPXKDFBEGFZ-UHFFFAOYSA-N 0.000 description 2
- ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 2,3-dimethylbutane Chemical compound CC(C)C(C)C ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 0.000 description 2
- PFEOZHBOMNWTJB-UHFFFAOYSA-N 3-methylpentane Chemical compound CCC(C)CC PFEOZHBOMNWTJB-UHFFFAOYSA-N 0.000 description 2
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- HSFWRNGVRCDJHI-UHFFFAOYSA-N Acetylene Chemical compound C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- YNQLUTRBYVCPMQ-UHFFFAOYSA-N Ethylbenzene Chemical compound CCC1=CC=CC=C1 YNQLUTRBYVCPMQ-UHFFFAOYSA-N 0.000 description 2
- UEXCJVNBTNXOEH-UHFFFAOYSA-N Ethynylbenzene Chemical group C#CC1=CC=CC=C1 UEXCJVNBTNXOEH-UHFFFAOYSA-N 0.000 description 2
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- RRHGJUQNOFWUDK-UHFFFAOYSA-N Isoprene Chemical compound CC(=C)C=C RRHGJUQNOFWUDK-UHFFFAOYSA-N 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 2
- 150000001336 alkenes Chemical class 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- QARVLSVVCXYDNA-UHFFFAOYSA-N bromobenzene Chemical compound BrC1=CC=CC=C1 QARVLSVVCXYDNA-UHFFFAOYSA-N 0.000 description 2
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 2
- OCKPCBLVNKHBMX-UHFFFAOYSA-N butylbenzene Chemical compound CCCCC1=CC=CC=C1 OCKPCBLVNKHBMX-UHFFFAOYSA-N 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 2
- DVSDDICSXBCMQJ-UHFFFAOYSA-N diethyl 2-acetylbutanedioate Chemical compound CCOC(=O)CC(C(C)=O)C(=O)OCC DVSDDICSXBCMQJ-UHFFFAOYSA-N 0.000 description 2
- 238000007865 diluting Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- NNPPMTNAJDCUHE-UHFFFAOYSA-N isobutane Chemical compound CC(C)C NNPPMTNAJDCUHE-UHFFFAOYSA-N 0.000 description 2
- QWTDNUCVQCZILF-UHFFFAOYSA-N isopentane Chemical compound CCC(C)C QWTDNUCVQCZILF-UHFFFAOYSA-N 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- QPJVMBTYPHYUOC-UHFFFAOYSA-N methyl benzoate Chemical compound COC(=O)C1=CC=CC=C1 QPJVMBTYPHYUOC-UHFFFAOYSA-N 0.000 description 2
- CRSOQBOWXPBRES-UHFFFAOYSA-N neopentane Chemical compound CC(C)(C)C CRSOQBOWXPBRES-UHFFFAOYSA-N 0.000 description 2
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 2
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 2
- YHQGMYUVUMAZJR-UHFFFAOYSA-N α-terpinene Chemical compound CC(C)C1=CC=C(C)CC1 YHQGMYUVUMAZJR-UHFFFAOYSA-N 0.000 description 2
- PMJHHCWVYXUKFD-SNAWJCMRSA-N (E)-1,3-pentadiene Chemical compound C\C=C\C=C PMJHHCWVYXUKFD-SNAWJCMRSA-N 0.000 description 1
- LGXVIGDEPROXKC-UHFFFAOYSA-N 1,1-dichloroethene Chemical group ClC(Cl)=C LGXVIGDEPROXKC-UHFFFAOYSA-N 0.000 description 1
- SOZFIIXUNAKEJP-UHFFFAOYSA-N 1,2,3,4-tetrafluorobenzene Chemical compound FC1=CC=C(F)C(F)=C1F SOZFIIXUNAKEJP-UHFFFAOYSA-N 0.000 description 1
- GOYDNIKZWGIXJT-UHFFFAOYSA-N 1,2-difluorobenzene Chemical compound FC1=CC=CC=C1F GOYDNIKZWGIXJT-UHFFFAOYSA-N 0.000 description 1
- UROUUEDWXIQAAY-UHFFFAOYSA-N 2-[(2-methylpropan-2-yl)oxymethyl]furan Chemical compound CC(C)(C)OCC1=CC=CO1 UROUUEDWXIQAAY-UHFFFAOYSA-N 0.000 description 1
- QTWJRLJHJPIABL-UHFFFAOYSA-N 2-methylphenol;3-methylphenol;4-methylphenol Chemical compound CC1=CC=C(O)C=C1.CC1=CC=CC(O)=C1.CC1=CC=CC=C1O QTWJRLJHJPIABL-UHFFFAOYSA-N 0.000 description 1
- PKXHXOTZMFCXSH-UHFFFAOYSA-N 3,3-dimethylbut-1-ene Chemical group CC(C)(C)C=C PKXHXOTZMFCXSH-UHFFFAOYSA-N 0.000 description 1
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- 235000001674 Agaricus brunnescens Nutrition 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- WSTYNZDAOAEEKG-UHFFFAOYSA-N Mayol Natural products CC1=C(O)C(=O)C=C2C(CCC3(C4CC(C(CC4(CCC33C)C)=O)C)C)(C)C3=CC=C21 WSTYNZDAOAEEKG-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- IPBVNPXQWQGGJP-UHFFFAOYSA-N acetic acid phenyl ester Natural products CC(=O)OC1=CC=CC=C1 IPBVNPXQWQGGJP-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 150000001491 aromatic compounds Chemical class 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000005513 bias potential Methods 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 150000001649 bromium compounds Chemical class 0.000 description 1
- XNNQFQFUQLJSQT-UHFFFAOYSA-N bromo(trichloro)methane Chemical compound ClC(Cl)(Cl)Br XNNQFQFUQLJSQT-UHFFFAOYSA-N 0.000 description 1
- 239000001273 butane Substances 0.000 description 1
- WFYPICNXBKQZGB-UHFFFAOYSA-N butenyne Chemical group C=CC#C WFYPICNXBKQZGB-UHFFFAOYSA-N 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 229930003836 cresol Natural products 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- AQEFLFZSWDEAIP-UHFFFAOYSA-N di-tert-butyl ether Chemical compound CC(C)(C)OC(C)(C)C AQEFLFZSWDEAIP-UHFFFAOYSA-N 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- VJIYRPVGAZXYBD-UHFFFAOYSA-N dibromosilane Chemical compound Br[SiH2]Br VJIYRPVGAZXYBD-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 150000001993 dienes Chemical class 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- NZZFYRREKKOMAT-UHFFFAOYSA-N diiodomethane Chemical compound ICI NZZFYRREKKOMAT-UHFFFAOYSA-N 0.000 description 1
- OMBRFUXPXNIUCZ-UHFFFAOYSA-N dioxidonitrogen(1+) Chemical compound O=[N+]=O OMBRFUXPXNIUCZ-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000001810 electrochemical catalytic reforming Methods 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 230000005496 eutectics Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 150000002221 fluorine Chemical class 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- 150000002367 halogens Chemical group 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- AHAREKHAZNPPMI-UHFFFAOYSA-N hexa-1,3-diene Chemical compound CCC=CC=C AHAREKHAZNPPMI-UHFFFAOYSA-N 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000004694 iodide salts Chemical class 0.000 description 1
- 239000001282 iso-butane Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229940095102 methyl benzoate Drugs 0.000 description 1
- 229940102838 methylmethacrylate Drugs 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical class CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- NCAKWMZPHTZJOT-UHFFFAOYSA-N n-[bis(diethylamino)silyl]-n-ethylethanamine Chemical compound CCN(CC)[SiH](N(CC)CC)N(CC)CC NCAKWMZPHTZJOT-UHFFFAOYSA-N 0.000 description 1
- YLZCZVGQEADVNK-UHFFFAOYSA-N n-[chloro-bis(dimethylamino)silyl]-n-methylmethanamine Chemical compound CN(C)[Si](Cl)(N(C)C)N(C)C YLZCZVGQEADVNK-UHFFFAOYSA-N 0.000 description 1
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 1
- GURMJCMOXLWZHZ-UHFFFAOYSA-N n-ethyl-n-[tris(diethylamino)silyl]ethanamine Chemical compound CCN(CC)[Si](N(CC)CC)(N(CC)CC)N(CC)CC GURMJCMOXLWZHZ-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 150000003961 organosilicon compounds Chemical class 0.000 description 1
- 150000002926 oxygen Chemical class 0.000 description 1
- 229940049953 phenylacetate Drugs 0.000 description 1
- WLJVXDMOQOGPHL-UHFFFAOYSA-N phenylacetic acid Chemical compound OC(=O)CC1=CC=CC=C1 WLJVXDMOQOGPHL-UHFFFAOYSA-N 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical compound CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- AIFMYMZGQVTROK-UHFFFAOYSA-N silicon tetrabromide Chemical compound Br[Si](Br)(Br)Br AIFMYMZGQVTROK-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- QHAHOIWVGZZELU-UHFFFAOYSA-N trichloro(trichlorosilyloxy)silane Chemical compound Cl[Si](Cl)(Cl)O[Si](Cl)(Cl)Cl QHAHOIWVGZZELU-UHFFFAOYSA-N 0.000 description 1
- CLXMTJZPFVPWAX-UHFFFAOYSA-N trichloro-[dichloro(trichlorosilyloxy)silyl]oxysilane Chemical compound Cl[Si](Cl)(Cl)O[Si](Cl)(Cl)O[Si](Cl)(Cl)Cl CLXMTJZPFVPWAX-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 235000012431 wafers Nutrition 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/32—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
[0002] 誘電体層は、現代の半導体デバイスの製造におけるバリア層又はスペーサのような用途に使用されてきた。誘電体層は、原子層堆積(ALD)又は化学気相堆積(CVD)などの堆積プロセスを用いて、パターニングされた基板において、フィーチャ、例えばトレンチ又はビアの上に堆積させることができる。次いで、誘電体層を異方性エッチングして、フィーチャの両側にスペーサを形成する。ALDプロセスを用いてスペーサを堆積させる方法は、ALDプロセスの自己制御的な性質により、フィーチャにわたって共形層を提供しうるが、関連する反応機構により、CVDプロセスを用いて、パターン負荷がゼロであるパターニングされた基板及びブランケット基板にわたって、高共形誘電体層を形成することは困難であった。
Claims (15)
- 基板を処理するための方法であって、
前記基板上に犠牲構造層を堆積させることと、
前記犠牲構造層上にフォトレジストを堆積させることと、
前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることと、
前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
前記パターニングされたフィーチャの上に誘電体層を堆積させることと
を含む、方法。 - 前記精密なフォトレジストプロファイルが、前記粗いフォトレジストプロファイルの側壁表面よりも平滑な又は波形の少ない表面を有する側壁表面を含む、請求項1に記載の方法。
- 前記フォトレジストをトリミングすることが、前記パターニングされたフィーチャ又はその後に堆積された前記誘電体層の限界寸法を調節することを更に含む、請求項1に記載の方法。
- 前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さと、約10Åから約20Åの線エッジ粗さとを有する、請求項1に記載の方法。
- 前記フォトレジストをトリミングすることと、前記誘電体層を堆積させることとが、同じ処理チャンバ内で行われる、請求項1に記載の方法。
- 前記処理チャンバが、プラズマ化学気相堆積チャンバである、請求項5に記載の方法。
- 前記プラズマが、約100kHzから約2.4GHzの範囲の周波数及び約10ワットから約1,000ワットの範囲の電力で生成され、前記プラズマが、約10mTorrから約50Torrの範囲の圧力を有する処理チャンバ内で生成される、請求項1に記載の方法。
- 前記フォトレジストをトリミングすることが、前記フォトレジストを、水素(H2)、アンモニア、亜酸化窒素、酸素(O2)、炭化水素、三フッ化窒素、塩素(Cl2)、窒素(N2)、二酸化炭素、一酸化炭素、水、これらのイオン、これらのプラズマ、又はこれらの任意の組み合わせを含むプロセスガスに曝露すること更に含む、請求項1に記載の方法。
- 前記パターニングされたフィーチャの上に前記誘電体層を堆積させる前に、前記パターニングされたフィーチャから前記フォトレジストを除去することを更に含む、請求項1に記載の方法。
- 基板を処理するための方法であって、
前記基板上に犠牲構造層を堆積させることと、
前記犠牲構造層上にフォトレジストを堆積させることと、
前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることであって、前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さ及び約10Åから約20Åの線エッジ粗さを有する、プラズマで前記フォトレジストをトリミングすることと、
前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
前記パターニングされたフィーチャの上に誘電体層を堆積させることであって、前記フォトレジストをトリミングすることと、前記誘電体層を堆積させることとが、同じ処理チャンバ内で行われる、誘電体層を堆積させることと
を含む、方法。 - 前記精密なフォトレジストプロファイルが、前記粗いフォトレジストプロファイルの側壁表面よりも平滑な又は波形の少ない表面を有する側壁表面を含む、請求項10に記載の方法。
- 前記フォトレジストをトリミングすることが、その後に堆積された前記誘電体層のための限界寸法を調節することを更に含む、請求項10に記載の方法。
- 前記プラズマが、約100kHzから約2.4GHzの範囲の周波数及び約10ワットから約1,000ワットの範囲の電力で生成され、前記プラズマが、約10mTorrから約50Torrの範囲の圧力を有する処理チャンバ内で生成される、請求項10に記載の方法。
- 前記フォトレジストをトリミングすることが、前記フォトレジストを、水素(H2)、アンモニア、亜酸化窒素、酸素(O2)、炭化水素、三フッ化窒素、塩素(Cl2)、窒素(N2)、二酸化炭素、一酸化炭素、水、これらのイオン、これらのプラズマ、又はこれらの任意の組み合わせを含むプロセスガスに曝露すること更に含む、請求項10に記載の方法。
- 基板を処理するための方法であって、
前記基板上に犠牲構造層を堆積させることと、
前記犠牲構造層上にフォトレジストを堆積させることと、
前記犠牲構造層上に粗いフォトレジストプロファイルを生成するために、前記フォトレジストをパターニングすることと、
前記犠牲構造層の第2の部分が露出されている間に、前記犠牲構造層の第1の部分を覆う精密なフォトレジストプロファイルを生成するために、プラズマで前記フォトレジストをトリミングすることであって、前記精密なフォトレジストプロファイルが、約10Åから約20Åの線幅粗さ及び約10Åから約20Åの線エッジ粗さを有する、プラズマで前記フォトレジストをトリミングすることと、
前記基板上に配置された、パターニングされたフィーチャを形成するために、前記犠牲構造層の前記第2の部分をエッチングすることと、
前記パターニングされたフィーチャから前記フォトレジストを除去することと、
前記パターニングされたフィーチャの上に誘電体層を堆積させることと
を含む、方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962830759P | 2019-04-08 | 2019-04-08 | |
US62/830,759 | 2019-04-08 | ||
PCT/US2020/019151 WO2020209939A1 (en) | 2019-04-08 | 2020-02-21 | Methods for modifying photoresist profiles and tuning critical dimensions |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2022528697A true JP2022528697A (ja) | 2022-06-15 |
JPWO2020209939A5 JPWO2020209939A5 (ja) | 2023-03-03 |
Family
ID=72663259
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021559362A Pending JP2022528697A (ja) | 2019-04-08 | 2020-02-21 | フォトレジストプロファイルを修正し、限界寸法を調整するための方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US11456173B2 (ja) |
JP (1) | JP2022528697A (ja) |
KR (1) | KR20210138119A (ja) |
CN (1) | CN113795908A (ja) |
SG (1) | SG11202110987UA (ja) |
TW (1) | TW202041700A (ja) |
WO (1) | WO2020209939A1 (ja) |
Family Cites Families (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6379466B1 (en) | 1992-01-17 | 2002-04-30 | Applied Materials, Inc. | Temperature controlled gas distribution plate |
US5950925A (en) | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6730175B2 (en) | 2002-01-22 | 2004-05-04 | Applied Materials, Inc. | Ceramic substrate support |
WO2003065424A2 (en) | 2002-01-25 | 2003-08-07 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
KR100630677B1 (ko) * | 2003-07-02 | 2006-10-02 | 삼성전자주식회사 | 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법 |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
KR100674967B1 (ko) | 2005-04-06 | 2007-01-26 | 삼성전자주식회사 | 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법 |
US7807578B2 (en) * | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
US7846849B2 (en) * | 2007-06-01 | 2010-12-07 | Applied Materials, Inc. | Frequency tripling using spacer mask having interposed regions |
US8277670B2 (en) | 2008-05-13 | 2012-10-02 | Lam Research Corporation | Plasma process with photoresist mask pretreatment |
JP4638550B2 (ja) * | 2008-09-29 | 2011-02-23 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
US9330934B2 (en) * | 2009-05-18 | 2016-05-03 | Micron Technology, Inc. | Methods of forming patterns on substrates |
US8912097B2 (en) * | 2009-08-20 | 2014-12-16 | Varian Semiconductor Equipment Associates, Inc. | Method and system for patterning a substrate |
KR20130141550A (ko) * | 2010-10-27 | 2013-12-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 포토레지스트 선폭 거칠기를 조절하기 위한 방법들 및 장치 |
US20120318773A1 (en) * | 2011-06-15 | 2012-12-20 | Applied Materials, Inc. | Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control |
TWI492298B (zh) | 2011-08-26 | 2015-07-11 | Applied Materials Inc | 雙重圖案化蝕刻製程 |
FR3000601B1 (fr) | 2012-12-28 | 2016-12-09 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
US20170005297A1 (en) | 2013-12-10 | 2017-01-05 | Stefan Bangert | Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10153191B2 (en) | 2014-05-09 | 2018-12-11 | Applied Materials, Inc. | Substrate carrier system and method for using the same |
WO2015191543A1 (en) | 2014-06-10 | 2015-12-17 | Applied Materials Israel, Ltd. | Scanning an object using multiple mechanical stages |
US9612522B2 (en) | 2014-07-11 | 2017-04-04 | Applied Materials, Inc. | Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor |
JP2018521219A (ja) | 2015-05-15 | 2018-08-02 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 薄膜バッテリの製造におけるリチウム堆積プロセスで使用されるマスキングデバイス、リチウム堆積プロセスのために構成された装置、薄膜バッテリの電極を製造するための方法、及び薄膜バッテリ |
US10081036B2 (en) | 2016-09-19 | 2018-09-25 | Applied Materials, Inc. | Methods and systems for liquid particle prequalification |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US20180261686A1 (en) | 2017-03-13 | 2018-09-13 | Applied Materials, Inc. | Transistor sidewall formation process |
KR102140569B1 (ko) | 2017-03-17 | 2020-08-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 캐리어, 진공 시스템 및 진공 시스템을 동작시키는 방법 |
US10079154B1 (en) * | 2017-03-20 | 2018-09-18 | Lam Research Corporation | Atomic layer etching of silicon nitride |
US10422984B2 (en) | 2017-05-12 | 2019-09-24 | Applied Materials, Inc. | Flexible mode scanning optical microscopy and inspection system |
US10954129B2 (en) | 2017-06-08 | 2021-03-23 | Applied Materials, Inc. | Diamond-like carbon as mandrel |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
TWI782077B (zh) | 2017-09-11 | 2022-11-01 | 美商應用材料股份有限公司 | 光罩清潔製程 |
TWI796358B (zh) | 2017-09-18 | 2023-03-21 | 美商應用材料股份有限公司 | 選擇性蝕刻的自對準通孔製程 |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
TWI821300B (zh) | 2018-06-19 | 2023-11-11 | 美商應用材料股份有限公司 | 具有護罩座的沉積系統 |
US20200043722A1 (en) | 2018-07-31 | 2020-02-06 | Applied Materials, Inc. | Cvd based spacer deposition with zero loading |
-
2020
- 2020-02-21 US US16/797,111 patent/US11456173B2/en active Active
- 2020-02-21 CN CN202080033975.8A patent/CN113795908A/zh active Pending
- 2020-02-21 KR KR1020217036149A patent/KR20210138119A/ko unknown
- 2020-02-21 SG SG11202110987UA patent/SG11202110987UA/en unknown
- 2020-02-21 WO PCT/US2020/019151 patent/WO2020209939A1/en active Application Filing
- 2020-02-21 JP JP2021559362A patent/JP2022528697A/ja active Pending
- 2020-02-25 TW TW109105999A patent/TW202041700A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
KR20210138119A (ko) | 2021-11-18 |
WO2020209939A1 (en) | 2020-10-15 |
TW202041700A (zh) | 2020-11-16 |
SG11202110987UA (en) | 2021-10-28 |
US20200321210A1 (en) | 2020-10-08 |
CN113795908A (zh) | 2021-12-14 |
US11456173B2 (en) | 2022-09-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20200043722A1 (en) | Cvd based spacer deposition with zero loading | |
US11728168B2 (en) | Ultra-high modulus and etch selectivity boron-carbon hardmask films | |
KR102513424B1 (ko) | 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스 | |
US8513129B2 (en) | Planarizing etch hardmask to increase pattern density and aspect ratio | |
US8536065B2 (en) | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration | |
TW201937575A (zh) | 半導體製程中之間隔物限定的直接圖案化方法 | |
JP2022529610A (ja) | 多重スペーサパターニングのスキーム | |
US20240339316A1 (en) | Processes for depositing sib films | |
US20200266064A1 (en) | Method of processing a substrate | |
US11456173B2 (en) | Methods for modifying photoresist profiles and tuning critical dimensions | |
JP2022513746A (ja) | リンをドープされた窒化ケイ素膜の堆積方法 | |
JP7579791B2 (ja) | 基板を処理する方法 | |
US20230335402A1 (en) | Methods of forming thermally stable carbon film | |
JP2023533711A (ja) | ハードマスク及びその他のパターニング応用のための高密度ドープ炭素膜を製造するための方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230221 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20230221 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20240221 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240305 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20240530 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20240813 |