CN111095525A - 选择性蚀刻的自对准过孔工艺 - Google Patents

选择性蚀刻的自对准过孔工艺 Download PDF

Info

Publication number
CN111095525A
CN111095525A CN201880059509.XA CN201880059509A CN111095525A CN 111095525 A CN111095525 A CN 111095525A CN 201880059509 A CN201880059509 A CN 201880059509A CN 111095525 A CN111095525 A CN 111095525A
Authority
CN
China
Prior art keywords
dielectric material
exposing
selective removal
metal
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880059509.XA
Other languages
English (en)
Other versions
CN111095525B (zh
Inventor
林永振
周凯文
张郢
黄和涌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111095525A publication Critical patent/CN111095525A/zh
Application granted granted Critical
Publication of CN111095525B publication Critical patent/CN111095525B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

可执行处理方法以暴露半导体基板上的接触区域。所述方法可包括以下步骤:选择性地使半导体基板上的第一金属相对于暴露的第一电介质材料凹陷。所述方法可包括在凹陷的第一金属和暴露的第一电介质材料上方形成衬垫。所述方法可包括在衬垫上方形成第二电介质材料。所述方法可包括在第二电介质材料的选定区域上方形成硬掩模。所述方法还可包括选择性地去除第二电介质材料以暴露覆盖在凹陷的第一金属上面的衬垫的一部分。

Description

选择性蚀刻的自对准过孔工艺
相关申请的交叉引用
本申请要求2017年9月18日递交的美国临时申请第62/560,093号的权益,上述申请出于所有目的通过引用全部并入于此。
技术领域
本技术涉及半导体系统、工艺和设备。更具体言的,本技术涉及用于在半导体装置上形成和蚀刻材料层的系统和方法。
背景技术
通过在基板表面上产生复杂地图案化的材料层的工艺使集成电路成为可能。在基板上产生图案化的材料需要用于去除暴露的材料的受控方法。化学蚀刻用于若干目的,包括将光刻胶中的图案转移到下层中、减薄层或减薄已经存在于表面上的特征的横向尺寸。通常希望具有蚀刻一种材料比另一种材料更快的蚀刻工艺,有助于例如图案转移工艺或单独的材料去除。这样的蚀刻工艺被称为对第一种材料具有选择性。由于材料、电路和工艺的多样性,已经发展出具有对各种材料的选择性的蚀刻工艺。
基于工艺中使用的材料,蚀刻工艺可以被称为湿式或干式。湿式HF蚀刻相较于其他电介质和材料优先去除氧化硅。然而,湿式工艺可能难以穿透一些限定的沟槽并且还可能使剩余材料变形。干式蚀刻工艺可以穿透到复杂的特征和沟槽中,但可能无法提供可接受的从从顶到底(top-to-bottom)轮廓。随着装置尺寸在下一代装置中不断缩小,当在特定层中仅形成几纳米的材料时,尤其是当材料在晶体管形成中是关键的时,选择性可能发挥更大作用。尽管已经在各种材料的间发展了许多不同的蚀刻工艺选择性,但标准选择性可能不再适合于当前和未来的装置规模。
因此,需要可用于生产高质量装置和结构的改善的系统和方法。本技术解决了这些和其他需求。
发明内容
可执行处理方法以暴露半导体基板上的接触区域。所述方法可包括选择性地使半导体基板上的第一金属相对于暴露的第一电介质材料凹陷。所述方法可包括在凹陷的第一金属和暴露的第一电介质材料上方形成衬垫。所述方法可包括在衬垫上方形成第二电介质材料。所述方法可包括在第二电介质材料的选定区域上方形成硬掩模。所述方法还可包括选择性地去除第二电介质材料以暴露覆盖在凹陷的第一金属上面的衬垫的一部分。
在一些实施方式中,所述方法也可包括在第二电介质材料上方形成第三电介质材料。第三电介质材料和第一电介质材料可以是相同的材料。选择性地去除第二电介质材料可包括选择性地去除第三电介质材料和第二电介质材料。用于选择性去除的工艺化学性质特征可在于对第二电介质材料的选择性大于对第三电介质材料的选择性。所述方法还可包括形成覆盖在硬掩模上面的光刻胶图案。所述方法还可包括执行第三电介质材料的一部分的第一选择性去除。第一选择性去除可以不暴露第二电介质材料。所述方法还可包括在第一选择性去除操作之后去除光刻胶图案。所述方法还可包括执行第二选择性去除操作以去除第三电介质材料的第二部分和第二电介质材料。第一选择性去除操作和第二选择性去除操作可以是大体上各向异性的。第一选择性去除操作和第二选择性去除操作中的至少一个操作可包括使用等离子体增强的含氟前驱物的干式蚀刻。
本技术的实施方式也可包括暴露半导体基板上的接触区域的附加方法。所述方法可包括选择性地使半导体基板上的金属相对于暴露的第一电介质材料凹陷以形成间隙。所述方法可包括形成第二电介质材料以达到第一电介质材料的高度,所述第二电介质材料覆盖在间隙内的金属上面。所述方法可包括形成覆盖在第二电介质材料和第一电介质材料上面的第三电介质材料。所述方法可包括在第二电介质材料的选定区域上方形成硬掩模。所述方法还可包括选择性地去除第三电介质材料和第二电介质材料以暴露凹陷的金属。
在一些实施方式中,第三电介质材料和第一电介质材料可以是相同的材料。用于选择性去除的工艺化学性质特征可在于对第二电介质材料的选择性大于对第三电介质材料的选择性。所述方法还可包括形成覆盖在硬掩模上面的光刻胶图案。所述方法还可包括执行第三电介质材料的一部分的第一选择性去除。第一选择性去除可以不暴露第二电介质材料。所述方法还可包括在第一选择性去除操作之后去除光刻胶图案。所述方法还可包括执行第二选择性去除操作以去除第三电介质材料的第二部分和第二电介质材料。第一选择性去除操作和第二选择性去除操作可以是大体上各向异性的。第一选择性去除操作和第二选择性去除操作中的至少一个操作可包括使用等离子体增强的含氟前驱物的干式蚀刻。
与常规系统和技术相比,这样的技术可提供许多益处。例如,较大的接触暴露可减少边缘放置误差(edge placement error)。另外,选择性蚀刻工艺可避免衬垫材料的夹杂或额外的图案化操作。结合以下说明和附图更详细地描述这些和其他的实施方式连同实施方式的许多优点和特征。
附图简要说明
通过参考本申请文件的其余部分和附图,可实现对所公开的技术的本质和优点的进一步理解。
图1示出根据本技术的实施方式的示例性处理系统的俯视平面图。
图2A示出根据本技术的实施方式的示例性处理腔室的示意性横截面图。
图2B示出根据本技术的实施方式的示例性喷头的详细视图。
图3示出根据本技术的实施方式的示例性喷头的仰视平面图。
图4示出根据本技术的实施方式的暴露接触区域的方法中的所选择的操作。
图5A-图5I示出根据本技术的实施方式的基板材料的示意性横截面图,在所述基板材料上正在执行所选择的操作。
包括若干图作为示意图。应当理解,图仅用于说明目的,并且除非特别说明是依比例的,否则不应视为依比例的。此外,作为示意图,提供图是为了帮助理解,并且与现实的表现相比,图可不包括所有方面或信息,并且可出于说明目的而包括放大的材料。
在附图中,相似的部件和/或特征可具有相同的参考标号。此外,可通过在参考标号之后用区分相似部件的字母来区分相同类型的若干部件。如果在申请文件中仅使用首要(first)参考标号,那么描述适用于具有相同首要参考标号的任何一个相似部件,不考虑字母。
具体实施方式
在后段(back-end-of-line)处理期间,可将金属和其他导电材料填充到基板上的结构的层中。随着晶体管结构持续缩小,并且深宽比持续增加,层之间暴露的接触着陆区(contact landing area)可能变得更为关键。为了保持足够的导电性,可能需要一定的接触面积。在光刻和图案化期间,光刻胶区和图案化的小缺陷可能导致边缘放置误差(edge-placement error),其中后续蚀刻工艺偏移,并且没有充分地暴露下面的接触垫。此外,许多常规的蚀刻工艺,诸如反应离子蚀刻(“RIE“)可能没有足够的选择性来维持复杂的临界尺寸。尽管是相对地各向异性的工艺,但RIE蚀刻仍可能具有导致侧壁损失的选择性。虽然在形成期间可以考虑这种损失的预算,诸如利用材料的过形成(over-formation),但是因为被蚀刻的结构内的区域具有不同的尺寸,计算一个区中的损失量可能不适合于更大区中的损失量。因此,尽管在预算的一个区段中可能发生5nm的损耗,但是仍然可能发生在较大区段中的6-7nm的损失,从而导致制造期间的失配。
另外,RIE工艺产生蚀刻副产物或聚合物残留物,通常以湿式蚀刻工艺去除蚀刻副产物或聚合物残留物。此湿式蚀刻通常使侧壁保护层过度蚀刻而超过临界尺寸,这可能导致相邻晶体管层的形成和间隔的问题,并且进一步蚀刻低k氮化物间隔件和层间电介质氧化物。因为RIE工艺的选择性可在10:1的范围内,所以可过度蚀刻临界接触材料和其他结构材料。本技术可通过使用自对准图案化工艺来克服这些问题,自对准图案化工艺确保大体上的或完全的接近下面的金属凹槽。另外,通过使用特定材料和蚀刻化学性质,可形成改善的结构,这减少排队时间(queue time)并且可减少材料层的数量。
尽管其余的公开内容将利用所公开的技术通常标识特定的蚀刻工艺,但是将容易理解到,所述系统和方法同样适用于如在所述腔室中可能发生的沉积和清洁工艺。因此,不应认为所述技术仅限于与单独的蚀刻工艺一起使用。在描述根据本技术的示例性工艺顺序的操作之前,本公开内容将讨论一种可能的系统和腔室,所述系统和腔室可以与本技术一起使用以执行某些去除操作。
图1示出根据实施方式的沉积、蚀刻、烘烤和固化(curing)腔室的处理系统100的一个实施方式的俯视图。在所述图中,一对前开式标准舱(FOUP)102供应各种尺寸的基板,这些基板由机械臂104接收并在放置到(位于直通联接的(tandem)区段109a-109c中的)基板处理腔室108a-108f的一个基板处理腔室中之前放置到低压保持区106中。第二机械臂110可用于将基板晶片从保持区106传送到基板处理腔室108a-108f并返回。每个基板处理腔室108a-108f可以经配备以执行多种基板处理操作,除了循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气(degas)、定向和其他基板工艺之外,还包括本文所述的干式蚀刻工艺。
基板处理腔室108a-108f可包括一个或多个系统部件,用于在基板晶片上沉积、退火、固化和/或蚀刻电介质膜。在一个配置中,两对处理腔室(例如108c-108d和108e-108f)可用于在基板上沉积电介质材料,而第三对处理腔室(例如108a-108b)可用于蚀刻所沉积的电介质。在另一配置中,所有三对腔室(例如108a-108f)可经配置以蚀刻基板上的电介质膜。所述的工艺中的任何一个或多个可在与不同实施方式中所示的制造系统分开的腔室中进行。应当理解,系统100可以考虑用于电介质膜的沉积、蚀刻、退火和固化腔室的附加配置。
图2A示出示例性工艺腔室系统200的横截面图,其中处理腔室内具有分隔的等离子体产生区域。在膜蚀刻(例如氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等)期间,工艺气体可通过气体入口组件205流动到第一等离子体区域215中。可在系统中可选地包括远程等离子体系统(RPS)201,并且远程等离子体系统可处理第一气体,第一气体接着行进通过气体入口组件205。入口组件205可包括两个或更多个不同的气体供应通道,其中第二通道(未示出)可给RPS 201设旁路(如果包括的话)。
冷却板203、面板217、离子抑制件223、喷头225和基板支撑件265(基板支撑件265上设置有基板255)被示出并且根据实施方式可各自被包括。基座265可具有热交换通道,热交换流体通过热交换通道流动以控制基板的温度,这可在处理操作期间操作以加热和/或冷却基板或晶片。也可使用嵌入式电阻加热器元件来电阻地加热基座265的晶片支撑盘(support platter)(可包括铝、陶瓷或二者的组合)以达到相对高的温度,诸如从至多或约为100℃加热到约为1100℃或以上。
面板217可以是棱锥形、圆锥形或窄顶部延伸到宽底部的其他类似结构。如图所示,面板217也可以是平的,并且包括用于分配工艺气体的复数个贯通通道(through-channel)。取决于RPS 201的使用,等离子体产生气体和/或等离子体激发物质可穿过面板217中的复数个孔(如图2B中所示),以更均匀地输送到第一等离子体区域215中。
示例性配置可包括使气体入口组件205向由面板217从第一等离子体区域215分隔的气体供应区域258打开,使得气体/物质流动通过面板217中的孔而到第一等离子体区域215中。可选择结构和操作特征以防止等离子体从第一等离子体区域215大量回流到供应区域258、气体入口组件205和流体供应系统210中。在具有绝缘环220的情况下示出面板217或腔室的导电顶部与喷头225,绝缘环220位于这些特征之间,这允许将相对于喷头225和/或离子抑制件223的AC电位施加到面板217。绝缘环220可位于面板217与喷头225和/或离子抑制件223之间,使得能够在第一等离子体区域中形成电容耦合等离子体(CCP)。挡板(未示出)可附加地位于第一等离子体区域215中,或以其他方式与气体入口组件205耦接,以影响通过气体入口组件205进入区域的流体流动。
离子抑制件223可包括板或其他几何形状,板或其他几何形状限定贯穿整个结构的复数个缝隙,复数个缝隙经配置以抑制离子带电物质自第一等离子体区域215迁移出去,同时允许不带电的中性或自由基物质通过离子抑制件223进入在抑制件与喷头之间的活化气体输送区域。在实施方式中,离子抑制件223可包括具有各种缝隙配置的穿孔板。这些不带电的物质可包括高活性物质,高活性物质与较低活性的载气一起传送通过缝隙。如上所述,可减少离子物质迁移通过孔,并且在某些情况下可以完全抑制离子物质迁移通过孔。控制通过离子抑制件223的离子物质的量可有利地增强对与下面的晶片基板接触的气体混合物的控制,这接着可以增加对气体混合物的沉积和/或蚀刻特性的控制。例如,调整气体混合物的离子浓度可以显著改变气体混合物的蚀刻选择性,例如SiNx:SiOx蚀刻比、Si:SiOx蚀刻比等。在执行沉积的替代实施方式中,调整气体混合物的离子浓度还可以改变用于电介质材料的保形-可流动式(conformal-to-flowable)沉积的平衡。
离子抑制件223中的复数个缝隙可经配置以控制活化气体(即离子、自由基和/或中性物质)通过离子抑制件223。例如,可控制孔的纵横比、或孔的直径对长度和/或孔的几何形状,使得通过离子抑制件223的活化气体中的离子带电物质的流动减少。离子抑制件223中的孔可包括面向等离子体激发区域215的渐缩(tapered)部分,和面向喷头225的圆柱形部分。可调整圆柱形部分的形状和尺寸以控制传到喷头225的离子物质的流动。可调节的电偏压也可施加到离子抑制件223,作为控制离子物质通过抑制件的流动的附加手段(means)。
离子抑制件223可用于减少或消除从等离子体产生区域行进到基板的离子带电物质的量。不带电的中性和自由基物质仍然可通过离子抑制件中的开口以与基板反应。应当注意,在实施方式中,在基板周围的反应区域中可不执行离子带电物质的完全消除。在某些情况下,意图使离子物质到达基板以执行蚀刻和/或沉积工艺。在这些情况下,离子抑制件可帮助将反应区域中的离子物质的浓度控制在有助于所述工艺的水平。
喷头225与离子抑制件223组合可允许等离子体存在于第一等离子体区域215中以避免直接激发基板处理区域233中的气体,同时仍允许所激发的物质从腔室等离子体区域215行进到基板处理区域233中。以这种方式,腔室可经配置以防止等离子体接触被蚀刻的基板255。这可以有利地保护基板上图案化的各种复杂结构和膜,如果基板上图案化的各种复杂结构和膜与所产生的等离子体直接接触,可能损坏、脱位(dislocate)或以其他方式翘曲。另外,当允许等离子体接触基板或接近基板水平面(level)时,氧化物物质蚀刻的速率可能增加。因此,如果材料的暴露区域是氧化物,那么可通过使等离子体保持远离基板来进一步保护此材料。
处理系统可进一步包括电源240,电源240与处理腔室电耦接,以向面板217、离子抑制件223、喷头225和/或基座265提供电力,以在第一等离子体区域215或处理区域233中产生等离子体。电源可经配置而根据所执行的工艺向腔室输送可调节的功率量。这样的配置可允许可调谐等离子体用于正在执行的工艺中。与远程等离子体单元不同,远程等离子体单元通常具有打开或关闭功能,而可调谐等离子体可经配置向等离子体区域215输送特定功率量。这接着可允许发展特定的等离子体特性,使得前驱物可以以特定方式解离,以增强这些前驱物产生的蚀刻分布。
可在喷头225上方的腔室等离子体区域215中或喷头225下方的基板处理区域233中点燃等离子体。在实施方式中,在基板处理区域233中形成的等离子体可以是以基座作为电极形成的DC偏压等离子体。等离子体可存在于腔室等离子体区域215中,以从例如含氟前驱物或其他前驱物的入流(inflow)产生自由基前驱物。通常在射频(RF)范围内的AC电压可施加在处理腔室的导电顶部(诸如面板217)与喷头225和/或离子抑制件223之间,以在沉积期间点燃腔室等离子体区域215中的等离子体。RF电源可产生13.56MHz的高RF频率,但是也可单独产生其他频率或者与13.56MHz频率组合产生其他频率。
图2B示出影响通过面板217的处理气体分配的特征的详细视图253。如图2A和图2B中所示,面板217、冷却板203和气体入口组件205相交以限定气体供应区域258,工艺气体可从气体入口205输送到气体供应区域258中。气体可填充气体供应区域258并且通过面板217中的缝隙259流到第一等离子体区域215。缝隙259可经配置而以大体上单向的方式引导流动,使得工艺气体可流动到处理区域233中,但是可在通过面板217之后部分地或完全地防止回流到气体供应区域258中。
用于在处理腔室区段200中使用的气体分配组件(诸如喷头225)可被称为双通道喷头(DCSH),并且在图3所述的实施方式中另外详述。双通道喷头可提供蚀刻工艺,所述蚀刻工艺允许在处理区域233外部分离蚀刻剂,以在被输送到处理区域之前提供与腔室部件的和彼此的受限相互作用。
喷头225可包括上板214和下板216。这些板可彼此耦接以在这些板之间限定容积218。这些板的耦接可提供通过上板和下板的第一流体通道219,和通过下板216的第二流体通道221。所形成的通道可经配置以提供从容积218单独经由第二流体通道221通过下板216的流体通路,并且第一流体通道219可从在这些板与第二流体通道221之间的容积218流体隔离。容积218可以是通过气体分配组件225的侧面可流体地进出的。
图3是根据实施方式的与处理腔室一起使用的喷头325的仰视图。喷头325可对应于图2A中所示的喷头225。(表示第一流体通道219的视图的)贯通孔365可具有复数个形状和配置,以控制和影响前驱物通过喷头225的流动。(表示第二流体通道221的视图的)小孔375可大体上均匀地分配在喷头的表面上方,甚至大体上均匀地分配在贯通孔365之间,并且可有助于在前驱物离开喷头时提供比其他配置更均匀的前驱物混合。
图4示出蚀刻方法400,蚀刻方法400中的许多操作可例如在如前所述的腔室200中执行。方法400可包括在启动方法之前的一个或多个操作,其包括前端处理(front endprocessing)、沉积、蚀刻、抛光、清洁或可在所描述的操作之前执行的任何其他操作。所述方法可包括如图中所示的多个可选操作,这些操作可以或可以不与根据本技术的方法特定地相关联。例如,描述了许多操作以便提供结构形成的更宽范围,但是这些操作对于本技术不是关键的,或者可以通过替代方法来执行,如下面将进一步讨论的。方法400描述图5中示意性示出的操作,将结合方法400的操作描述图5的图示。应理解,图5仅图示部分示意图,并且基板可含有具有如各图中所示的方面的任何数量的晶体管部分。
方法400可涉及将半导体结构发展到特定制造操作的可选操作。如图5A中所示,半导体结构可表示在操作405中形成的结构上已经执行化学机械抛光操作之后的装置,或者在形成半导体结构500的平坦或大体上平坦的顶表面的一些其他去除操作之后的装置。如图所示,结构500可包括第一金属505的区段以及第一电介质材料510的区段。结构500可图示半导体装置的中间截面,并且可具有形成在图示部分下方的多个晶体管结构。例如,金属505可包括接触未示出的下面结构的金属化。示例性金属可包括许多导电材料,包括钴、铜、钌、钨或可用于在结构层之间提供导电性的任何其他材料。电介质材料510可以是任何数量的电介质,包括层间电介质,诸如低k材料、可流动氧化物、超低k材料或可在半导体基板的层之间和之内使用的任何其他材料。
在操作410处,金属材料505可从电介质材料层510之间选择性地凹陷。如图5B中所示,金属材料可凹陷到可以是金属的设计规格高度的某个高度。例如,金属505和电介质510中的一者或两者可形成为高于材料的最终设计高度的高度。这可允许去除和附加形成操作选择性地使额外的结构凹陷和构建额外的结构以产生最终期望的结构。例如,最初形成的金属可形成为高于设计规格的高度,并且可形成为设计规格高度的至少约1.1倍。在一些实施方式中,金属505最初可形成至设计规格高度的至少约1.3倍的高度,或者取决于在工艺中执行的操作数量,可形成至至少约1.5倍、至少约1.7倍、至少约2.0倍、至少约2.3倍、至少约2.5倍、至少约2.7倍、至少约3.0倍、至少约3.5倍、至少约4.0倍或更多倍的高度。作为一个非限制性示例,在指定50nm的设计高度的情况下,结构的高度可以是100nm,并且使金属凹陷可将高度减小50nm。
可在先前描述的腔室200中执行凹陷操作410,凹陷操作410例如可允许执行金属选择性蚀刻,金属选择性蚀刻可去除金属505,同时保留第一电介质材料510。所述工艺可使用利用等离子体或远程等离子体的干式蚀刻工艺来执行,这可产生含卤素前驱物(诸如举例而言的含氟前驱物或含氯前驱物)的等离子体流出物。所述工艺也可在实施方式中使用含氢前驱物,含氢前驱物也可被包括在远程等离子体中或者可绕过远程等离子体以与处理区域中的自由基含卤素等离子体流出物相互作用。
在实施方式中,所述工艺可在约10Torr以下执行,并且在实施方式中可在约5Torr或以下执行。在实施方式中,所述工艺也可在约100℃以下的温度下执行,并且可在约50℃以下执行。如在腔室200或者在此腔室上的变化中执行的,或者在能够执行类似操作的不同腔室中执行的,所述工艺可将金属材料505在电介质材料510的区段之间向下去除到特定高度。在实施方式中,所述工艺可对金属材料505相对于层间电介质材料510具有大于或约为10:1的选择性,并且在实施方式中,可具有大于或约为50:1、大于或约为100:1、大于或约为200:1、大于或约为300:1、大于或约为400:1、或者大于或约为500:1的选择性。下面在方法400的其他操作中进一步讨论的任何后续去除过程可使用或实现类似的蚀刻工艺或类似的选择性。
在可选的操作415处,可跨结构500形成衬垫材料515,如图5C中所示。衬垫材料可覆盖所有暴露区域,并且可在凹陷金属505以及电介质材料510上方形成。可形成衬垫515以在下面讨论的后续操作中作为蚀刻终止层。另外,根据在后续操作中使用的材料,诸如第二电介质材料和/或第三电介质材料,在一些实施方式中可不包括衬垫515。基于包含或不包含衬垫515的后续操作的差异将在每个操作处标识。衬垫515可以是任何数量的材料,并且在实施方式中,衬垫515可以是氮化硅、碳氮化硅、金属氧化物材料(包括氧化铝)或者可在图案化结构上方形成的其他材料。在一些实施方式中,衬垫材料515可在凹陷结构上方保形地形成,以允许形成小于几微米的极小层。因此,在一些实施方式中,尽管衬垫515可在原子层沉积工艺中形成,但是也可使用可以产生保形层的其他工艺。
在形成衬垫515之后,当形成时,可在操作420中执行间隙填充。如图5D中所示,第二电介质材料520可形成或沉积在衬垫层515上方并且在由凹陷金属505形成的沟槽内。可执行化学机械抛光操作以使所述结构平面化并且使在所述结构的升高部分(诸如电介质材料510)上方的衬垫层暴露。第二电介质材料520可以是含硅材料,并且可以是氧化硅、碳氧化硅或一些其他电介质材料,诸如上文所述的额外的层间电介质。第二电介质材料520可通过任何数量的机制沉积,包括例如在可流动的化学气相沉积工艺中。在一些实施方式中,第二电介质520可与第一电介质510不同,然而在其他实施方式中,两种电介质材料可以是相同的。
在可选的操作425处,可形成覆盖在第二电介质材料上面的第三电介质材料525。如图5E中所示,可形成第三电介质材料,第三电介质材料覆盖在第二电介质材料520以及衬垫材料515上面。考虑到后续步骤,可将第三电介质材料形成到某个高度。例如,可使第三电介质材料525形成到比在装置结构的下一级(level)中要覆盖在金属505上面而形成的第二金属层的设计规格更高的高度。因此,第三电介质525的高度可以基于后续级的设计规格高度,并且可使第三电介质525形成到如先前针对初始结构所描述的设计规格以上的任何高度。在实施方式中,第三电介质材料525可以是先前标识的层间电介质材料中的任何电介质材料,并且在一些实施方式中可与第一电介质材料510相同。
注意到第三电介质材料525的形成是可选的操作,可受到衬垫层515的形成与否的影响。例如,如果没有形成衬垫层515,那么可包括覆盖在金属505上面的第二电介质材料,并且可形成覆盖在第一电介质材料和第二电介质材料上面的第三电介质材料。这可促进下面进一步讨论的去除操作。然而,当形成衬垫层515时,可去除第三电介质材料525,或者替代地可去除第二电介质材料520,并且可仅形成覆盖在衬垫515上面的单一电介质。这可因为衬垫可在稍后的制造中作为蚀刻终止,这可允许仅形成单一电介质材料,如下面将关于去除操作进一步描述的。
在操作430处,可在第三电介质材料525上方形成掩模材料530,如图5F中所示。掩模材料530可以是适用于蚀刻工艺的任何材料,蚀刻工艺将提供对电介质材料525的选择性。在一个实例中,掩模材料525可以是在第三电介质材料525的某些区域上方图案化的硬模。例如,掩模材料520可包括氮化钛、碳化钨或可在后续的去除操作期间保留的各种其他材料。掩模材料可经图案化以形成下面的金属505或第二电介质材料520的暴露。掩模可经图案化而具有比金属505的宽度更大的开口,以为自对准工艺做准备。例如,如图所示,可形成掩模材料530以在电介质材料520的部分区域上方延伸,从而在一个或多个区域中完全暴露第二电介质材料520。这可允许后续的处理在一个区域中形成金属延伸部(extension),而不接触其他金属区域,所述其他金属区域可在以后的操作中接触,或者从先前的形成工艺保留。
可在可选操作435中执行光刻胶图案535的形成,如图5G中所示。光刻胶可在掩模材料530上方延伸,并且可与掩模材料的覆盖范围之外的第三电介质材料525接触。这可允许执行双镶嵌蚀刻工艺以形成沟槽和过孔结构,沟槽和过孔结构可在一个或多个区域中朝向金属505的一区段延伸。可形成光刻胶以保留过大的(oversized)接触孔,这可允许介入层引导自对准工艺。如此可确保在后续的图案化中暴露金属505的整个区域,而不需要各向同性的工艺。在操作440处,可一起执行转移蚀刻工艺与第一选择性蚀刻,以去除第三电介质材料的一部分,如图5H中所示。
转移工艺可选择性地去除第三电介质材料525的第一区域,这可形成双镶嵌结构的过孔部分。蚀刻深度可取决于第二电介质材料520的高度,并且可至多相当于第二电介质材料520的高度的约100%或更小的深度。在一些实施方式中,基于后续蚀刻工艺的第三电介质材料和第二电介质材料之间的选择性,高度可小于第二电介质材料520的高度的100%,并且在实施方式中可小于或约为90%、小于或约为80%、小于或约为70%、小于或约为60%、小于或约为50%、小于或约为40%、小于或约为30%、小于或约为20%、小于或约为10%或者更小。无论第一选择性蚀刻的深度如何,蚀刻工艺440可不暴露第二电介质材料,或者可不执行蚀刻工艺440到衬垫515或第一电介质材料510的水平。一旦蚀刻已经执行到足够的深度,就可在可选操作445剥除(strip)光刻胶535。
可在操作450处执行第二选择性去除操作,如图5I中所示。如图所示,可执行第二选择性去除工艺以各向异性地去除硬掩模材料530的区域之间的所有第三电介质材料,并且可以进一步去除一个或多个区域中的所有间隙填充材料或第二电介质材料520。可如前所述在腔室200或在能够选择性地去除层间电介质材料的一些其他蚀刻腔室中执行蚀刻操作。可使用经选择以相对于硬掩模材料530去除两种电介质材料的化学性质来执行所述工艺。也可选择化学性质连同先前沉积的电介质材料,以提供针对第二电介质材料520高于第三电介质材料525的选择性。去除操作可暴露衬垫材料515并在第二电介质材料520已被去除的地方产生间隙540。另外,在没有形成衬垫515的实施方式中,去除操作可暴露金属505。
通过具有对第二电介质材料520超过第三电介质材料525的选择性,可在暴露时快得多地去除第二电介质材料520。取决于对衬垫的选择性,当衬垫存在时,这可能不是很重要。然而,当没有形成衬垫时,第二电介质和第三电介质之间的选择性(第三电介质材料的选择性可与第一电介质相同或相似)可限定第一电介质材料的区段510的边缘轮廓。当在金属和第一电介质材料上方没有形成衬垫时,如果第二选择性蚀刻的选择性相对较低,那么在第三电介质材料525被去除时结构510可蚀刻。然而,由于第二电介质与第三电介质材料或第一电介质材料之间的选择性改善,可产生区段510的较少斜面或被蚀刻的边缘。
例如,如果第二电介质材料520的间隙填充为约50nm,如果第二电介质材料520之间的选择性相对于第三电介质材料和/或第一电介质材料为至少50:1,那么一旦暴露第二电介质材料520,可去除极小量的第三电介质材料或第一电介质材料。因此,第二电介质材料520一旦暴露,就可在有限地额外去除其他暴露的电介质材料的情况下被完全去除。另外,在一些实施方式中,第二电介质材料520与第三电介质材料和/或第一电介质材料之间的第二蚀刻操作的选择性可大于或约为100:1、大于或约为200:1、大于或约为300:1、大于或约为400:1、大于或约为500:1或更大。因此,在本技术的实施方式中,可在有衬垫材料或在没有衬垫材料的情况下产生如图所示的轮廓。
方法400可包括在可选操作455处的额外处理。例如,额外的操作可包括在形成时去除衬垫515或穿通(punching through)衬垫515,并且额外的操作可包括在所形成的沟槽和过孔内形成额外的金属化。通过利用选择性蚀刻(诸如在腔室200中),可执行限制方法中的层数和操作的工艺流程。另外,可执行自对准工艺,自对准工艺在半导体装置的特定水平处完全暴露来自一组金属区段的一个或多个下面的接触区域。另外,可基于本技术调整或维持接触材料的轮廓和数量,这可改善导电性或降低由于传统工艺的残留蚀刻终止层材料产生的电阻。最后,通过对每个选择性去除操作使用等离子体增强蚀刻操作,在本技术的实施方式中可在没有反应离子蚀刻或湿式蚀刻的情况下执行方法400的选择性去除操作。
在前面的描述中,出于解释的目的,已经阐述了许多细节以提供对本技术的各种实施方式的理解。然而,本领域技术人员将清楚,可在没有这些细节中一些细节或者有额外细节的情况下实施某些实施方式。
已经公开了若干实施方式,本领域技术人员将认识到,在不背离实施方式的精神的情况下,可使用各种改变、替代构造和等效物。此外,没有描述多种熟知的工艺与元件,以避免不必要地使本发明模糊。因此,上述说明不应视为对本技术的范围的限制。
当提供数值范围时,应理解,除非上下文中另外清楚指明,介于所述范围的上限与下限之间的到下限单位的最小小数的每个中间值也被具体公开。在任何所陈述的值或在陈述范围中的未陈述中间值与任何其他陈述的值或所述陈述范围中的中间值之间的任何较小范围也被涵盖。这些较小范围的上限与下限可独立包含或排除于所述范围中,并且每个范围(在所述较小范围中包含其中一个、包含两个或不包含限值的情况下)涵盖在本技术内,除非有陈述范围中的特别排除的限制。在所陈述的范围包括所述限值的其中一个或两个的情况下,也包括将那些所包括的限值的任一个或两个排除的范围。
除非上下文另有明确规定,如本文和所附权利要求权利要求中使用的单数形式“一”和“所述”包括复数指示物。因此,例如,“一层”所指的包括复数个这样的层,而“所述前驱物”所指的包括一个或多个前驱物和本领域技术人员熟知的等效物,依此类推。
此外,当在本说明书和所附权利要求中使用时,术语“包括”、“包含”、“含有”意图指定所陈述的特征、整体、部件或操作的存在,但是不排除一个或多个其他特征、整体、部件、操作、动作或群组的存在或附加。

Claims (20)

1.一种在半导体基板上暴露接触区域的方法,所述方法包括以下步骤:
选择性地使半导体基板上的第一金属相对于暴露的第一电介质材料凹陷;
在凹陷的所述第一金属和所述暴露的第一电介质材料上方形成衬垫;
在所述衬垫上方形成第二电介质材料;
在所述第二电介质材料的选定区域上方形成硬掩模;和
选择性地去除所述第二电介质材料以暴露覆盖在凹陷的所述第一金属上面的所述衬垫的一部分。
2.如权利要求1所述的暴露接触区域的方法,进一步包括以下步骤:在所述第二电介质材料上方形成第三电介质材料。
3.如权利要求2所述的暴露接触区域的方法,其中所述第三电介质材料和所述第一电介质材料是相同的材料。
4.如权利要求3所述的暴露接触区域的方法,其中选择性地去除所述第二电介质材料的步骤包括以下步骤:选择性地去除所述第三电介质材料和所述第二电介质材料。
5.如权利要求4所述的暴露接触区域的方法,其中用于选择性去除的工艺化学性质特征在于对所述第二电介质材料的选择性大于对所述第三电介质材料的选择性。
6.如权利要求2所述的暴露接触区域的方法,进一步包括以下步骤:形成覆盖在所述硬掩模上面的光刻胶图案。
7.如权利要求6所述的暴露接触区域的方法,进一步包括以下步骤:执行所述第三电介质材料的一部分的第一选择性去除,其中所述第一选择性去除不暴露所述第二电介质材料。
8.如权利要求7所述的暴露接触区域的方法,进一步包括以下步骤:在所述第一选择性去除操作之后去除所述光刻胶图案。
9.如权利要求8所述的暴露接触区域的方法,进一步包括以下步骤:执行第二选择性去除操作以去除所述第三电介质材料的第二部分和所述第二电介质材料。
10.如权利要求9所述的暴露接触区域的方法,其中所述第一选择性去除操作和所述第二选择性去除操作是大体上各向异性的。
11.如权利要求9所述的暴露接触区域的方法,其中所述第一选择性去除操作和所述第二选择性去除操作中的至少一个操作包括使用等离子体增强的含氟前驱物的干式蚀刻。
12.一种在半导体基板上暴露接触区域的方法,所述方法包括以下步骤:
选择性地使半导体基板上的金属相对于暴露的第一电介质材料凹陷以形成间隙;
形成第二电介质材料以达到所述第一电介质材料的高度,所述第二电介质材料覆盖在所述间隙内的所述金属上面;
形成覆盖在所述第二电介质材料和所述第一电介质材料上面的第三电介质材料;
在所述第二电介质材料的选定区域上方形成硬掩模;和
选择性地去除所述第三电介质材料和所述第二电介质材料以暴露凹陷的所述金属。
13.如权利要求12所述的暴露接触区域的方法,其中所述第三电介质材料和所述第一电介质材料是相同的材料。
14.如权利要求12所述的暴露接触区域的方法,其中用于选择性去除的工艺化学性质特征在于对所述第二电介质材料的选择性大于对所述第三电介质材料的选择性。
15.如权利要求12所述的暴露接触区域的方法,进一步包括以下步骤:形成覆盖在所述硬掩模上面的光刻胶图案。
16.如权利要求15所述的暴露接触区域的方法,进一步包括以下步骤:执行所述第三电介质材料的一部分的第一选择性去除,其中所述第一选择性去除不暴露所述第二电介质材料。
17.如权利要求16所述的暴露接触区域的方法,进一步包括以下步骤:在所述第一选择性去除操作之后去除所述光刻胶图案。
18.如权利要求17所述的暴露接触区域的方法,进一步包括以下步骤:执行第二选择性去除操作以去除所述第三电介质材料的第二部分和所述第二电介质材料。
19.如权利要求18所述的暴露接触区域的方法,其中所述第一选择性去除操作和所述第二选择性去除操作是大体上各向异性的。
20.如权利要求18所述的暴露接触区域的方法,其中所述第一选择性去除操作和所述第二选择性去除操作中的至少一个操作包括使用等离子体增强的含氟前驱物的干式蚀刻。
CN201880059509.XA 2017-09-18 2018-09-18 选择性蚀刻的自对准过孔工艺 Active CN111095525B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762560093P 2017-09-18 2017-09-18
US62/560,093 2017-09-18
PCT/US2018/051469 WO2019055970A1 (en) 2017-09-18 2018-09-18 TREATMENTS FOR SELECTIVELY ENGRAVED SELF-ALIGNED INTERCONNECT HOLES

Publications (2)

Publication Number Publication Date
CN111095525A true CN111095525A (zh) 2020-05-01
CN111095525B CN111095525B (zh) 2024-07-26

Family

ID=65720667

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880059509.XA Active CN111095525B (zh) 2017-09-18 2018-09-18 选择性蚀刻的自对准过孔工艺

Country Status (5)

Country Link
US (1) US10840138B2 (zh)
KR (1) KR102355958B1 (zh)
CN (1) CN111095525B (zh)
TW (1) TWI796358B (zh)
WO (1) WO2019055970A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019050735A1 (en) * 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020209939A1 (en) 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
KR102693845B1 (ko) 2019-04-16 2024-08-08 어플라이드 머티어리얼스, 인코포레이티드 트렌치들에서의 박막 증착 방법
US11629402B2 (en) 2019-04-16 2023-04-18 Applied Materials, Inc. Atomic layer deposition on optical structures
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
US20230066543A1 (en) * 2021-08-24 2023-03-02 Applied Materials, Inc. Fully self aligned via integration processes
US11869808B2 (en) * 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030003716A1 (en) * 2001-06-27 2003-01-02 Hynix Semiconductor Inc. Method for forming dual damascene line structure
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
KR20040025287A (ko) * 2002-09-19 2004-03-24 삼성전자주식회사 듀얼 다마신 공정
US6869879B1 (en) * 2000-11-03 2005-03-22 Advancedmicro Devices, Inc. Method for forming conductive interconnects
KR100791694B1 (ko) * 2006-11-24 2008-01-03 동부일렉트로닉스 주식회사 듀얼 다마신을 이용한 금속 배선의 제조 방법
US20150091181A1 (en) * 2013-09-30 2015-04-02 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US20160148869A1 (en) * 2013-08-21 2016-05-26 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
WO2016105350A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
KR100638972B1 (ko) 2003-12-31 2006-10-25 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법
US20090321940A1 (en) 2008-06-30 2009-12-31 Gerhard Kunkel Method for Manufacturing Contact Openings, Method for Manufacturing an Integrated Circuit, an Integrated Circuit
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869879B1 (en) * 2000-11-03 2005-03-22 Advancedmicro Devices, Inc. Method for forming conductive interconnects
US20030003716A1 (en) * 2001-06-27 2003-01-02 Hynix Semiconductor Inc. Method for forming dual damascene line structure
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
KR20040025287A (ko) * 2002-09-19 2004-03-24 삼성전자주식회사 듀얼 다마신 공정
KR100791694B1 (ko) * 2006-11-24 2008-01-03 동부일렉트로닉스 주식회사 듀얼 다마신을 이용한 금속 배선의 제조 방법
US20160148869A1 (en) * 2013-08-21 2016-05-26 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US20150091181A1 (en) * 2013-09-30 2015-04-02 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
WO2016105350A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme

Also Published As

Publication number Publication date
WO2019055970A1 (en) 2019-03-21
KR20200044978A (ko) 2020-04-29
US20190088543A1 (en) 2019-03-21
US10840138B2 (en) 2020-11-17
CN111095525B (zh) 2024-07-26
TW201921459A (zh) 2019-06-01
KR102355958B1 (ko) 2022-01-25
TWI796358B (zh) 2023-03-21

Similar Documents

Publication Publication Date Title
CN111095525B (zh) 选择性蚀刻的自对准过孔工艺
US10943834B2 (en) Replacement contact process
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US20180261686A1 (en) Transistor sidewall formation process
US10049891B1 (en) Selective in situ cobalt residue removal
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
US11735467B2 (en) Airgap formation processes
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US10256112B1 (en) Selective tungsten removal
WO2021067362A1 (en) Selective cobalt vertical etch
US11488835B2 (en) Systems and methods for tungsten-containing film removal
US11715780B2 (en) High performance and low power semiconductor device
US20240282585A1 (en) Treatments to improve etched silicon-and-germanium-containing material surface roughness
US20240290623A1 (en) Processing methods to improve etched silicon-and-germanium-containing material surface roughness
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻
CN115552574A (zh) 含钌材料的选择性移除

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant