JP7401593B2 - 空隙を形成するためのシステム及び方法 - Google Patents

空隙を形成するためのシステム及び方法 Download PDF

Info

Publication number
JP7401593B2
JP7401593B2 JP2022076954A JP2022076954A JP7401593B2 JP 7401593 B2 JP7401593 B2 JP 7401593B2 JP 2022076954 A JP2022076954 A JP 2022076954A JP 2022076954 A JP2022076954 A JP 2022076954A JP 7401593 B2 JP7401593 B2 JP 7401593B2
Authority
JP
Japan
Prior art keywords
layer
spacer
substrate
oxygen
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022076954A
Other languages
English (en)
Other versions
JP2022116000A (ja
Inventor
チーチュン チェン,
リン シュイ,
アンチョアン ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022116000A publication Critical patent/JP2022116000A/ja
Application granted granted Critical
Publication of JP7401593B2 publication Critical patent/JP7401593B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

関連出願の相互参照
[0001] 本出願は、2018年2月28日に出願された米国仮特許出願第62/636,725号の利益を主張し、当該仮特許出願は、あらゆる目的のためにその全体が、参照によって本明細書に組み込まれている。
[0002] 本技術は、半導体プロセス及び装備に関する。より具体的には、本技術は、高アスペクト比の構造物の選択的エッチングに関する。
[0003] 集積回路は、基板表面上に複雑なパターンの材料層を形成するプロセスによって可能となる。基板上にパターン形成された材料を作るには、露出した材料を取り除くための制御された方法が必要である。化学エッチングは、様々な目的に使用されており、それには、フォトレジスト内のパターンを下層の中へ転写すること、層を薄くすること、又は表面上に既に存在する特徴の横寸法を細くすることが含まれる。多くの場合、ある材料を他の材料よりも速くエッチングして、例えば、パターン転写プロセスを促進するエッチングプロセスを行うことが望ましい。そのようなエッチングプロセスは、第1の材料に対して選択的であると言われる。材料、回路、及びプロセスに多様性があるために、様々な材料に対する選択性を有するエッチングプロセスが開発されてきた。
[0004] エッチングプロセスは、そのプロセスで使用される材料に応じて、湿式又は乾式と呼ばれることがある。湿式HFエッチングは、他の誘電体及び材料よりも酸化ケイ素を優先的に除去する。しかし、湿式プロセスは、幾つかの制約されたトレンチに浸透することが困難であり、また時には残りの材料を変形させる可能性がある。基板処理領域内に形成された局所プラズマ内で生成された乾式エッチングは、より制約されたトレンチに浸透することができ、繊細な残りの構造の変形を抑えることができる。しかし、局所プラズマは、それらが放電するときに電気アークの生成を通じて基板を損傷する可能性がある。
[0005] したがって、高品質デバイス及び構造物の製造に使用することができる、改善されたシステム及び方法が必要とされている。これらの必要性及びその他の必要性は、本技術によって対処される。
[0006] 例示的なエッチング方法は、フッ素含有前駆体を半導体処理チャンバの基板処理領域の中に流すことを含んでよい。この方法は、基板処理領域の中に水素含有前駆体を流すことを含んでよい。
この方法は、基板処理領域内に収容された基板を、フッ素含有前駆体及び水素含有前駆体と接触させることを含んでよい。基板は、トレンチ又は凹み特徴を含んでよく、トレンチ又は特徴の側壁に沿ってスペーサが形成されてよい。スペーサは、炭素含有及び/又は窒素含有材料の第1の層、酸素含有材料の第2の層、並びに炭素含有及び/又は窒素含有材料の第3の層を含む、複数の層を含んでよい。スペーサの第2の層は、スペーサの第1の層と第3の層との間に配置されてよい。この方法は、酸素含有材料を除去することも含んでよい。
[0007] 幾つかの実施形態では、水素含有前駆体が、半導体処理チャンバの遠隔プラズマ領域において、半導体処理チャンバ内で生成可能なプラズマから流体的に隔離されて維持されてよい。水素含有前駆体は、水蒸気であってよく、又はそれを含んでよい。フッ素含有前駆体は、フッ化無水水素であってよく、又はそれを含んでよい。スペーサの各層は、約20:1以上のアスペクト比によって特徴付けられてよい。酸素含有材料は、約5nm以下の幅によって特徴付けられてよい。基板の温度は、エッチング方法中、摂氏約10度以下に維持されてよい。スペーサは、第2の層と第3の層との間に配置された第4の層をさらに含んでよい。第4の層は、酸素含有材料であってよい。スペーサの第4の層は、スペーサの第2の層よりも化学量論的に少量の酸素によって特徴付けられてよい。基板処理領域は、エッチング方法中、プラズマフリー(plasma free:プラズマがない状態)に維持されてよい。
[0008] 本技術はまた、除去方法も包み得る。この方法は、フッ素含有前駆体を半導体処理チャンバの基板処理領域の中に流すことを含んでよい。この方法は、基板処理領域の中に水蒸気を流すことを含んでよい。
この方法は、基板処理領域内に収容された基板を、フッ素含有前駆体及び水蒸気と接触させることを含んでよい。基板は、トレンチを含んでよく、トレンチの側壁に沿ってスペーサが形成されてよい。スペーサは、トレンチの側壁に隣接する炭素含有材料の第1の層、酸素含有材料を含む第2の層、及び炭素含有材料の第3の層を含む、複数の横方向の層を含んでよい。スペーサの第2の層は、スペーサの第1の層とスペーサの第3の層との間に配置されてよい。この方法は、半導体処理チャンバの基板処理領域内の相対湿度を、約60%以下に維持することを含んでよい。この方法は、スペーサの第2の層を除去することを含んでよい。
[0009] 幾つかの実施形態では、スペーサの第2の層が、スペーサの第1の層に隣接する第1の酸素含有層、及びスペーサの第3の層に隣接する第2の酸素含有層であってよく、又はそれらを含んでよい。第2の酸素含有層は、第1の酸素含有層より低い酸素含有量によって特徴付けられてよい。スペーサの第2の層を除去することは、上から下へのエッチング(top-to-bottom etch)で第1の酸素含有層を除去することを含んでよい。スペーサの第2の層を除去することは、続いて、第2の酸素含有層を横方向のエッチングで除去することも含んでよい。スペーサの第1の層及びスペーサの第3の層は、第2の層の除去中に実質的に維持されてよい。スペーサの第2の層は、スペーサの第3の層に対して約50:1以上の選択性で除去されてよい。スペーサの第1の層及びスペーサの第3の層は、窒化ケイ素又は炭窒化ケイ素であってよく、又はそれらを含んでよい。スペーサの第2の層は、スペーサの第3の層の下に延在してよい。また、本方法は、スペーサの第3の層の下から第2のスペーサ層を横方向にエッチングすることも含んでよい。フッ素含有前駆体は、フッ化無水水素であってよい。基板処理領域は、除去中、プラズマフリーに維持されてよい。
[0010] 本技術はまた、エッチング方法も含み得る。この方法は、フッ化無水水素を半導体処理チャンバの基板処理領域の中に流すことを含んでよい。この方法は、基板処理領域の中に水蒸気を流すことを含んでよい。この方法は、基板処理領域内に収容された基板を、フッ化無水水素及び水分蒸気と接触させることを含んでよい。基板は、トレンチを含んでよく、トレンチの側壁に沿ってスペーサが形成されてよい。スペーサは、トレンチの側壁に隣接する炭素含有材料の第1の層、第1の酸素含有材料と第1の酸素含有材料とは異なる第2の酸素含有材料を含む第2の層、及び窒素含有材料の第3の層を含む、複数の横方向の層を含んでよい。スペーサの第2の層は、スペーサの第1の層とスペーサの第3の層との間に配置されてよい。スペーサの第2の層は、スペーサの第3の層の下で横方向に少なくとも部分的に延在してよい。この方法は、半導体処理チャンバの基板処理領域内の相対湿度を、約60%以下に維持することを含んでよい。この方法はまた、スペーサの第2の層を除去することも含んでよい。
[0011] このような技術は、従来のシステム及び技法よりも多数の利点を提供し得る。例えば、当該プロセスによって、他の露出した材料を侵食することなく、高アスペクト比の特徴をエッチングすることが可能になる。更に、当該プロセスによって、他の露出された材料を実質的に維持するスペーサ特徴内の空隙を形成することが可能になる。これらの実施形態及びその他の実施形態は、その多くの利点や特徴と共に、後述の記載及び添付の図面により詳細に説明されている。
[0012] 開示された技術の性質及び利点は、本明細書の残りの部分と図面を参照することによってさらに理解を深めることができる。
[0013] 本技術の実施形態による、例示的な処理システムの一実施形態の上面図を示す。 [0014] 本技術の実施形態による、例示的な処理チャンバの概略断面図を示す。 [0015] 本技術の実施形態による、図2Aで示されている処理チャンバの一部分の詳細図を示す。 [0016] 本技術の実施形態による、例示的なシャワーヘッドの底面図を示す。 [0017] 本技術の実施形態による、方法の例示的な工程を示す。 [0018] 図5Aから図5Dは、本技術の実施形態による、処理中の基板の断面図を示す。 図5Aから図5Dは、本技術の実施形態による、処理中の基板の断面図を示す。 図5Aから図5Dは、本技術の実施形態による、処理中の基板の断面図を示す。 図5Aから図5Dは、本技術の実施形態による、処理中の基板の断面図を示す。
[0019] 幾つかの図面は、概略図として含まれている。図面は例示を目的としており、縮尺通りであると明記されていない限り、縮尺通りであるとみなしてはならないと理解するべきである。更に、概略図として、図面は、理解を助けるために提供されており、現実的な描写に比べて全ての態様又は情報を含まないことがあり、例示を目的として追加の又は強調された素材を含むことがある。
[0020] 添付の図面では、類似の構成要素及び/又は特徴が、同じ参照符号を有し得る。更に、同じ種類の様々な構成要素は、類似の構成要素間を区別する文字により、参照符号に従って区別することができる。本明細書において第1の参照符号のみが使用される場合、その記載は、文字に関わりなく、同じ第1の参照符号を有する類似の構成要素のうちの何れにも適用可能である。
[0021]基板の洗浄や、こうした基板から材料を除去するために、希釈酸が、多くの様々な半導体処理において使用され得る。例えば、希釈されたフッ化水素酸は、酸化ケイ素に対して効果的なエッチャントであってよく、酸化ケイ素をシリコン表面から除去するために使用されてよい。エッチング又は洗浄動作が完了した後で、ウエハ又は基板表面から酸が乾燥し得る。希釈されたフッ化水素酸(DHF)の使用は、「湿式」エッチングと呼ばれることがあり、希釈剤は水であることが多い。基板に供給される前駆体を利用し得る追加のエッチング処理が使用されてよい。例えば、水蒸気と共に、プラズマ種がウエハに供給されて、エッチャント混合物が同様に生成されてよい。
[0022] 水溶液又は水ベースのプロセスを使用する湿式エッチャントは、特定の基板構造に対して効果的に動作し得るが、水は、除去されるべき小さなピッチ特徴を有する基板上で利用される場合、問題を提起し得る。
例えば、空隙形成プロセスは、基板上の材料の薄い層を選択的に除去することができ、薄い層は、数ナノメートルの厚さによって特徴付けられてよい。湿式エッチャントの表面張力及び他の特性により、エッチャントは、周囲の構造を通して層をエッチングするために、更なる層の間に浸透することができない場合がある。空隙の場所に応じて、特徴は、高アスペクト比によって特徴付けられてよく、湿式エッチャントが特徴を通って広がる能力を更に制限する。したがって、多くの従来の技法は、特定のデバイス構成において空隙を形成することができない。
[0023] 本技術は、高アスペクト比の特徴を通して材料を除去することを可能にする蒸気相エッチングプロセスを行うことにより、これらの問題を克服する。種々の実施形態では、プロセスにおいて、エッチャントレシピの一部としてプラズマ放出物が利用される場合があり、又は利用されない場合がある。この技術は、炭素含有又は窒素含有材料に対して酸化物含有材料を選択的にエッチングすることができる。更に、特定の実施形態におけるスペーサ構造に基づいて、露出された炭素含有又は窒素含有材料は、従来であれば選択性の低いエッチングであるかもしれないもののエッチング中に保護され得る。プラズマが生成されないかもしれない実施形態では、酸素及び水酸基ラジカルの生成を最小限に抑えることができ、これにより、周囲の構造を更に保護することができる。
[0024]残りの開示は、開示される技術を利用する特定のエッチングプロセスを機械的に特定するが、当該システム及び方法は、説明されるチャンバ内で生じ得る堆積及び洗浄処理、並びに、維持され得るか又は実質的に維持され得る様々な露出された材料を用いて実行され得るバック・エンド・オブ・ライン空隙形成や他のエッチングを含む、他のエッチング技術に等しく適用可能であることが容易に理解されるであろう。したがって、本技術は、例示的なエッチングプロセス又はチャンバ単独との使用に限定されるとみなすべきではない。更に、本技術の基礎を提供するために例示的なチャンバが説明されているが、本技術は、説明される工程を可能にし得る、実質的にどんな半導体処理チャンバにも適用できることを理解するべきである。
[0025] 図1は、実施形態による、堆積チャンバ、エッチングチャンバ、ベーキングチャンバ、及び硬化チャンバの処理システム100の一実施形態の上面図を示している。図において、一対の前方開口型統一ポッド(FOUP)102は、ロボットアーム104によって受け取られ、タンデムセクション109a~cに位置付けられた、基板処理チャンバ108a~fのうちの1つに配置される前に低圧保持領域106に配置される様々なサイズの基板を供給する。基板ウエハを保持領域106から基板処理チャンバ108a~fに搬送したり戻したりするために、第2のロボットアーム110が使用されてもよい。各基板処理チャンバ108a~fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、ガス抜き、方向付け、及び他の基板処理に加えて、本明細書で説明される乾式エッチングプロセスを含む数々の基板処理工程を実施するために装備され得る。
[0026] 基板処理チャンバ108a~fは、基板ウエハ上で誘電体膜を堆積し、アニールし、硬化し、及び/又はエッチングするための1以上のシステム構成要素を含み得る。一構成において、2対の処理チャンバ(例えば、108c~d及び108e~f)が、誘電材料を基板上に堆積するために使用されてよく、第3の対の処理チャンバ(例えば、108a~b)が、堆積された誘電体をエッチングするために使用されてよい。別の構成では、3対全てのチャンバ(例えば108a~f)が、基板上の誘電体膜をエッチングするように構成され得る。説明されるプロセスのうちの1以上の任意のものは、種々の実施形態で示している製造システムから分離された1以上のチャンバ内で実行することも、可能である。システム100によって、誘電体膜のための堆積チャンバ、エッチングチャンバ、アニールチャンバ、及び硬化チャンバという更なる構成も想定されることが、認識されよう。
[0027] 図2Aは、処理チャンバ内部で区切られたプラズマ生成領域を有する例示的な処理チャンバシステム200の断面図を示している。膜(例えば、窒化チタン、窒化タンタル、タングステン、シリコン、ポリシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、酸炭化ケイ素など)のエッチング中、プロセスガスは、ガス流入口アセンブリ205を通って第1のプラズマ領域215内に流れることができる。遠隔プラズマシステム(RPS)201が、任意選択的にシステム内に含まれ、第1のガスを処理することがある。その後、ガスは、ガス流入口アセンブリ205を通って移動する。注入アセンブリ205は、2つ以上の別個のガス供給チャネルを含んでよく、第2のチャネル(図示せず)が含まれている場合には、第2のチャネルはRPS201を迂回し得る。
[0028] 冷却板203、面板217、イオンサプレッサ223、シャワーヘッド225、及び基板255が上部に配置された基板支持ペデスタル265が図示されており、各々は実施形態に従って含まれ得る。ペデスタル265は、基板の温度を制御するために熱交換流体が貫流する熱交換チャネルを有し得る。熱交換チャネルは、処理工程中に基板又はウエハを加熱及び/又は冷却するように作動し得る。ペデスタル265のウエハ支持プラッタは、アルミニウム、セラミック、又はこれらの組み合わせを含み、更に、組込型抵抗加熱素子を用いて、比較的高温(例えば、摂氏約100度以下から摂氏約1100度以上)に達するために抵抗加熱され得る。
[0029] 面板217は、最上部が狭く、底部に向けて拡張して広くなっているピラミッド形、円錐形、又は同様の別の構造であってよい。面板217は更に、図示したように平坦で、プロセスガスを分配するために使用される複数の貫通チャネルを含んでいてよい。プラズマ生成ガス及び/又はプラズマ励起種は、RPS201の使用に応じて、図2Bで示す面板217の複数の孔を通過し、第1のプラズマ領域215の中へより均一に供給され得る。
[0030] 例示的な構成は、ガス/種が面板217の孔を通って、第1のプラズマ領域215へ流れ込むように、ガス流入口アセンブリ205が、面板217によって第1のプラズマ領域215から区切られたガス供給領域258の中へ開かれていることを含み得る。第1のプラズマ領域215から、供給領域258、ガス注入口アセンブリ205、及び流体供給システム210へのプラズマの大量逆流を防止するために、構造的及び動作的特徴が選択され得る。面板217(又はチャンバの導電性上部)とシャワーヘッド225は、それらの特徴の間に位置付けられた絶縁リング220を有するように示されており、それにより、シャワーヘッド225及び/又はイオンサプレッサ223に対して面板217にAC電位が印加されることが可能となる。絶縁リング220を、面板217とシャワーヘッド225及び/又はイオンサプレッサ223との間に配置することができ、それにより、第1のプラズマ領域内で容量結合プラズマ(CCP)を生成することが可能となる。更に、バッフル(図示せず)が、第1のプラズマ領域215内に位置付けられてよく、又はさもなければガス注入口アセンブリ205に連結されてよく、ガス注入口アセンブリ205を通る領域の中への流体の流れに影響を与えることができる。
[0031] イオンサプレッサ223は、構造全体にわたって複数の開孔を画定する板又はその他の形状を含み得る。これは、非荷電中性種又はラジカル種が、イオンサプレッサ223を通過し、サプレッサとシャワーヘッドとの間の活性化されたガス供給領域内に入ることを可能にしつつ、第1のプラズマ領域215から出るイオン帯電種の移動を抑制するように構成されている。実施形態では、イオンサプレッサ223が、様々な開孔形状を有する孔開き板を備えてよい。これらの非荷電種には、開孔を通して反応性がより低いキャリアガスと共に搬送される非常に反応性の高い種が含まれ得る。上述したように、孔を通るイオン種の移動を減らすことができ、ある事例では、完全に抑えることができる。イオンサプレッサ223を通過するイオン種の量を制御することにより、有利なことに、下位のウエハ基板と接触させられる混合ガスに対する制御を向上させることができ、それにより、混合ガスの堆積特性及び/又はエッチング特性の制御を向上させることができる。例えば、混合ガスのイオン濃度における調整により、そのエッチング選択性(例えば、SiNx:SiOxエッチング比、Si:SiOxエッチング比など)を大幅に変更することができる。堆積が行われる代替的な実施形態では、誘電材料向けの共形~流動可能スタイルの堆積のバランスをシフトすることもできる。
[0032] イオンサプレッサ223の複数の開孔は、イオンサプレッサ223を通る活性ガス(すなわち、イオン種、ラジカル種、及び/又は中性種)の通過を制御するように構成され得る。例えば、イオンサプレッサ223を通過する活性ガス内のイオン帯電種の流量を低減させるように、孔のアスペクト比(又は孔の長さに対する直径)及び/又は孔の形状寸法を制御することができる。イオンサプレッサ223内の孔は、プラズマ励起領域215に対面するテーパ部と、シャワーヘッド225に対面する円筒部とを含んでよい。円筒部は、シャワーヘッド225へと通過するイオン種の流量を制御するように形作られ寸法決定されてよい。イオンサプレッサ223を通るイオン種の流量を制御するための追加手段として、調整可能な電気的バイアスをイオンサプレッサ223に印加してもよい。
[0033] イオンサプレッサ223は、プラズマ生成領域から基板まで移動するイオン帯電種の量を減らすか、又はなくすように機能することができる。非荷電中性種及びラジカル種は、未だ、イオンサプレッサ内の開口部を通過して、基板と反応することができる。実施形態では、基板を取り囲んだ反応領域内のイオン帯電種の完全な除去が、実行されない場合があることに留意されたい。特定の事例では、イオン種が、エッチング及び/又は堆積プロセスを実行するために、基板に到達することが意図されている。これらの事例では、イオンサプレッサが、プロセスを支援する程度に、反応領域内のイオン種の濃度を制御する助けとなり得る。
[0034] シャワーヘッド225は、イオンサプレッサ223との組み合わせにより、第1のプラズマ領域215内に存在するプラズマが、基板処理領域233内のガスの直接励起を避けることを可能にし得るが、更に励起種がチャンバプラズマ領域215から基板処理領域233内へ移動することを可能する。このようにして、チャンバは、エッチング中の基板255にプラズマが接触することを防止するように構成され得る。これにより、有利なことに、基板上にパターニングされた様々な複雑な構造及び膜が保護される。これらの複雑な構造及び膜は、生成されたプラズマが直接接触すると、損傷、位置ずれ、又はさもなければ歪みが生じ得る。更に、プラズマが基板に接触するか、又は基板レベルに接近することが許容された場合、酸化物種がエッチングを行う速度が上昇し得る。したがって、材料の露出した領域が酸化物である場合、プラズマを基板から離れた位置に留めることにより、この材料を更に保護することができる。
[0035] 処理システムは、処理チャンバに電気的に結合された電源240を更に含み得る。電源240は、第1のプラズマ領域215又は処理領域233でプラズマを生成するために、面板217、イオンサプレッサ223、シャワーヘッド225、及び/又はペデスタル265に電力を供給する。電源は、実行されるプロセスに応じて、チャンバに調整可能な量の電力を供給するように構成され得る。このような構成により、調整可能なプラズマが、実行されているプロセスにおいて使用されることが可能となり得る。しばしば、オン又はオフ機能が提示される遠隔プラズマユニットとは異なり、調整可能なプラズマは、特定の量の電力をプラズマ領域215に供給するように構成され得る。この結果、特定のプラズマ特性の発達を可能にすることができ、それにより、特定のやり方で前駆体を解離して、これらの前駆体によって生成されるエッチングプロファイルを強化することができる。
[0036] プラズマは、シャワーヘッド225上方のチャンバプラズマ領域215、又はシャワーヘッド225下方の基板処理領域233において点火され得る。例えば、フッ素含有前駆体又はその他の前駆体の流入からラジカル前駆体を生成するために、プラズマがチャンバプラズマ領域215の中に存在し得る。通常は高周波(RF)範囲内のAC電圧が、面板217などの処理チャンバの導電性上部とシャワーヘッド225及び/又はイオンサプレッサ223との間に印加されてよく、堆積中にチャンバプラズマ領域215内でプラズマが点火される。RF電源は、13.56MHzの高RF周波数を発生させてよく、単独で又は13.56MHzの周波数との組み合わせで他の周波数を発生させることもできる。
[0037] 図2Bは、面板217を介した処理ガスの分配に影響を与える特徴の詳細図253を示している。図2A及び図2Bで示すように、面板217、冷却板203、及びガス流入口アセンブリ205が交差することにより、ガス供給領域258が画定される。ガス供給領域258には、ガス流入口アセンブリ205から処理ガスが供給され得る。ガスは、ガス供給領域258に充満してよく、面板217内の開孔259を通って、第1のプラズマ領域215まで流れることができる。開孔259は、流れを実質的に一方向へ導くように構成され得る。それにより、処理ガスは、処理領域233の中へ流れ得るが、面板217を横断した後で、ガス供給領域258内に逆流することは、部分的又は完全に防止され得る。
[0038] 処理チャンバセクション200で使用されるシャワーヘッド225などのガス分配アセンブリは、デュアルチャネルシャワーヘッド(DCSH)とも称され、図3に記載された実施形態で更に詳しく示される。デュアルチャネルシャワーヘッドは、処理領域233の外側でエッチャントの分離を可能にして、処理領域の中へ送る前に、チャンバ構成要素及び相互との限られた相互作用をもたらす、エッチングプロセスを提供することができる。
[0039] シャワーヘッド225は、上方板214と下方板216とを含み得る。板を互いに連結させて、板間の容積218を画定することができる。板を連結することにより、上側板と下側板を通る第1の流体チャネル219と、下側板216を通る第2の流体チャネル221とを設けることができる。形成されたチャネルは、第2の流体チャネル221のみを介して、下側板216を通して容積218からの流体アクセスをもたらすように構成されてよく、第1の流体チャネル219は、(2つの)板の間の容積218と第2の流体チャネル221とから流体的に分離され得る。容積218は、ガス分配アセンブリ225の側部を通して流体的にアクセス可能であり得る。
[0040] 図3は、実施形態による、処理チャンバで使用するためのシャワーヘッド325の底面図である。シャワーヘッド325は、図2Aで示されたシャワーヘッド225に対応し得る。第1の流体チャネル219のビューを示す貫通孔365は、シャワーヘッド225を通る前駆体の流れを制御して、その流れに影響を与えるために、複数の形状及び構成を有し得る。第2の流体チャネル221のビューを示す小さな孔375は、シャワーヘッドの表面上に、貫通孔365の間でさえも実質的に均等に分布する。他の構成に比べて、これらの小さな孔375は、前駆体がシャワーヘッドから流出する際に、より均一な混合をもたらす助けとなり得る。
[0041] 前述のチャンバは、エッチング方法を含む例示的な方法を実施するのに使用され得る。図4を見ると、本技術の実施形態による方法400の例示的な工程が示されている。方法400は、方法の開始前に、フロントエンド処理、堆積、エッチング、研磨、洗浄、又は説明された動作の前に実行され得る任意の他の動作を含む1以上の動作を含み得る。この方法は、本技術による方法の幾つかの実施形態に特に関連付けられていてもよいし、又は関連付けられていなくてもよい、幾つかの任意選択的な工程を含むことができる。例えば、工程の多くは、より広い範囲の構造形成を提供するために説明されるが、本技術にとって重要ではなく、又は以下で更に説明されるように代替的な方法によって実行されてよい。方法400は、図5A~図5Dで概略的に示される工程を説明し、それらの図は、方法400の工程に関連して説明されることになる。図5は、部分的な概略図のみを示し、基板は、図で示されるような態様を有する任意の数の構造セクション、並びに、本技術の工程から依然として利益を得ることができる代替的な構造態様を含むことができることを理解されたい。
[0042] 方法400は、半導体構造を特定の製造工程に発展させるための任意選択的な工程を含み得る。図5Aで示すように、半導体構造は、トレンチ、ビア、又は他の凹み特徴が基板501内に形成されたデバイスを表してよい。図示のように、構造500は、ケイ素若しくは他の何らかの半導体基板材料でできているか、又はそれらを含む基板501、並びに、凹み、トレンチ、ビア、又は分離構造を形成することができる層間誘電材料を含むことができる。トレンチ503は、同様に本技術によって包含されるビア又は他の凹みであってよく、基板501の側壁502と、トレンチ503内に形成又は配置された材料504と、の間に形成されたスペーサ505構造を含んでもよい。材料504は、金属材料、誘電材料、接点材料、トランジスタ材料、又は半導体処理において使用され得る任意の他の材料であってよい。
[0043] スペーサ505は、互いに横方向に隣接して形成された幾つかの層を含むことができる。例えば、図示のように、スペーサ505は、第1の層506、第2の層508、及び第3の層510を含んでよい。スペーサ505は、様々な実施形態において任意の個数の層を含むことができるが、幾つかの実施形態では、スペーサが、少なくとも3つの材料の層を含んでよい。空隙を形成する幾つかの方法(例えば、本技術の幾つかの実施形態)では、スペーサの他の層の間に犠牲層を形成することを含んでよい。その後の除去中に、犠牲層を除去して、スペーサの維持された層の間に空隙を設けることができる。幾つかの実施形態では、スペーサ505の第2の層508が、スペーサ505の第1の層506と第3の層510との間に空隙を設けるために基板から除去される犠牲材料を示してよい。
[0044] 図示されるような幾つかの実施形態では、スペーサ505の第1の層506及びスペーサ505の第2の層508が、第3の層510の下に、ある程度だけ延在してよい。これらの層は製造中に互いの上に形成され得るので、第3の層510などの形成される最後の層は、スペーサ505の他の層の上に載置又は配置されてよい。図示のように、スペーサ505の第2の層508は、第3の層510の下で横方向に延在するセクション509を含んでよい。同様に、第1の層506は、第2の層508及び第3の層510の下で横方向に延在するセクション507を含んでよい。幾つかの実施形態では、犠牲スペーサ材料の横方向の部分が、エッチング工程中に除去されてよい。
[0045] 図は、本技術の幾つかの実施形態によるスペーサの概略図のみを含み、何らかの特定の縮尺で描かれておらず、代わりに、本技術によって包含される潜在的な構造の何からの特定の特徴を強調するために示されていることを理解されたい。例えば、幾つかの実施形態では、各層が横方向に同様の厚さで形成されてよく、又は任意の個々の層が任意の他の層より厚くてよい。本技術によるスペーサは、構造の任意のアスペクト比又は高さ対幅比によって特徴付けられてよいが、幾つかの実施形態では、スペーサが、より大きなアスペクト比によって特徴付けられてよく、これは、従来の技術では十分なエッチングを可能にしない場合がある。例えば、幾つかの実施形態では、本スペーサの任意の層のアスペクト比が、約10:1以上、約20:1以上、約30:1以上、約40:1以上、約50:1以上、又はそれより上であってよい。加えて、スペーサ505の各層は、約10nm以下、約8nm以下、約6nm以下、約5nm以下、約4nm以下、約3nm以下、約2nm以下、約1nm以下、又はそれより下の、2.5nmや1.5nmなどの記載された数字の何れかの端数を含む、縮小された幅によって特徴付けられてよい。
[0046] 高アスペクト比と最小幅とのこの組み合わせは、多くの従来のエッチング工程を失敗させ得るか、又は閉じ込められた幅を通る長い垂直距離である層508のような層を除去するために実質的に長いエッチング時間を必要とし得る。従来の技術では、スペーサ層510が、化学エッチャントに曝される時間のために、そのようなプロセス中、エッチングの影響をより受け易い場合がある。例えば、第1のスペーサ層506は、第2のスペーサ層508を生成するために実行されるプロセスに起因して、より高品質又はより高密度の構造によって特徴付けられ得る。例えば、第2のスペーサ層508の生成は、例えば摂氏約50度を超える又は摂氏約100度を超える温度で行われてよく、第1のスペーサ層506の一種のアニール又は高密度化を提供し得る。同じことは、第2の層508の後に形成され得る第3のスペーサ層510には、当てはまらないことがある。したがって、犠牲層508を垂直に除去することができるが、第1のスペーサ層506及び第3のスペーサ層510の側壁は、エッチャントに曝され得る。第1のスペーサ層506の材料又は品質は、第1のスペーサ層506に対してエッチャントの選択性を高めることができるが、第3のスペーサ層510は、プロセスにおいて粗化されるか又はトリミングされることがある。エッチャントが、第3のスペーサ層510と接触する時間が長ければ長いほど、全体として又は多数のサイクルで、より多くの剥離が起こり得る。
[0047] したがって、本技術の幾つかの実施形態は、第3のスペーサ層510を受け入れるために特有の材料及びプロセスを使用し得る。例えば、図5Bは、第2のスペーサ層508が、第1の層508a及び第2の層508bを含む、スペーサ構造505を示している。第2のスペーサ層508の第2の層508bは、第1の層508aとは異なる材料によって特徴付けられてよく、以下で更に説明されるように、第3のスペーサ層510の上の保護層として作用することができる。第2のスペーサ層508が、多重層を含む場合、実施形態において、第2のスペーサ層508の全幅は依然として、約10nm以下、約5nm以下、又は約3nm以下であってよく、第2のスペーサ層の各層は、等しいか又は異なった量だけ全厚さに寄与する。
[0048] 本技術によって包含される材料は、スペーサ層のそれぞれについて、シリコン含有材料などの様々な材料を含むことができる。前述したように、基板501は、シリコン若しくはポリシリコン、シリコンゲルマニウム、又は、構造が、基板の上に形成される材料を表す場合には、酸化ケイ素若しくは他の誘電材料を含む他の材料を含むことができる。図示されていないが、1以上のキャッピング材料が、基板501の露出した上面上に形成されてよく、酸化物及び/若しくは窒化物材料、又は本明細書に記載される他の材料の何れかを含んでよい。スペーサ505は、多重層によって特徴付けられてよく、それらの各層は、任意の数の材料であってよい。例えば、層の何れかは、酸化ケイ素、酸炭化ケイ素、酸炭窒化ケイ素、炭窒化ケイ素、若しくは窒化ケイ素であってよく、又はそれらを含んでよい。幾つかの実施形態では、スペーサ505の隣接する層が、異なる材料であってよい。例えば、第2のスペーサ層508は、第1のスペーサ層506及び第3のスペーサ層510とは別の材料であってよいが、第1のスペーサ層506は、第3のスペーサ層510と同じ材料であってよい。
[0049] 幾つかの実施形態では、第1のスペーサ層506及び第3のスペーサ層510が、炭素含有材料若しくは上記の窒化物含有材料のうちの何れかのような窒素含有材料であってよく、又はそれらを含んでよく、第2のスペーサ層508が、上記の酸素含有材料のうちの何れかのような酸素含有材料であってよく、又はそれらを含んでよい。例えば、材料の1つの考えられる組み合わせは、第1のスペーサ層506の向けの炭窒化ケイ素、第2のスペーサ層508としての酸化ケイ素、酸炭化ケイ素、又は酸炭窒化ケイ素、及び第3のスペーサ層510としての窒化ケイ素を含んでよい。図5Bで示されるような多重犠牲層を含む実施形態では、第1の層508aが、酸化ケイ素であってよく、第2の層508bが、第1の層508aよりも酸素含有量が少ない、又は化学量論的に酸素量が少ないなどの、異なった酸素含有量によって特徴付けられる酸素含有材料であってよい。以下で説明するように、このような構成は、実施形態において、第3の層510を更なるエッチングから有利に保護することができる。
[0050] 方法400は、実施形態において、第2のスペーサ層508、又は層508a、508bを除去するために実行されてよい。該方法は、工程405で、フッ素含有前駆体を半導体処理チャンバの基板処理領域の中に流すことを含んでよい。フッ素含有前駆体は、上述の領域215のような処理チャンバの遠隔プラズマ領域を通して流されてよいが、幾つかの実施形態では、方法400が、プラズマ放出物を利用しなくてよい。例えば、方法400は、前駆体をプラズマに曝露させずに、フッ素含有前駆体又は他のハロゲン含有前駆体を基板に流し得る。
[0051] 工程410では、基板処理領域の中に水素含有前駆体を流してよい。水素含有前駆体及びフッ素含有前駆体は、処理領域の中に並行して流されてよく、処理チャンバの異なる又は類似する諸部分を通して流されてよい。例えば、両方の前駆体が、入口を通してチャンバへと流されてもよく、又は、フッ素含有前駆体が、第1のアクセス部を通してチャンバへと流され、水素含有前駆体が、第2のアクセス部を通してチャンバへと流されてもよい。工程415では、フッ素含有前駆体及び水素含有前駆体が、図5で示すようなスペーサ構造を含む半導体基板に接触してよい。工程420では、酸素含有材料であってよい第2のスペーサ508の少なくとも一部分が、第1の層506及び第3の層510などのスペーサ505の更なる層を維持しながら除去されてよい。
[0052] 本方法で使用される前駆体は、フッ素含有前駆体又はハロゲン含有前駆体を含んでよい。例示的なフッ素含有前駆体は、三フッ化窒素(NF)であってよく、遠隔プラズマ領域の中に流されてよく、遠隔プラズマ領域は、処理領域から分離されてよいが、処理領域と流体連結してよい。三フッ化窒素と共に、又は三フッ化窒素の代わりに、フッ素の他の源が使用され得る。概して、フッ素含有前駆体を遠隔プラズマ領域の中に流してよく、フッ素含有前駆体は、原子状フッ素、二原子フッ素、三フッ化窒素、四フッ化炭素、フッ化無水水素を含むフッ化水素、二フッ化キセノン、及び半導体処理に使用されるか又は有効な様々な他のフッ素含有前駆体の群から選択される少なくとも1つの前駆体を含んでよい。前駆体は、窒素、ヘリウム、アルゴン、又はその他の希の、不活性の、若しくは有用な前駆体を含み得る、任意の数のキャリアガスを更に含み得る。プロセスにおいてプラズマが形成されてよいが、幾つかの実施形態では、フッ素含有前駆体が、基板処理領域に送達される前にプラズマ増強又はラジカル化されなくてよく、幾つかの実施形態では、例示的なプロセス中にプラズマが形成されなくてもよい。
[0053] 水素含有前駆体は、水素、炭化水素、水蒸気、アルコール、過酸化水素、又は当業者によって理解され得るような水素を含むことができる他の材料を含んでよい。キャリアガス又は不活性材料などの追加の前駆体が、同様に二次前駆体と共に含まれ得る。幾つかの実施形態では、水蒸気などの水素含有前駆体が、遠隔プラズマ領域内で形成され得るプラズマから流体的に隔離されて維持されてよい。幾つかの実施形態では、犠牲層を取り囲むスペーサ層などの炭素含有又は窒素含有材料を保護するのを助けるために、エッチング方法中にプラズマを形成しなくてよい。プラズマ処理の選択性は上述した高アスペクト比で比較的薄い材料幅のため、窒化物材料よりも速く酸化物材料をエッチングすることができるが、水蒸気を含むエッチャントに第3のスペーサ層510の側壁を曝すと、除去中に薄くなる可能性があり、第3のスペーサ層の十分な厚さを維持できない可能性がある。実施形態では、プラズマ処理領域が、除去工程中、プラズマフリーで維持され得る。プラズマフリーとは、上述のように遠隔で生成されたプラズマ放出物が工程中に使用され得るにも関わらず、工程中、プラズマが、処理領域内で活性的に形成され得ないことを意味する。
[0054] 水蒸気及びハロゲン含有前駆体(フッ素含有前駆体又は他のハロゲンであってよい)との反応プロセスは、フッ素含有材料を解離させてエッチャントを生成してよい。酸化ケイ素又は他の酸素含有材料は、水素などの陽子を受け取ることができ、次いで、この材料は、エッチャントによってエッチングされて、揮発性成分及び他の反応副生成物を生成することができる。更に、幾つかの従来技法は、連続エッチングの前に除去される固体副生成物を生成するプロセスを実行し得る。連続エッチングは、エッチング、及び後続の副生成物除去のサイクルにおいて実行され得る。そのようなプロセスは、高アスペクト比の特徴のためにより多くの時間を要する場合があり、そのため、エッチングプロセスを完了するために多くのサイクルを必要とする場合がある。本技術は、これらの不足によって悩まされることはない。なぜなら、固体副生成物は形成され得ず、エッチングは、酸化物材料との接触の後に開始し得るからである。例えば、水蒸気であり得る水素含有前駆体は基板の表面上で凝縮してよく、フッ素含有前駆体と相互作用してよく、インキュベーションなしで解離してエッチングを開始してよい。したがって、エッチング工程は、幾つかの実施形態では単一サイクルで行われてよいが、複数サイクルのエッチングが行われてもよい。更に、プロセスは、第2のスペーサ層508を、約30分以下、約25分以下、約20分以下、約15分以下、約10分以下、約5分以下、又はそれより短い時間で完全に除去してよい。
[0055] 図5Cを参照すると、第3のスペーサ層510の縮小を制限するために、第2のスペーサ層508が部分的に除去されている実施形態が示されている。第2のスペーサ508の第2の層508bが、第1の層508aと比較して、更なる炭素又は窒素含有量、又はより低い酸素含有量を含むときに、第2の層508bは、第1の層508aよりも低いエッチング速度によって特徴付けられてよい。したがって、第1の層508aは、50%未満の除去、約60%以下の除去、約70%以下の除去、約80%以下の除去、又は約90%以下の除去などの、第2の層508bの大規模な除去の前に、又は第3のスペーサ層510の側壁の完全な露出の前に、実質的に又は完全に除去されてよい。第2の層508bは、エッチングがトップダウンアプローチからのみ行われるべきであった場合、比較的遅いエッチング速度によって特徴付けられてよく、そのようなシナリオは、第3のスペーサ層510を、層を損傷するのに十分な期間だけエッチャントに曝し得る。しかし、第1のスペーサ層506と第2の層508bとの間に間隙を形成することによって、エッチャントは、ボイドを充填し、第2の層508bを完全に露出させてよい。更に、エッチングすることは部分的に、実質的に、又は本質的に横方向に実行され得るので、エッチングする距離は、約5nm以下であってよく、これは第2の層508bを除去するための期間を大幅に短縮し得る。
[0056] 次いで、第2の層508bは、図5Dで示されるように、第3のスペーサ層510及び第1のスペーサ層506を実質的に又は本質的に維持しながら、完全に除去され得る。スペーサ505の各層を形成する材料に応じて、スペーサ層510の頂部で部分的な丸みが生じることがあるが、スペーサ層510の最小限の除去が生じてよく、層は初期形成量から50%を超えて維持されてよい。幾つかの実施形態では、第3のスペーサ層510が、初期形成量から60%を超えて維持され、初期形成量から70%を超えて維持され、初期形成量から80%を超えて維持され、初期形成量から90%を超えて維持され、初期形成量から95%を超えて維持され、初期形成量から97%を超えて維持され、初期形成量から99%を超えて維持され、又はそれより上であってもよい。この技術によって形成された空隙は、第1スペーサ層506と第3スペーサ層510との間の垂直方向の長さ、又は向きに応じて水平方向の長さ、及び第3スペーサ層510の下の完全に横方向の距離を延長してもよい。
[0057] 本技術にしたがって、プロセス条件はまた、方法400で、また他の除去方法で実行される工程に影響を及ぼし得る。方法400の各工程は、諸実施形態では、一定の温度で実行されてよく、幾つかの実施形態では、温度は種々の工程の間に調節されてよい。例えば、方法400中の、基板、ペデスタル、又はチャンバの温度は、諸実施形態では、摂氏約50度以下に維持されてよい。更に、基板温度は、摂氏約45度以下、摂氏約40度以下、摂氏約35度以下、摂氏約30度以下、摂氏約25度以下、摂氏約20度以下、摂氏約15度以下、摂氏約10度以下、摂氏約5度以下、摂氏約0度以下、摂氏約-5度以下、又はそれより低く維持されてよい。温度は、これらの範囲内、これらの範囲内に含まれるより狭い範囲内、又はこれらの何れかの範囲の間の任意の温度で更に維持されてもよい。
[0058] チャンバ内の圧力がまた、実行される工程に影響を及ぼすことがあり、実施形態によっては、チャンバ圧力は、約50Torr以下、約40Torr以下、約30Torr以下、約25Torr以下、約20Torr以下、約15Torr以下、約10Torr以下、約5Torr以下、約1Torr以下、又はそれより下になってよい。圧力は、これらの範囲内、これらの範囲内に含まれるより狭い範囲内、又はこれらの何れかの範囲の間の任意の圧力で更に維持されてもよい。約30Torr未満の圧力で工程を実行することにより、炭素含有又は窒素含有材料に対してプロセスの選択性を広げることができる。
[0059] また、圧力は、エッチング又は除去工程中、特定の相対湿度を維持するために利用されてもよい。幾つかの実施形態では、処理チャンバ内の相対湿度が、全エッチング工程中、約75%未満に維持されてよく、これは酸素含有材料の改善された除去を促進し得る。他の実施形態では、相対湿度が、約50%以下、約45%以下、約40%以下、約35%以下、約30%以下、約25%以下、約20%以下、約15%以下、約10%以下、又はそれより下に維持されてよい。相対湿度はまた、これらの数値の任意の間、或いはこれらの範囲内に含まれる任意のより小さな値の範囲内にあってもよい。
[0060] 1以上の前駆体の流量もまた、他の処理条件の何れかによって調節され得る。例えば、除去工程中、フッ素含有前駆体の流量を、減少、維持、又は増加させることができる。方法400の何れかの工程では、フッ素含有前駆体の流量が、約5sccmから約1,000sccmの間になってよい。更に、フッ素含有前駆体の流量は、少なくとも若しくは約10sccm、少なくとも若しくは約25sccm、少なくとも若しくは約50sccm、少なくとも若しくは約100sccm、少なくとも若しくは約150sccm、少なくとも若しくは約200sccm、少なくとも若しくは約250sccm、少なくとも若しくは約300sccm、少なくとも若しくは約400ssccm、少なくとも若しくは約500sccm、少なくとも若しくは約700sccm、少なくとも若しくは約900sccm、又はそれより上であってもよい。流量は、これらの上述の何れかの流量の間、又はこれらの任意の数値に含まれるより小さな範囲内であってもよい。
[0061] 任意の数の水素含有前駆体であってよい水素含有前駆体は、使用される前駆体に応じて、これらの流量の何れかで流すことができる。例えば、水蒸気が利用される実施形態では、蒸気が、少なくとも又は約0.1g/分の速度で導入されてよい。水蒸気はまた、少なくとも若しくは約0.2g/分、少なくとも若しくは約0.3g/分、少なくとも若しくは約0.4g/分、少なくとも若しくは約0.5g/分、少なくとも若しくは約0.6g/分、少なくとも若しくは約0.7g/分、少なくとも若しくは約0.8g/分、少なくとも若しくは約0.9g/分、少なくとも若しくは約1g/分、少なくとも若しくは約1.5g/分、又はそれより上の速度で導入されてよいが、蒸気は、構成要素及び基板に対する凝縮を低減させ又は制限するために、約5g/分未満又は約1g/分以下で導入されてよい。水蒸気はまた、これらの記載された流量の何れかの間の流量で、又はこれらの数の何れかによって包含されるよりも小さい範囲内で導入されてもよい。
[0062] 本技術は、酸化ケイ素、酸炭化ケイ素、酸炭窒化ケイ素、又は他の酸素含有材料を他の材料に対して選択的にエッチングすることができ、他の種類の酸化ケイ素に対して幾つかの種類の酸化ケイ素を選択的にエッチングすることができる。例えば、本技術は、少なくとも約10:1の比率で、堆積された酸化ケイ素を熱酸化物に対してエッチングすることができ、少なくとも約15:1、少なくとも約20:1、少なくとも約50:1、少なくとも約100:1、又はそれを越える比率で、堆積された酸化物を熱酸化物に対してエッチングすることができる。堆積された酸化物は、スピンオン誘電体を含んでよく、又は、堆積技法は、CVD、PECCD、及びその他の堆積技法を含む。本技術はまた、任意の比率の炭素及び窒素、又は酸炭窒化ケイ素を有する、窒化ケイ素、炭窒化ケイ素膜に対して、酸素含有材料の何れかを、少なくとも約20:1、少なくとも約25:1、少なくとも約30:1、少なくとも約50:1、少なくとも約100:1、少なくとも約150:1、少なくとも約200:1、少なくとも約250:1、少なくとも約300:1、少なくとも約350:1、少なくとも約400:1、少なくとも約450:1、少なくとも約500:1、又はそれより上の速度でエッチングしてもよい。
[0063] 前述の方法は高アスペクト比の特徴であり得る炭素含有及び/又は窒素含有特徴の限界寸法を維持しながら、また金属及び酸化物含有材料、並びに他のシリコン含有材料を含む他の材料を維持しながら、炭素含有及び/又は窒素含有材料の2つの層の間に間隙を形成するために、基板から酸化物材料を除去することを可能にし得る本方法及び工程を利用することにより、初期露出の比較的薄い幅を有する高アスペクト比の特徴は、湿式エッチングとは異なり、パターン崩壊を起こさず、また、幾つかの従来の乾式エッチングとは異なり、露出したスペーサ層を除去しないか、又は実質的に維持しながらエッチングすることができる。
[0064] 上記の記載では、説明を目的として、本技術の様々な実施形態の理解を促すために、数々の詳細が提示されている。しかし、当業者には、これらの詳細のうちの一部がなくても、或いは、追加の詳細があれば、特定の実施形態を実施することができることは明らかであろう。
[0065] 幾つかの実施形態を開示したが、当業者は、実施形態の精神から逸脱することなく、様々な修正例、代替構造物、及び均等物を使用できることを認識されよう。更に、幾つかの周知の処理及び要素は、本技術を不必要に不明瞭にすることを避けるために説明されていない。したがって、上記の説明は、本技術の範囲を限定するものと解釈すべきでない。更に、方法又は処理は、連続的又は段階的に説明され得るが、工程は、同時に行われてもよく、又は、記載よりも異なる順序で行われてもよいことを理解するべきである。
[0066] 値の範囲が提供されている場合、文脈上そうでないと明示されていない限り、当然ながら、その範囲の上限値と下限値との間の各介在値は、下限値の最も小さい単位まで具体的に開示されている。記載された範囲の任意の記載値又は記載されていない介在値の間の任意の小さい範囲、そしてその記載範囲のその他の任意の記載された値又は介在する値も含まれる。これら小さい範囲の上限及び下限は、その範囲に個々に含まれ、又はその範囲から除外される場合があり、小さい範囲に限界値の何れかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もまた、記載された範囲における明確に除外される任意の限界値を条件として、この技術範囲に包含される。記載された範囲に一方又は両方の限界値が含まれる場合、これらの含有限界値の何れか又は両方を除外する範囲もまた含まれる。
[0067] 本明細書及び特許請求の範囲で使用される単数形「a」、「an」、及び「the」は、文脈が他のことを明らかに示していない限り、複数の参照対象を含む。したがって、例えば、「ある前駆体(a precursor)」への言及は、複数のこのような前駆体を含み、「その層(the layer)」への言及は、当業者に知られている1つ又は複数の層及びその均等物への言及を含み、その他の形にも同様のことが当てはまる。
[0068] また、「備える(comprise(s))」、「備えている(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という用語は、本明細書及び特許請求の範囲で使用された場合、記載された特徴、整数、構成要素、又はステップの存在を特定することを意図しているが、一又は複数のその他の特徴、整数、構成要素、工程、動作、又はグループの存在又は追加を除外するものではない。

Claims (19)

  1. フッ素含有前駆体を半導体処理チャンバの基板処理領域の中に流すこと、
    前記基板処理領域の中に水素含有前駆体を流すこと、
    前記基板処理領域内に収容された基板を前記フッ素含有前駆体及び前記水素含有前駆体と接触させることであって、前記基板がトレンチを含み、前記トレンチの側壁に沿ってスペーサが形成され、前記スペーサが、前記トレンチの前記側壁に隣接する炭素含有材料の第1の層、及び酸素含有材料の第2の層を含む複数の層を含み、前記スペーサの前記第2の層が、前記スペーサの前記第1の層に隣接して配置されている、基板を前記フッ素含有前駆体及び前記水素含有前駆体と接触させること、並びに
    前記酸素含有材料を除去することを含む、エッチング方法。
  2. 前記水素含有前駆体が、前記半導体処理チャンバの遠隔プラズマ領域において、前記半導体処理チャンバ内で生成可能なプラズマから流体的に隔離されて維持される、請求項1に記載のエッチング方法。
  3. 前記水素含有前駆体が、水蒸気を含む、請求項1に記載のエッチング方法。
  4. 前記フッ素含有前駆体が、フッ化無水水素を含む、請求項1に記載のエッチング方法。
  5. 前記スペーサの各層が、20:1以上のアスペクト比によって特徴付けられる、請求項1に記載のエッチング方法。
  6. 前記酸素含有材料が、5nm以下の幅によって特徴付けられる、請求項5に記載のエッチング方法。
  7. 前記基板の温度が、前記エッチング方法中、摂氏10度以下に維持される、請求項1に記載のエッチング方法。
  8. 前記スペーサが、前記第2の層に隣接して配置された第3の層、及び前記第2の層と前記第3の層との間に配置された第4の層を更に含み、前記第4の層が酸素含有材料である、請求項1に記載のエッチング方法。
  9. 前記スペーサの前記第4の層が、前記スペーサの前記第2の層よりも化学量論的に少量の酸素によって特徴付けられる、請求項8に記載のエッチング方法。
  10. 前記基板処理領域が、前記エッチング方法中、プラズマフリーに維持される、請求項1に記載のエッチング方法。
  11. フッ素含有前駆体を半導体処理チャンバの基板処理領域の中に流すこと、
    前記基板処理領域の中に水蒸気を流すこと、
    前記基板処理領域内に収容された基板を前記フッ素含有前駆体及び前記水蒸気と接触させることであって、前記基板がトレンチを含み、前記トレンチの側壁に沿ってスペーサが形成され、前記スペーサが、前記トレンチの側壁に隣接する炭素含有材料の第1の層、及び酸素含有材料を含む第2の層を含む複数の横方向の層を含む、基板を前記フッ素含有前駆体及び前記水蒸気と接触させること、
    前記半導体処理チャンバの前記基板処理領域内の相対湿度を60%以下に維持すること、並びに
    前記スペーサの前記第2の層を除去することを含む、除去方法。
  12. 前記スペーサの前記第2の層が、前記スペーサの前記第1の層に隣接する第1の酸素含有層、及び第2の酸素含有層を含む、請求項11に記載の除去方法。
  13. 前記第2の酸素含有層が、前記第1の酸素含有層よりも低い酸素含有量によって特徴付けられる、請求項12に記載の除去方法。
  14. 前記スペーサの前記第2の層を除去することが、
    前記第1の酸素含有層を上から下へのエッチングで除去すること、及び
    続いて、前記第2の酸素含有層を横方向のエッチングで除去することを含む、請求項12に記載の除去方法。
  15. 前記スペーサの前記第1の層が、前記第2の層の除去中に維持される、請求項14に記載の除去方法。
  16. 前記スペーサが第3の層を含み、前記スペーサの前記第2の層が、前記スペーサの前記第3の層に対して50:1以上の選択性で除去される、請求項15に記載の除去方法。
  17. 前記スペーサの前記第1の層及び前記スペーサの前記第3の層が、窒化ケイ素又は炭窒化ケイ素を含む、請求項16に記載の除去方法。
  18. 前記スペーサの前記第2の層が、前記スペーサの前記第3の層の下に延在し、前記除去方法が、前記スペーサの前記第3の層の下から前記スペーサの前記第2の層を横方向にエッチングすることを更に含む、請求項16に記載の除去方法。
  19. 前記フッ素含有前駆体が、フッ化無水水素であり、前記除去方法中、前記基板処理領域が、プラズマフリーに維持される、請求項11に記載の除去方法。
JP2022076954A 2018-02-28 2022-05-09 空隙を形成するためのシステム及び方法 Active JP7401593B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862636725P 2018-02-28 2018-02-28
US62/636,725 2018-02-28
PCT/US2019/019866 WO2019169009A1 (en) 2018-02-28 2019-02-27 Systems and methods to form airgaps
JP2020544602A JP7072075B2 (ja) 2018-02-28 2019-02-27 空隙を形成するためのシステム及び方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020544602A Division JP7072075B2 (ja) 2018-02-28 2019-02-27 空隙を形成するためのシステム及び方法

Publications (2)

Publication Number Publication Date
JP2022116000A JP2022116000A (ja) 2022-08-09
JP7401593B2 true JP7401593B2 (ja) 2023-12-19

Family

ID=67685143

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020544602A Active JP7072075B2 (ja) 2018-02-28 2019-02-27 空隙を形成するためのシステム及び方法
JP2022076954A Active JP7401593B2 (ja) 2018-02-28 2022-05-09 空隙を形成するためのシステム及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020544602A Active JP7072075B2 (ja) 2018-02-28 2019-02-27 空隙を形成するためのシステム及び方法

Country Status (6)

Country Link
US (2) US10615047B2 (ja)
JP (2) JP7072075B2 (ja)
KR (2) KR102581284B1 (ja)
CN (1) CN111819669A (ja)
TW (2) TWI766433B (ja)
WO (1) WO2019169009A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device
US11456246B2 (en) * 2020-07-21 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123607A (ja) 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US20090298256A1 (en) 2008-06-03 2009-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
JP2014507073A (ja) 2011-02-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド 遠隔励起式のフッ素および水蒸気エッチング
US20140264729A1 (en) 2013-03-12 2014-09-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20150044865A1 (en) 2010-03-05 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of making integrated circuits including air gaps around interconnect structures

Family Cites Families (1870)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US3401302A (en) 1965-11-01 1968-09-10 Humphreys Corp Induction plasma generator including cooling means, gas flow means, and operating means therefor
US3537474A (en) 1968-02-19 1970-11-03 Varian Associates Push button vacuum control valve and vacuum system using same
US3756511A (en) 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US3969077A (en) 1971-12-16 1976-07-13 Varian Associates Alkali metal leak detection method and apparatus
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4190488A (en) 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4361441A (en) 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4361418A (en) 1980-05-06 1982-11-30 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS59126778A (ja) 1983-01-11 1984-07-21 Tokyo Denshi Kagaku Kabushiki プラズマエツチング方法及びその装置
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4656076A (en) 1985-04-26 1987-04-07 Triquint Semiconductors, Inc. Self-aligned recessed gate process
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4610775A (en) 1985-07-26 1986-09-09 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
JPS6245119A (ja) 1985-08-23 1987-02-27 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4668335A (en) 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
DE3856483T2 (de) 1987-03-18 2002-04-18 Toshiba Kawasaki Kk Verfahren zur Herstellung von Dünnschichten
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786360A (en) 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2598019B2 (ja) 1987-06-01 1997-04-09 富士通株式会社 感光体の製造方法
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4828649A (en) 1987-07-16 1989-05-09 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
US4820377A (en) 1987-07-16 1989-04-11 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4919750A (en) 1987-09-14 1990-04-24 International Business Machines Corporation Etching metal films with complexing chloride plasma
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4991542A (en) 1987-10-14 1991-02-12 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JP2804037B2 (ja) 1988-02-05 1998-09-24 株式会社東芝 ドライエッチング方法
JPH01297141A (ja) 1988-05-25 1989-11-30 Canon Inc マイクロ波プラズマ処理装置
US4900856A (en) 1988-05-26 1990-02-13 Ethyl Corporation Preparation of metal halide-amine complexes
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH02114525A (ja) 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
DE68928402T2 (de) 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
JP2823276B2 (ja) 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
US4946903A (en) 1989-03-27 1990-08-07 The Research Foundation Of State University Of Ny Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5083030A (en) 1990-07-18 1992-01-21 Applied Photonics Research Double-sided radiation-assisted processing apparatus
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
DE69116058T2 (de) 1990-09-27 1996-08-22 At & T Corp Verfahren zur Herstellung integrierter Schaltungen
JPH04142738A (ja) 1990-10-04 1992-05-15 Sony Corp ドライエッチング方法
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5217559A (en) 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
DE4107006A1 (de) 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
US5330578A (en) 1991-03-12 1994-07-19 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
US5290383A (en) 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
EP0511448A1 (en) 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0562936A (ja) 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5292370A (en) 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP2664866B2 (ja) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション 窒化ホウ素をエッチングする方法
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5292682A (en) 1993-07-06 1994-03-08 Eastman Kodak Company Method of making two-phase charge coupled device
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5685946A (en) 1993-08-11 1997-11-11 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3188363B2 (ja) 1994-01-21 2001-07-16 エフエスアイ・インターナショナル・インコーポレーテッド 循環クーラントを用いた温度コントローラ及びそのための温度制御方法
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5454170A (en) 1994-03-02 1995-10-03 Vlsi Technology Inc. Robot to pedestal alignment head
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3501524B2 (ja) 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JP3411678B2 (ja) 1994-07-08 2003-06-03 東京エレクトロン株式会社 処理装置
US5592358A (en) 1994-07-18 1997-01-07 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
JPH0888321A (ja) * 1994-09-16 1996-04-02 Nissan Motor Co Ltd 半導体装置の製造方法及び半導体装置の構造
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08107101A (ja) 1994-10-03 1996-04-23 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
TW344897B (en) 1994-11-30 1998-11-11 At&T Tcorporation A process for forming gate oxides possessing different thicknesses on a semiconductor substrate
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
CN1053764C (zh) 1994-12-09 2000-06-21 中国科学院微电子中心 束致变蚀方法
ES2143085T3 (es) 1994-12-19 2000-05-01 Alcan Int Ltd Limpieza de piezas de aluminio.
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08264510A (ja) 1995-03-27 1996-10-11 Toshiba Corp シリコン窒化膜のエッチング方法およびエッチング装置
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
TW323387B (ja) 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3599204B2 (ja) 1995-06-08 2004-12-08 アネルバ株式会社 Cvd装置
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5814238A (en) 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
DE69608669T2 (de) 1995-12-19 2001-03-01 Fsi International Chaska Stromloses aufbringen von metallfilmen mit sprayprozessor
US5883012A (en) 1995-12-21 1999-03-16 Motorola, Inc. Method of etching a trench into a semiconductor substrate
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
JP3137989B2 (ja) 1995-12-28 2001-02-26 日本酸素株式会社 薄板状基体の搬送方法および搬送装置
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
JPH09260356A (ja) 1996-03-22 1997-10-03 Toshiba Corp ドライエッチング方法
US6065425A (en) 1996-03-25 2000-05-23 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
JP2000508844A (ja) 1996-03-25 2000-07-11 エス ジョージ レジンスキー 埋め込み可能な補聴器マイクロアクチュエータの取付け装置
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5660957A (en) 1996-05-16 1997-08-26 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
JPH1068094A (ja) 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US5846373A (en) 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5868897A (en) 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6019848A (en) 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5951896A (en) 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
JPH10172792A (ja) 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
US6312554B1 (en) 1996-12-05 2001-11-06 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5948702A (en) 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US5788825A (en) 1996-12-30 1998-08-04 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
US5955037A (en) 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5882424A (en) 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6328803B2 (en) 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
TW418461B (en) 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6017414A (en) 1997-03-31 2000-01-25 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6184121B1 (en) 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5982100A (en) 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US5814365A (en) 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US6007635A (en) 1997-11-26 1999-12-28 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US6136165A (en) 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6083844A (en) 1997-12-22 2000-07-04 Lam Research Corporation Techniques for etching an oxide layer
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6066566A (en) * 1998-01-28 2000-05-23 International Business Machines Corporation High selectivity collar oxide etch processes
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6186091B1 (en) 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6892669B2 (en) 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2976965B2 (ja) 1998-04-02 1999-11-10 日新電機株式会社 成膜方法及び成膜装置
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6174810B1 (en) 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6077386A (en) 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6007785A (en) 1998-05-20 1999-12-28 Academia Sinica Apparatus for efficient ozone generation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
KR100265866B1 (ko) 1998-07-11 2000-12-01 황철주 반도체 제조장치
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6162370A (en) 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP3725708B2 (ja) 1998-09-29 2005-12-14 株式会社東芝 半導体装置
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
JP3764594B2 (ja) 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
DE19901210A1 (de) 1999-01-14 2000-07-27 Siemens Ag Halbleiterbauelement und Verfahren zu dessen Herstellung
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (ja) 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6450116B1 (en) 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110832A (en) 1999-04-28 2000-08-29 International Business Machines Corporation Method and apparatus for slurry polishing
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3482904B2 (ja) 1999-05-10 2004-01-06 松下電器産業株式会社 プラズマ処理方法及び装置
WO2000070117A1 (en) 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6129829A (en) 1999-05-14 2000-10-10 Thompson; Donald E. Electrostatic filter for dielectric fluid
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6161576A (en) 1999-06-23 2000-12-19 Mks Instruments, Inc. Integrated turbo pump and control valve system
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
FR2795555B1 (fr) 1999-06-28 2002-12-13 France Telecom Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique
US6242360B1 (en) 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE69939899D1 (de) 1999-08-17 2008-12-24 Applied Materials Inc Methode und Apparat zur Verbesserung der Eigenschaften eines niedrig-k Si-O-C Filmes
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6322716B1 (en) 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6548414B2 (en) 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
JP3514186B2 (ja) 1999-09-16 2004-03-31 日新電機株式会社 薄膜形成方法及び装置
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6321587B1 (en) 1999-10-15 2001-11-27 Radian International Llc Solid state fluorine sensor system and method
US6423284B1 (en) 1999-10-18 2002-07-23 Advanced Technology Materials, Inc. Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6162302A (en) 1999-11-16 2000-12-19 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6465350B1 (en) 1999-11-29 2002-10-15 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
US6573194B2 (en) 1999-11-29 2003-06-03 Texas Instruments Incorporated Method of growing surface aluminum nitride on aluminum films with low energy barrier
US6599842B2 (en) 1999-11-29 2003-07-29 Applied Materials, Inc. Method for rounding corners and removing damaged outer surfaces of a trench
US6572937B2 (en) 1999-11-30 2003-06-03 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3659101B2 (ja) 1999-12-13 2005-06-15 富士ゼロックス株式会社 窒化物半導体素子及びその製造方法
JP4695238B2 (ja) 1999-12-14 2011-06-08 東京エレクトロン株式会社 圧力制御方法
KR100385133B1 (ko) 1999-12-16 2003-05-22 엘지전자 주식회사 교환기의 셀 다중화/역다중화 시스템
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
WO2001046492A1 (en) 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
US6306246B1 (en) 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
TW580735B (en) 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6958098B2 (en) 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP2001319885A (ja) 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6537707B1 (en) 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP3433721B2 (ja) 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
DE10016340C1 (de) 2000-03-31 2001-12-06 Promos Technologies Inc Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
US6558564B1 (en) 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
JP2001313282A (ja) 2000-04-28 2001-11-09 Nec Corp ドライエッチング方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
EP1435655A3 (en) 2000-05-10 2004-07-14 Ibiden Co., Ltd. Electrostatic chuck
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6391753B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming gate conductors
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
TW527436B (en) 2000-06-23 2003-04-11 Anelva Corp Chemical vapor deposition system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
DE10032607B4 (de) 2000-07-07 2004-08-12 Leo Elektronenmikroskopie Gmbh Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6736987B1 (en) 2000-07-12 2004-05-18 Techbank Corporation Silicon etching apparatus using XeF2
US6440870B1 (en) 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6815646B2 (en) 2000-07-25 2004-11-09 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacture/inspection apparatus, ceramic heater, electrostatic clampless holder, and substrate for wafer prober
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6459066B1 (en) 2000-08-25 2002-10-01 Board Of Regents, The University Of Texas System Transmission line based inductively coupled plasma source with stable impedance
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002075972A (ja) 2000-09-04 2002-03-15 Hitachi Ltd 半導体装置の製造方法
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
DK200001497A (da) 2000-10-08 2002-04-09 Scanavo As Opbevaringsindretning for en databærer
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6461972B1 (en) 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6500772B2 (en) 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
FR2819341B1 (fr) 2001-01-11 2003-06-27 St Microelectronics Sa Procede d'integration d'une cellule dram
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4657473B2 (ja) 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
KR100423953B1 (ko) 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
KR100399986B1 (ko) * 2001-03-20 2003-09-29 삼성전자주식회사 셸로우트렌치 소자분리방법
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
FR2823032B1 (fr) 2001-04-03 2003-07-11 St Microelectronics Sa Resonateur electromecanique a poutre vibrante
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
BR0209048A (pt) 2001-04-20 2004-08-10 Corus Aluminium Walzprod Gmbh Método de eletrodeposição e pré-tratamento de peças de trabalho de alumìnio
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
CN100401852C (zh) 2001-04-30 2008-07-09 科林研发公司 用于控制工件支架表面上空间温度分布的方法与装置
US6914009B2 (en) 2001-05-07 2005-07-05 Applied Materials Inc Method of making small transistor lengths
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020170678A1 (en) 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US20030010645A1 (en) 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6685803B2 (en) 2001-06-22 2004-02-03 Applied Materials, Inc. Plasma treatment of processing gases
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6596599B1 (en) 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US7179556B2 (en) 2001-08-10 2007-02-20 Denso Corporation Fuel cell system
CN1329972C (zh) 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
JP4763235B2 (ja) 2001-08-29 2011-08-31 東京エレクトロン株式会社 プラズマ処理のための装置並びに方法
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
KR100441297B1 (ko) 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US20030054608A1 (en) 2001-09-17 2003-03-20 Vanguard International Semiconductor Corporation Method for forming shallow trench isolation in semiconductor device
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6462372B1 (en) 2001-10-09 2002-10-08 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
KR100433091B1 (ko) 2001-10-23 2004-05-28 주식회사 하이닉스반도체 반도체소자의 도전배선 형성방법
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030087488A1 (en) 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
JP2003158080A (ja) 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP4392852B2 (ja) 2001-12-07 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置
KR100641762B1 (ko) 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
KR20040066170A (ko) 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
WO2003054912A1 (en) 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
JP2003197615A (ja) 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
KR100484258B1 (ko) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
TWI239794B (en) 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7048814B2 (en) 2002-02-08 2006-05-23 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US7033447B2 (en) 2002-02-08 2006-04-25 Applied Materials, Inc. Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6821348B2 (en) 2002-02-14 2004-11-23 3M Innovative Properties Company In-line deposition processes for circuit fabrication
US6656848B1 (en) 2002-02-22 2003-12-02 Scientific Systems Research Limited Plasma chamber conditioning
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20060252265A1 (en) 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7252011B2 (en) 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
JP3813562B2 (ja) 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
JP4053326B2 (ja) 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6897532B1 (en) 2002-04-15 2005-05-24 Cypress Semiconductor Corp. Magnetic tunneling junction configuration and a method for making the same
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
US6794889B2 (en) 2002-04-26 2004-09-21 Agilent Technologies, Inc. Unified apparatus and method to assure probe card-to-wafer parallelism in semiconductor automatic wafer test, probe card measurement systems, and probe card manufacturing
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
TW538497B (en) 2002-05-16 2003-06-21 Nanya Technology Corp Method to form a bottle-shaped trench
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6673200B1 (en) 2002-05-30 2004-01-06 Lsi Logic Corporation Method of reducing process plasma damage using optical spectroscopy
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
TWI275661B (en) 2002-06-14 2007-03-11 Sekisui Chemical Co Ltd Oxide film forming method and oxide film forming apparatus
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
DE10229037A1 (de) 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
AU2003261342A1 (en) 2002-08-02 2004-02-23 E.A. Fischione Instruments, Inc. Methods and apparatus for preparing specimens for microscopy
US20060046412A1 (en) 2002-08-06 2006-03-02 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US6921555B2 (en) 2002-08-06 2005-07-26 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
US20060040055A1 (en) 2002-08-06 2006-02-23 Tegal Corporation Method and system for sequential processing in a two-compartment chamber
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6781173B2 (en) 2002-08-29 2004-08-24 Micron Technology, Inc. MRAM sense layer area control
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4260450B2 (ja) 2002-09-20 2009-04-30 東京エレクトロン株式会社 真空処理装置における静電チャックの製造方法
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW587139B (en) 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2004165317A (ja) 2002-11-12 2004-06-10 Renesas Technology Corp 半導体装置およびその製造方法
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6861332B2 (en) 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
TW561068B (en) 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US7396773B1 (en) 2002-12-06 2008-07-08 Cypress Semiconductor Company Method for cleaning a gate stack
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
DE10260352A1 (de) 2002-12-20 2004-07-15 Infineon Technologies Ag Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
KR100964398B1 (ko) 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US7078351B2 (en) 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US20060137613A1 (en) 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
WO2004074932A2 (en) 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6969619B1 (en) 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
CN1751381A (zh) 2003-02-19 2006-03-22 松下电器产业株式会社 杂质导入方法
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
DE10308870B4 (de) 2003-02-28 2006-07-27 Austriamicrosystems Ag Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
JP2004296467A (ja) 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7575692B2 (en) 2003-04-11 2009-08-18 Hoya Corporation Method for etching chromium thin film and method for producing photomask
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
TWI227565B (en) 2003-04-16 2005-02-01 Au Optronics Corp Low temperature poly-Si thin film transistor and method of manufacturing the same
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7008877B2 (en) 2003-05-05 2006-03-07 Unaxis Usa Inc. Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
DE10320472A1 (de) 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7045020B2 (en) 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040237897A1 (en) 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
US7003208B2 (en) 2003-06-03 2006-02-21 Fujikura Ltd. Optical fiber connecting tool, connector holder, connector holder equipped optical connector, and tool equipped optical connector
JP4108633B2 (ja) 2003-06-20 2008-06-25 シャープ株式会社 薄膜トランジスタおよびその製造方法ならびに電子デバイス
US7067432B2 (en) 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
KR100853388B1 (ko) 2003-06-27 2008-08-21 도쿄엘렉트론가부시키가이샤 클리닝 방법 및 기판 처리 방법
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6995073B2 (en) 2003-07-16 2006-02-07 Intel Corporation Air gap integration
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7182816B2 (en) 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7361865B2 (en) 2003-08-27 2008-04-22 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7282244B2 (en) 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
KR100518594B1 (ko) 2003-09-09 2005-10-04 삼성전자주식회사 로컬 sonos형 비휘발성 메모리 소자 및 그 제조방법
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
JP2005101141A (ja) 2003-09-24 2005-04-14 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7071532B2 (en) 2003-09-30 2006-07-04 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring
KR20030083663A (ko) 2003-10-04 2003-10-30 삼영플랜트주식회사 건설폐기물로부터 시멘트 페이스트 및 모르타르가 제거된재생골재 및 모래를 생산하는 방법 및 장치
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050087517A1 (en) 2003-10-09 2005-04-28 Andrew Ott Adhesion between carbon doped oxide and etch stop layers
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7125792B2 (en) 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
JP2005129666A (ja) 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7053994B2 (en) 2003-10-28 2006-05-30 Lam Research Corporation Method and apparatus for etch endpoint detection
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050103267A1 (en) 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4256763B2 (ja) 2003-11-19 2009-04-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4393844B2 (ja) 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
KR100558925B1 (ko) 2003-11-24 2006-03-10 세메스 주식회사 웨이퍼 에지 식각 장치
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050112876A1 (en) 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100546401B1 (ko) 2003-12-17 2006-01-26 삼성전자주식회사 자기정렬된 전하트랩층을 포함하는 반도체 메모리 소자 및그 제조방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US6852584B1 (en) 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US7361605B2 (en) 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005076336A1 (ja) 2004-02-09 2005-08-18 Tadahiro Ohmi 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
US7015415B2 (en) 2004-02-18 2006-03-21 Dry Plasma Systems, Inc. Higher power density downstream plasma
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
CN1669796B (zh) 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US8037896B2 (en) 2004-03-09 2011-10-18 Mks Instruments, Inc. Pressure regulation in remote zones
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
TWI249774B (en) 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7449220B2 (en) 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
CN101124661A (zh) 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
CN100594619C (zh) 2004-05-21 2010-03-17 株式会社半导体能源研究所 半导体器件及其制造方法
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
KR100624566B1 (ko) 2004-05-31 2006-09-19 주식회사 하이닉스반도체 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
US20050274396A1 (en) 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7226852B1 (en) 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
US7253107B2 (en) 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7220687B2 (en) 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060005856A1 (en) 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7097779B2 (en) 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
WO2006017070A2 (en) 2004-07-07 2006-02-16 General Electric Company Protective cotaing on a substrate and method of making thereof
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
KR100614648B1 (ko) 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100584485B1 (ko) 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4579611B2 (ja) 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
JP4718141B2 (ja) 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7247570B2 (en) 2004-08-19 2007-07-24 Micron Technology, Inc. Silicon pillars for vertical transistors
US20060043066A1 (en) 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
KR101170861B1 (ko) 2004-09-01 2012-08-03 액셀리스 테크놀로지스, 인크. 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP2006108629A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP4467453B2 (ja) 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7138767B2 (en) 2004-09-30 2006-11-21 Tokyo Electron Limited Surface wave plasma processing system and method of using
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060097397A1 (en) 2004-11-10 2006-05-11 Russell Stephen W Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1662546A1 (en) 2004-11-25 2006-05-31 The European Community, represented by the European Commission Inductively coupled plasma processing apparatus
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7052553B1 (en) 2004-12-01 2006-05-30 Lam Research Corporation Wet cleaning of electrostatic chucks
US7256121B2 (en) 2004-12-02 2007-08-14 Texas Instruments Incorporated Contact resistance reduction by new barrier stack process
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
FR2878913B1 (fr) 2004-12-03 2007-01-19 Cit Alcatel Controle des pressions partielles de gaz pour optimisation de procede
JP2006193822A (ja) 2004-12-16 2006-07-27 Sharp Corp めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP2006179693A (ja) 2004-12-22 2006-07-06 Shin Etsu Chem Co Ltd ヒータ付き静電チャック
JP4191137B2 (ja) 2004-12-24 2008-12-03 東京エレクトロン株式会社 基板処理装置のクリーニング方法
US7365016B2 (en) 2004-12-27 2008-04-29 Dalsa Semiconductor Inc. Anhydrous HF release of process for MEMS devices
KR100653722B1 (ko) 2005-01-05 2006-12-05 삼성전자주식회사 저유전막을 갖는 반도체소자의 제조방법
US7465953B1 (en) 2005-01-07 2008-12-16 Board Of Regents, The University Of Texas System Positioning of nanoparticles and fabrication of single election devices
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
KR100610019B1 (ko) 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4601439B2 (ja) 2005-02-01 2010-12-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0502149D0 (en) 2005-02-02 2005-03-09 Boc Group Inc Method of operating a pumping system
US7341943B2 (en) 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
US20060183270A1 (en) 2005-02-14 2006-08-17 Tessera, Inc. Tools and methods for forming conductive bumps on microelectronic elements
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US7344912B1 (en) 2005-03-01 2008-03-18 Spansion Llc Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP4518986B2 (ja) 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US20060210723A1 (en) 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100610465B1 (ko) 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US20060215347A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Processing apparatus and recording medium
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US20060228889A1 (en) 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7789962B2 (en) 2005-03-31 2010-09-07 Tokyo Electron Limited Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7431856B2 (en) 2005-05-18 2008-10-07 National Research Council Of Canada Nano-tip fabrication by spatially controlled etching
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2006137541A1 (ja) 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
KR100672823B1 (ko) * 2005-07-18 2007-01-22 삼성전자주식회사 반도체 장치에서 배선의 형성 방법
JP4554461B2 (ja) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US8535443B2 (en) 2005-07-27 2013-09-17 Applied Materials, Inc. Gas line weldment design and process for CVD aluminum
US8366829B2 (en) 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7833381B2 (en) 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
US20070056925A1 (en) 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
WO2007035880A2 (en) 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
JP4823628B2 (ja) 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
DE102005047081B4 (de) 2005-09-30 2019-01-31 Robert Bosch Gmbh Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2
US8102123B2 (en) 2005-10-04 2012-01-24 Topanga Technologies, Inc. External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
US7438534B2 (en) 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
KR100703014B1 (ko) 2005-10-26 2007-04-06 삼성전자주식회사 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP4918778B2 (ja) 2005-11-16 2012-04-18 株式会社日立製作所 半導体集積回路装置の製造方法
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4344949B2 (ja) 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US7449538B2 (en) 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
KR100712727B1 (ko) 2006-01-26 2007-05-04 주식회사 아토 절연체를 이용한 샤워헤드
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8173228B2 (en) 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
KR100678696B1 (ko) 2006-02-08 2007-02-06 주식회사 뉴파워 프라즈마 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN101378850A (zh) 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US7713430B2 (en) 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
AU2007227602A1 (en) 2006-03-16 2007-09-27 Novartis Ag Heterocyclic organic compounds for the treatment of in particular melanoma
US7381651B2 (en) 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US7977245B2 (en) 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7743731B2 (en) 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7906032B2 (en) 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100539080C (zh) 2006-04-12 2009-09-09 中芯国际集成电路制造(上海)有限公司 通过自对准形成多晶硅浮栅结构的方法
US20070243714A1 (en) 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254169A1 (en) 2006-04-28 2007-11-01 Kamins Theodore I Structures including organic self-assembled monolayers and methods of making the structures
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
US7601607B2 (en) 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
JP5578389B2 (ja) 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
US20070266946A1 (en) 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
JP5119609B2 (ja) 2006-05-25 2013-01-16 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070296967A1 (en) 2006-06-27 2007-12-27 Bhupendra Kumra Gupta Analysis of component for presence, composition and/or thickness of coating
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
GB0615343D0 (en) 2006-08-02 2006-09-13 Point 35 Microstructures Ltd Improved etch process
GB0616131D0 (en) 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100761757B1 (ko) 2006-08-17 2007-09-28 삼성전자주식회사 막 형성 방법
KR100818708B1 (ko) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US7575007B2 (en) 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080063810A1 (en) 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7452766B2 (en) 2006-08-31 2008-11-18 Micron Technology, Inc. Finned memory cells and the fabrication thereof
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US20080075668A1 (en) 2006-09-27 2008-03-27 Goldstein Alan H Security Device Using Reversibly Self-Assembling Systems
CN101153396B (zh) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008109043A (ja) 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US8002946B2 (en) 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7880232B2 (en) 2006-11-01 2011-02-01 Micron Technology, Inc. Processes and apparatus having a semiconductor fin
US7725974B2 (en) 2006-11-02 2010-06-01 Hughes Randall L Shoe and foot cleaning and disinfecting system
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008073906A2 (en) 2006-12-11 2008-06-19 Applied Materials, Inc. Dry photoresist stripping process and apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7922863B2 (en) 2006-12-22 2011-04-12 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
JP2008163430A (ja) 2006-12-28 2008-07-17 Jtekt Corp 高耐食性部材およびその製造方法
US20080157225A1 (en) 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR20080063988A (ko) 2007-01-03 2008-07-08 삼성전자주식회사 중성빔을 이용한 식각장치
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4421618B2 (ja) 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US7728364B2 (en) 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
JP4299863B2 (ja) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR100878015B1 (ko) 2007-01-31 2009-01-13 삼성전자주식회사 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR100843236B1 (ko) 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US20080202892A1 (en) 2007-02-27 2008-08-28 Smith John M Stacked process chambers for substrate vacuum processing tool
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080216901A1 (en) 2007-03-06 2008-09-11 Mks Instruments, Inc. Pressure control for vacuum processing system
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
KR101526615B1 (ko) 2007-03-12 2015-06-05 도쿄엘렉트론가부시키가이샤 처리 균일성 제어 방법, 플라즈마 처리 장치 및 기판 국소 변형 방법
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
WO2008123060A1 (ja) 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP4988402B2 (ja) 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7994040B2 (en) * 2007-04-13 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication thereof
CN101657565A (zh) 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP2008305871A (ja) 2007-06-05 2008-12-18 Spansion Llc 半導体装置およびその製造方法
KR20080111627A (ko) 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
US20090004873A1 (en) 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
JP5008478B2 (ja) 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
TWI479559B (zh) 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備
JP4438008B2 (ja) 2007-06-29 2010-03-24 東京エレクトロン株式会社 基板処理装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8197636B2 (en) 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
DE102007033685A1 (de) 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
CN101755402B (zh) 2007-07-19 2014-11-05 皇家飞利浦电子股份有限公司 用于发射发光设备数据的方法、系统和设备
JP5077659B2 (ja) 2007-07-20 2012-11-21 ニチアス株式会社 触媒コンバーター及び触媒コンバーター用保持材
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2042516A1 (en) 2007-09-27 2009-04-01 Protaffin Biotechnologie AG Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP4160104B1 (ja) 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
WO2009025392A2 (en) 2007-08-21 2009-02-26 Panasonic Corporation Plasma processing device and method of monitoring plasma discharge state in plasma processing device
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR101190074B1 (ko) 2007-08-31 2012-10-11 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5148955B2 (ja) 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US7838361B2 (en) 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8298931B2 (en) 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US7838390B2 (en) 2007-10-12 2010-11-23 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP5148242B2 (ja) 2007-10-29 2013-02-20 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
TWI508129B (zh) 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
JP5567486B2 (ja) 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US20100243165A1 (en) 2007-11-01 2010-09-30 Pyung-Yong Um Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
WO2009057223A1 (ja) 2007-11-02 2009-05-07 Canon Anelva Corporation 表面処理装置およびその基板処理方法
KR101573949B1 (ko) 2007-11-08 2015-12-02 램 리써치 코포레이션 산화물 스페이서를 이용한 피치 감소
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090120364A1 (en) 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US7704849B2 (en) 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
FR2924501B1 (fr) 2007-12-04 2010-02-05 Commissariat Energie Atomique Procede de reglage d'un circuit d'excitation et detection pour resonance magnetique nucleaire et circuit d'excitation et detection adapte a la mise en oeuvre d'un tel procede
MX2010005945A (es) 2007-12-04 2011-03-03 Parabel Ag Elemento solar de varias capas.
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159213A1 (en) 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
KR20100103627A (ko) 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP4974873B2 (ja) 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
US20090170331A1 (en) 2007-12-27 2009-07-02 International Business Machines Corporation Method of forming a bottle-shaped trench by ion implantation
TWI427697B (zh) 2007-12-28 2014-02-21 Tokyo Electron Ltd 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法
US7910477B2 (en) 2007-12-28 2011-03-22 Texas Instruments Incorporated Etch residue reduction by ash methodology
US8018023B2 (en) 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
US7998864B2 (en) 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US20090191711A1 (en) 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US20090194810A1 (en) 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
CN101911253B (zh) 2008-01-31 2012-08-22 应用材料公司 闭环mocvd沉积控制
JP5224837B2 (ja) 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5250279B2 (ja) 2008-02-23 2013-07-31 東京エレクトロン株式会社 プローブ装置
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
KR101259484B1 (ko) 2008-02-26 2013-05-06 쿄세라 코포레이션 웨이퍼 지지 부재와 그 제조 방법, 및 이것을 사용한 정전 척
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5188849B2 (ja) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
JP5352103B2 (ja) 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
DE102008016425B4 (de) 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
JP5026326B2 (ja) 2008-04-04 2012-09-12 株式会社日立ハイテクノロジーズ エッチング処理状態の判定方法、システム
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP2009266952A (ja) 2008-04-23 2009-11-12 Seiko Epson Corp デバイスの製造方法及び製造装置
US7977246B2 (en) 2008-04-25 2011-07-12 Applied Materials, Inc. Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
KR100998011B1 (ko) 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
KR101006848B1 (ko) 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
DE102008026134A1 (de) 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US20090302005A1 (en) 2008-06-04 2009-12-10 General Electric Company Processes for texturing a surface prior to electroless plating
KR20090128913A (ko) 2008-06-11 2009-12-16 성균관대학교산학협력단 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
JP2010003826A (ja) 2008-06-19 2010-01-07 Toshiba Corp 半導体装置の製造方法
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
WO2009157084A1 (ja) 2008-06-27 2009-12-30 三菱重工業株式会社 真空処理装置および真空処理装置の運転方法
JP5211332B2 (ja) 2008-07-01 2013-06-12 株式会社ユーテック プラズマcvd装置、dlc膜及び薄膜の製造方法
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8419960B2 (en) 2008-07-11 2013-04-16 Tokyo Electron Limited Plasma processing apparatus and method
JP2011527840A (ja) 2008-07-11 2011-11-04 アプライド マテリアルズ インコーポレイテッド Cvdに使用されるチャンバ構成要素
JP4473344B2 (ja) 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US8336188B2 (en) 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP2011253832A (ja) 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
KR20100013980A (ko) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
CN102204414B (zh) 2008-08-20 2014-10-22 视觉动力控股有限公司 产生用于对衬底表面进行构图的等离子体放电的设备
US8268729B2 (en) 2008-08-21 2012-09-18 International Business Machines Corporation Smooth and vertical semiconductor fin structure
JP2010047818A (ja) 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
KR100997502B1 (ko) 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
KR101025741B1 (ko) 2008-09-02 2011-04-04 주식회사 하이닉스반도체 수직 채널 트랜지스터의 활성필라 제조방법
US8871645B2 (en) 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100081285A1 (en) 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7928003B2 (en) 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8207470B2 (en) 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
WO2010048076A2 (en) 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100101727A1 (en) 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
JP5396065B2 (ja) 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5358165B2 (ja) 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20100147219A1 (en) 2008-12-12 2010-06-17 Jui Hai Hsieh High temperature and high voltage electrode assembly design
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101587601B1 (ko) 2009-01-14 2016-01-25 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US20100187694A1 (en) 2009-01-28 2010-07-29 Chen-Hua Yu Through-Silicon Via Sidewall Isolation Structure
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100087915A (ko) 2009-01-29 2010-08-06 삼성전자주식회사 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법
KR101712348B1 (ko) 2009-01-31 2017-03-06 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
KR101527195B1 (ko) 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
JP2010180458A (ja) 2009-02-06 2010-08-19 Kit:Kk アルミニウム表面の酸化層形成方法及び半導体装置の製造方法
WO2010094002A2 (en) 2009-02-13 2010-08-19 Applied Materials, Inc. Rf bus and rf return bus for plasma chamber electrode
KR101566922B1 (ko) 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8148749B2 (en) 2009-02-19 2012-04-03 Fairchild Semiconductor Corporation Trench-shielded semiconductor device
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
US20110048325A1 (en) 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
EP2409313A1 (de) 2009-03-17 2012-01-25 Roth & Rau AG Substratbearbeitungsanlage und substratbearbeitungsverfahren
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8312839B2 (en) 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
KR101534357B1 (ko) 2009-03-31 2015-07-06 도쿄엘렉트론가부시키가이샤 기판 지지 장치 및 기판 지지 방법
US8026179B2 (en) 2009-04-09 2011-09-27 Macronix International Co., Ltd. Patterning method and integrated circuit structure
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
KR101519036B1 (ko) 2009-04-20 2015-05-12 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버에 코팅하기 위한 장치 및 방법
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
CN102414794B (zh) 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
TWI490366B (zh) 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR20120063494A (ko) 2009-08-26 2012-06-15 비코 인스트루먼츠 인코포레이티드 자성 기록 매체 상에 패턴을 제조하기 위한 시스템
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
KR101200139B1 (ko) 2009-09-02 2012-11-13 세키스이가가쿠 고교가부시키가이샤 실리콘 함유막의 에칭 방법
US20120171852A1 (en) 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5648349B2 (ja) 2009-09-17 2015-01-07 東京エレクトロン株式会社 成膜装置
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US9449859B2 (en) 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
EP2315028A1 (en) 2009-10-26 2011-04-27 Atlas Antibodies AB PODXL protein in colorectal cancer
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20120102653A (ko) 2009-10-30 2012-09-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
WO2011056815A2 (en) 2009-11-04 2011-05-12 Applied Materials, Inc. Plasma ion implantation process for patterned disc media applications
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8716780B2 (en) 2009-11-06 2014-05-06 Rambus Inc. Three-dimensional memory array stacking structure
US8455364B2 (en) 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
KR20110054840A (ko) 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
CN102640216A (zh) 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
WO2011070945A1 (ja) 2009-12-11 2011-06-16 株式会社アルバック 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP4927158B2 (ja) 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
WO2011080876A1 (ja) 2009-12-28 2011-07-07 パナソニック株式会社 プラズマドーピング装置
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP5710209B2 (ja) 2010-01-18 2015-04-30 東京エレクトロン株式会社 電磁波給電機構およびマイクロ波導入機構
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
JP5608384B2 (ja) 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
US8946828B2 (en) 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5476152B2 (ja) 2010-02-16 2014-04-23 積水化学工業株式会社 窒化シリコンのエッチング方法及び装置
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
JP5662079B2 (ja) 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101214758B1 (ko) 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9175394B2 (en) 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8772749B2 (en) 2010-03-16 2014-07-08 Sandisk 3D Llc Bottom electrodes for use with metal oxide resistivity switching layers
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256421A1 (en) 2010-04-16 2011-10-20 United Technologies Corporation Metallic coating for single crystal alloys
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US20110265884A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system with shared vacuum pump
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20140154668A1 (en) 2010-05-21 2014-06-05 The Trustees Of Princeton University Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same.
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2011258768A (ja) 2010-06-09 2011-12-22 Sumitomo Electric Ind Ltd 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法
US20110304078A1 (en) 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
JP5463224B2 (ja) 2010-07-09 2014-04-09 日本発條株式会社 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8278203B2 (en) 2010-07-28 2012-10-02 Sandisk Technologies Inc. Metal control gate formation in non-volatile storage
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8222125B2 (en) 2010-08-12 2012-07-17 Ovshinsky Innovation, Llc Plasma deposition of amorphous semiconductors at microwave frequencies
WO2012052858A1 (en) 2010-08-16 2012-04-26 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching of oxide materials
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
KR20120029291A (ko) 2010-09-16 2012-03-26 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
WO2012039932A2 (en) 2010-09-21 2012-03-29 Applied Materials, Inc. Methods for forming layers on a substrate
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
KR101209003B1 (ko) 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
US8183134B2 (en) 2010-10-19 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
WO2012058377A2 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
JP5544343B2 (ja) 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9111994B2 (en) 2010-11-01 2015-08-18 Magnachip Semiconductor, Ltd. Semiconductor device and method of fabricating the same
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
KR20120058962A (ko) 2010-11-30 2012-06-08 삼성전자주식회사 반도체 장치의 제조 방법
US8475103B2 (en) 2010-12-09 2013-07-02 Hamilton Sundstand Corporation Sealing washer assembly for large diameter holes on flat surfaces
US8470713B2 (en) 2010-12-13 2013-06-25 International Business Machines Corporation Nitride etch for improved spacer uniformity
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5728221B2 (ja) 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
KR101246170B1 (ko) 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
KR101529578B1 (ko) 2011-01-14 2015-06-19 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
KR101732936B1 (ko) 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
TW201246362A (en) 2011-03-01 2012-11-16 Univ King Abdullah Sci & Tech Silicon germanium mask for deep silicon etching
KR20140015425A (ko) 2011-03-02 2014-02-06 게임 체인저스, 엘엘씨 에어 쿠션 운송기
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
FR2972563B1 (fr) 2011-03-07 2013-03-01 Altis Semiconductor Snc Procédé de traitement d'une couche de nitrure de métal oxydée
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
WO2012128783A1 (en) 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
WO2012128348A1 (ja) 2011-03-23 2012-09-27 住友大阪セメント株式会社 静電チャック装置
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
US9196463B2 (en) 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US20120258607A1 (en) 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8815720B2 (en) 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8663389B2 (en) 2011-05-21 2014-03-04 Andrew Peter Clarke Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor
JP5563522B2 (ja) 2011-05-23 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
JP5774778B2 (ja) 2011-06-09 2015-09-09 コリア ベーシック サイエンス インスティテュート プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム
US8637372B2 (en) 2011-06-29 2014-01-28 GlobalFoundries, Inc. Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US9117867B2 (en) 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN102867748B (zh) 2011-07-06 2015-09-23 中国科学院微电子研究所 一种晶体管及其制作方法和包括该晶体管的半导体芯片
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8921177B2 (en) * 2011-07-22 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit device
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102915902B (zh) 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR101271247B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9117759B2 (en) 2011-08-10 2015-08-25 Micron Technology, Inc. Methods of forming bulb-shaped trenches in silicon
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8808562B2 (en) 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US20130260564A1 (en) 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8927390B2 (en) * 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8809169B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8664012B2 (en) 2011-09-30 2014-03-04 Tokyo Electron Limited Combined silicon oxide etch and contamination removal process
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130087309A1 (en) 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
JP5740281B2 (ja) 2011-10-20 2015-06-24 東京エレクトロン株式会社 金属膜のドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130115372A1 (en) 2011-11-08 2013-05-09 Primestar Solar, Inc. High emissivity distribution plate in vapor deposition apparatus and processes
JP5779482B2 (ja) 2011-11-15 2015-09-16 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8652298B2 (en) 2011-11-21 2014-02-18 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8440523B1 (en) 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
US20130149866A1 (en) 2011-12-12 2013-06-13 Texas Instruments Incorporated Baffle plate for semiconductor processing apparatus
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101878311B1 (ko) 2011-12-30 2018-07-17 삼성전자주식회사 high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자
US8603891B2 (en) 2012-01-20 2013-12-10 Micron Technology, Inc. Methods for forming vertical memory devices and apparatuses
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP6010406B2 (ja) 2012-01-27 2016-10-19 東京エレクトロン株式会社 マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置
SG11201403527UA (en) 2012-02-08 2014-09-26 Iwatani Corp Method for treating inner surface of chlorine trifluoride supply passage in apparatus using chlorine trifluoride
US20130175654A1 (en) 2012-02-10 2013-07-11 Sylvain Muckenhirn Bulk nanohole structures for thermoelectric devices and methods for making the same
WO2013130191A1 (en) 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8747610B2 (en) 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US20130284369A1 (en) 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
JP6180510B2 (ja) 2012-04-26 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Escの接着剤の浸食を防止するための方法及び装置
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9162236B2 (en) 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9976215B2 (en) 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
KR101917815B1 (ko) * 2012-05-31 2018-11-13 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
FR2991320B1 (fr) 2012-06-05 2014-06-27 Commissariat Energie Atomique Procede de preparation d'amines methylees
US8974164B2 (en) 2012-06-26 2015-03-10 Newfrey Llc Plastic high heat fastener
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101989514B1 (ko) 2012-07-11 2019-06-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
US9631273B2 (en) 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
JP6160619B2 (ja) 2012-08-01 2017-07-12 Tdk株式会社 フェライト磁性材料、フェライト焼結磁石及びモータ
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8772888B2 (en) 2012-08-10 2014-07-08 Avalanche Technology Inc. MTJ MRAM with stud patterning
US8747680B1 (en) 2012-08-14 2014-06-10 Everspin Technologies, Inc. Method of manufacturing a magnetoresistive-based device
US9364871B2 (en) 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
WO2014035933A1 (en) 2012-08-28 2014-03-06 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
JP6027374B2 (ja) 2012-09-12 2016-11-16 東京エレクトロン株式会社 プラズマ処理装置及びフィルタユニット
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
TWI591712B (zh) 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
KR102137617B1 (ko) 2012-10-19 2020-07-24 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9777564B2 (en) 2012-12-03 2017-10-03 Pyrophase, Inc. Stimulating production from oil wells using an RF dipole antenna
US9982343B2 (en) 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) * 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6173684B2 (ja) 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
WO2014104753A1 (ko) 2012-12-28 2014-07-03 주식회사 뉴파워 프라즈마 플라즈마 반응기 및 이를 이용한 플라즈마 점화 방법
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9165823B2 (en) 2013-01-08 2015-10-20 Macronix International Co., Ltd. 3D stacking semiconductor device and manufacturing method thereof
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
TWI487004B (zh) 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
KR102064914B1 (ko) 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US20140262031A1 (en) 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140273487A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Pulsed dc plasma etching process and apparatus
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US8946076B2 (en) 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
US20140288528A1 (en) 2013-03-15 2014-09-25 Dr. Py Institute, Llc Single-use needle assembly and method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
JP5386046B1 (ja) 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US10941501B2 (en) 2013-03-29 2021-03-09 Analytical Specialties, Inc. Method and composition for metal finishing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US20140308758A1 (en) 2013-04-10 2014-10-16 Applied Materials, Inc. Patterning magnetic memory
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140311581A1 (en) 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6002087B2 (ja) 2013-05-29 2016-10-05 東京エレクトロン株式会社 グラフェンの生成方法
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8871651B1 (en) 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102154112B1 (ko) 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US20150050812A1 (en) 2013-08-13 2015-02-19 Globalfoundries Inc. Wafer-less auto clean of processing chamber
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
CN105493255B (zh) 2013-08-27 2021-04-20 东京毅力科创株式会社 用于横向裁剪硬掩模的方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP5837012B2 (ja) 2013-09-12 2015-12-24 ラピスセミコンダクタ株式会社 モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9685371B2 (en) * 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR102133895B1 (ko) 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
KR102132361B1 (ko) 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
KR102237700B1 (ko) 2013-11-27 2021-04-08 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150170926A1 (en) 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
US20150171008A1 (en) 2013-12-17 2015-06-18 GLOBAL FOUNDRIES Singapore Ptd. Ltd. Integrated circuits with dummy contacts and methods for producing such integrated circuits
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
KR102102787B1 (ko) 2013-12-17 2020-04-22 삼성전자주식회사 기판 처리 장치 및 블록커 플레이트 어셈블리
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9111907B2 (en) 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
KR102128465B1 (ko) 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
JP6059165B2 (ja) 2014-02-19 2017-01-11 東京エレクトロン株式会社 エッチング方法、及びプラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102175763B1 (ko) 2014-04-09 2020-11-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US9177853B1 (en) * 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
CN104392963B (zh) 2014-05-16 2017-07-11 中国科学院微电子研究所 三维半导体器件制造方法
US9520485B2 (en) 2014-05-21 2016-12-13 Macronix International Co., Ltd. 3D independent double gate flash memory on bounded conductor layer
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9666449B2 (en) 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371865A1 (en) 2014-06-19 2015-12-24 Applied Materials, Inc. High selectivity gas phase silicon nitride removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371861A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Protective silicon oxide patterning
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
KR102248205B1 (ko) 2014-06-25 2021-05-04 삼성전자주식회사 수직 채널 및 에어 갭을 갖는 반도체 소자
US10196741B2 (en) 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
KR20160002543A (ko) 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US20160005833A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Feol low-k spacers
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160043099A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Wordline 3d flash memory air gap
US20160042968A1 (en) 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
JP5764246B1 (ja) 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US20160099173A1 (en) 2014-10-03 2016-04-07 Applied Materials, Inc. Methods for etching a barrier layer for an interconnection structure for semiconductor applications
US9240315B1 (en) 2014-10-10 2016-01-19 Applied Materials, Inc. CVD oxide surface pre-conditioning by inductively coupled O2 plasma
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9652567B2 (en) 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9825051B2 (en) 2014-10-22 2017-11-21 Sandisk Technologies Llc Three dimensional NAND device containing fluorine doped layer and method of making thereof
US9508529B2 (en) 2014-10-23 2016-11-29 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
US10102321B2 (en) 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US9202708B1 (en) 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9419135B2 (en) 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9466494B2 (en) * 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US10134750B2 (en) 2014-12-30 2018-11-20 Toshiba Memory Corporation Stacked type semiconductor memory device and method for manufacturing the same
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9633867B2 (en) 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9779919B2 (en) 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP2016134530A (ja) 2015-01-20 2016-07-25 株式会社東芝 加工制御装置、加工制御プログラムおよび加工制御方法
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
JP6396822B2 (ja) 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9343358B1 (en) 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack
CN107548520B (zh) 2015-02-24 2021-05-25 东芝存储器株式会社 半导体存储装置及其制造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102452593B1 (ko) 2015-04-15 2022-10-11 삼성전자주식회사 반도체 장치의 제조 방법
US20160307772A1 (en) 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6295439B2 (ja) 2015-06-02 2018-03-20 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
CN107533978B (zh) 2015-06-04 2021-01-08 东芝存储器株式会社 半导体存储装置及其制造方法
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9564341B1 (en) * 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
TWI567752B (zh) * 2015-08-07 2017-01-21 華邦電子股份有限公司 記憶元件及其製造方法
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10147736B2 (en) 2015-09-03 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US9564338B1 (en) 2015-09-08 2017-02-07 Applied Materials, Inc. Silicon-selective removal
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US20170133202A1 (en) 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
US9875907B2 (en) * 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US10861693B2 (en) 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US9831097B2 (en) 2015-12-18 2017-11-28 Applied Materials, Inc. Methods for selective etching of a silicon material using HF gas without nitrogen etchants
CN108475640B (zh) 2016-01-20 2023-06-06 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
KR102649369B1 (ko) 2016-04-11 2024-03-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9812462B1 (en) 2016-06-07 2017-11-07 Sandisk Technologies Llc Memory hole size variation in a 3D stacked memory
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102482369B1 (ko) * 2016-07-06 2022-12-29 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20180025900A1 (en) 2016-07-22 2018-01-25 Applied Materials, Inc. Alkali metal and alkali earth metal reduction
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018052477A2 (en) 2016-09-15 2018-03-22 Applied Materials, Inc. An integrated method for wafer outgassing reduction
US20180080124A1 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR102633031B1 (ko) 2016-11-04 2024-02-05 에스케이하이닉스 주식회사 반도체 메모리 소자
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10141328B2 (en) 2016-12-15 2018-11-27 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
US10692880B2 (en) 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US9960045B1 (en) 2017-02-02 2018-05-01 Applied Materials, Inc. Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US9779956B1 (en) 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10535531B2 (en) 2017-04-26 2020-01-14 Tokyo Electron Limited Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) 2017-04-26 2020-01-21 Tokyo Electron Limited Method of cyclic plasma etching of organic film using sulfur-based chemistry
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP6561093B2 (ja) 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
JP6877316B2 (ja) 2017-11-08 2021-05-26 東京エレクトロン株式会社 エッチング方法
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10872778B2 (en) * 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005123607A (ja) 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US20090298256A1 (en) 2008-06-03 2009-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US20150044865A1 (en) 2010-03-05 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of making integrated circuits including air gaps around interconnect structures
JP2014507073A (ja) 2011-02-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド 遠隔励起式のフッ素および水蒸気エッチング
US20140264729A1 (en) 2013-03-12 2014-09-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
KR20230004881A (ko) 2023-01-06
JP2021515394A (ja) 2021-06-17
TWI766433B (zh) 2022-06-01
US11335565B2 (en) 2022-05-17
JP2022116000A (ja) 2022-08-09
CN111819669A (zh) 2020-10-23
JP7072075B2 (ja) 2022-05-19
KR102476308B1 (ko) 2022-12-12
KR102581284B1 (ko) 2023-09-22
US10615047B2 (en) 2020-04-07
TWI716818B (zh) 2021-01-21
TW201941300A (zh) 2019-10-16
WO2019169009A1 (en) 2019-09-06
US20200234971A1 (en) 2020-07-23
KR20200115659A (ko) 2020-10-07
US20190267248A1 (en) 2019-08-29
TW202125627A (zh) 2021-07-01

Similar Documents

Publication Publication Date Title
JP7343543B2 (ja) 高アスペクト比の構造体のための除去方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TWI775839B (zh) 具有選擇性阻隔層的結構
TWI705529B (zh) 空氣間隙形成處理
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
US10283324B1 (en) Oxygen treatment for nitride etching
US20220351979A1 (en) Systems and methods for selective metal compound removal
CN115803846A (zh) 用于去除含氮化物膜的系统和方法
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
US11328909B2 (en) Chamber conditioning and removal processes
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231207

R150 Certificate of patent or registration of utility model

Ref document number: 7401593

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150