JP2006261217A - 薄膜形成方法 - Google Patents

薄膜形成方法 Download PDF

Info

Publication number
JP2006261217A
JP2006261217A JP2005073217A JP2005073217A JP2006261217A JP 2006261217 A JP2006261217 A JP 2006261217A JP 2005073217 A JP2005073217 A JP 2005073217A JP 2005073217 A JP2005073217 A JP 2005073217A JP 2006261217 A JP2006261217 A JP 2006261217A
Authority
JP
Japan
Prior art keywords
gas
space
thin film
internal space
gas containing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005073217A
Other languages
English (en)
Inventor
Yutaka Nogami
裕 野上
Katsuhisa Yuda
克久 湯田
Hiroshi Tanabe
浩 田邊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
NEC Corp
Original Assignee
Canon Anelva Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Anelva Corp, NEC Corp filed Critical Canon Anelva Corp
Priority to JP2005073217A priority Critical patent/JP2006261217A/ja
Priority to PCT/JP2006/305013 priority patent/WO2006098316A1/ja
Priority to CNB2006800124188A priority patent/CN100568463C/zh
Priority to US11/886,317 priority patent/US20090202721A1/en
Priority to TW095108802A priority patent/TW200702480A/zh
Publication of JP2006261217A publication Critical patent/JP2006261217A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

【課題】 低温で、シリコン基板とシリコン酸化膜との界面特性が良好であり、低界面トラップ密度の良質な薄膜を作製する薄膜形成方法を提案する。
【解決手段】 真空容器内でプラズマを生成して活性種(ラジカル)を発生させ、この活性種と材料ガスでシリコン基板にシリコン酸化膜の成膜を行う薄膜形成方法であって、真空容器内で活性種(ラジカル)と材料ガスとが初めて接触し、両者の反応によりシリコン基板上へのシリコン酸化膜形成が行われる成膜処理空間へ、窒素原子を含むガスを前記材料ガス以外に導入することとし、シリコン基板へのシリコン酸化膜の成膜が行われている間における当該窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整する薄膜形成方法。
【選択図】 図2

Description

本発明は、シリコン基板にシリコン酸化膜の成膜を行う薄膜形成方法に関し、特に活性種(ラジカル)を用いた化学反応を利用する薄膜形成方法に関する。
基板処理装置の真空容器内でプラズマを形成することによりラジカルを生成して当該真空容器内に配置されている基板に対する処理、例えば、基板上に薄膜を成膜する処理や、基板上に形成されている薄膜の膜質を改善するための表面処理などを行う基板処理装置及び基板処理方法は種々の用途に用いられている。
例えば、低温でポリシリコン型TFTを利用する液晶ディスプレイの製作で、低温でゲート絶縁膜として適当なシリコン酸化膜を成膜する基板処理装置、基板処理方法として、現在のところ、プラズマCVDが使用されている。
この中で、本願出願人は、先の出願である特開2000−345349号において、基板処理装置の真空容器内でプラズマを生成してラジカルを発生させ、当該真空容器内に配置されている基板に処理を行うCVD装置(本明細書において、この先の特許出願に係るCVD装置を通常のプラズマCVD装置と区別するため、ラジカルシャワーCVD装置として「RS−CVD装置」と呼ぶ)を提案している。
特開2000−345349号においては、このRS−CVD装置は、真空容器内でプラズマを生成してラジカルを発生させ、このラジカルと成膜ガスとで基板に成膜処理を行うものとして提案されている。
すなわち、特開2000−345349号において提案されているRS−CVD装置とその使用方法は、以下のようなものであった。
真空容器の内部が導電性隔壁板によって二室に隔離されている。この二室のうち、一方の室が高周波電極が配置されたプラズマ生成空間、他方の室が基板を搭載する基板保持機構が配置された成膜処理空間としてそれぞれ形成される。導電性隔壁板は、プラズマ生成空間と成膜処理空間とを通じさせる複数の貫通孔と、プラズマ生成空間から隔離され、かつ成膜処理空間と複数の材料ガス拡散孔を介して通じている第一の内部空間を備えている。プラズマ生成空間には放電プラズマにより所望の活性種を生成するためのガスが導入可能とされ、プラズマ生成空間内で生成された所望の活性種が導電性隔壁板の複数の貫通孔を通じて成膜処理空間に導入される。一方、第一の内部空間に外部から供給された材料ガスが複数の材料ガス拡散孔を通して成膜処理空間に導入される。こうして、成膜処理空間に導入された活性種と材料ガスとの反応を利用して基板に成膜が行われる。
なお、特開2000−345349号において提案されているRS−CVD装置とその使用方法においては、前記のように、プラズマ生成空間内で生成された活性種は複数の貫通孔を介してのみ成膜処理空間に導入され、導電性隔壁板の内部に供えられている第一の内部空間に外部から供給された材料ガスは複数の材料ガス拡散孔を通して成膜処理空間に導入される。そこで、材料ガスは、真空容器の外部から成膜処理空間に直接、すなわち、プラズマやラジカルに接触することなく導入される。
特開2000−345349号公報
上述のようなポリシリコン型TFTを利用する液晶ディスプレイ等の作成において、低温で作製した絶縁膜をゲート酸化膜として適用するには、界面特性が良好であることが要求されているが、Si表面のダングリング・ボンドが、シリコン酸化膜/シリコンの界面形成後も残り、これらに関連する界面トラップ密度等において良好な界面特性を得ることが難しかった。
一部のCVD法などでは、水素原子によって終端される場合もあるが、その後の400℃程度のプロセスで容易に結合が切れ、長期の信頼性に欠ける面があるため十分な界面特性が得られずゲート酸化膜の製作には適さなかった。
この発明の目的とするところは、低温で界面特性の良好なシリコン酸化膜の作製を可能とする薄膜形成方法を提供することにある。
この発明の発明者らは、RS−CVD装置の真空容器内で活性種(ラジカル)と材料ガスとが初めて接触し、両者の反応によりシリコン基板上へのシリコン酸化膜形成が行われる成膜処理空間へ、材料ガス以外のガスとして窒素原子を含むガスを導入することとし、しかも、シリコン基板へのシリコン酸化膜の成膜が行われている間における当該窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように制御することにより、前記課題を解決することができることを見い出し、この発明を完成した。
本発明の方法が実施される薄膜形成装置は、真空容器の内部を二室に隔離する導電性隔壁板が前記真空容器内に設けられ、前記二室のうち、一方の室が高周波電極が配置されたプラズマ生成空間、他方の室がシリコン基板を搭載する基板保持機構が配置された成膜処理空間としてそれぞれ形成されているものである。前記導電性隔壁板は、前記プラズマ生成空間と前記成膜処理空間とを通じさせる複数の貫通孔と、前記プラズマ生成空間から隔離され、かつ前記成膜処理空間と複数の材料ガス拡散孔を介して通じている第一の内部空間と、前記第一の内部空間から隔離され、かつ前記成膜処理空間と複数のガス拡散孔を介して通じている第二の内部空間とを有している。そして、前記プラズマ生成空間には放電プラズマにより所望の活性種を生成するためのガスが導入可能とされている。
このような構造を有する薄膜形成装置を用いて行われる本発明の薄膜形成方法は、前記プラズマ生成空間内で生成された所望の活性種が前記導電性隔壁板の前記複数の貫通孔を通じて前記成膜処理空間に導入され、前記第一の内部空間に外部から供給された材料ガスが前記複数の材料ガス拡散孔を通して前記成膜処理空間に導入されると共に、前記第二の内部空間に外部から供給される前記材料ガス以外のガスが前記複数のガス拡散孔を介して前記成膜処理空間に導入され、前記成膜処理空間に導入された前記活性種と前記材料ガスとの反応を利用して前記シリコン基板にシリコン酸化膜の成膜を行うものである。そして、前記第二の内部空間に導入される前記材料ガス以外のガスを、窒素原子を含むガスとし、シリコン基板へのシリコン酸化の成膜が行われている間における当該窒素原子を含むガスの流量が、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整することを特徴とするものである。
この発明においては、材料ガス以外に窒素原子を含むガスを第二の内部空間を介して成膜処理空間に導入することとし、しかも、第二の内部空間を介して成膜処理空間に導入する窒素原子を含むガスの流量を、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整している。そこで、窒素原子を含むガスが成膜処理空間の雰囲気中に混入している状態で界面近傍が成膜され、その結果、より良好な界面特性を持つ薄膜の作製が可能となる。
すなわち、成膜処理空間に導入される窒素原子を含むガスの流量が、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整されているため、ゲート電極となるシリコン酸化膜とシリコンとの界面において、シリコン酸化膜中の窒素原子濃度が界面近傍で最も高密度になり、Si表面のダングリング・ボンドが低減し、この結果、良好な界面特性を得ることができるのである。
窒素原子を含むガスは、一酸化二窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)のいずれか一種又は二種以上とするのが好ましい。
この発明において、窒素原子を含むガスを前記第二の内部空間に導入する流量は、前述したように、少なくとも、シリコン基板へのシリコン酸化膜の成膜開始の時点において最大にした後、種々の形式で調整可能である。例えば、図2(a)図示のように、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらかじめ定められた時間まで一定に保つ形式、図2(c)図示のように、シリコン基板へのシリコン酸化膜の成膜開始の時点から時間の経過と共に連続的に減少させる形式、あるいは、図2(b)図示のように、シリコン基板へのシリコン酸化膜の成膜開始の時点から時間の経過と共に段階的に減少させる形式のいずれかを採用することができる。
なお、前述した本発明のいずれの薄膜形成方法においても、前記第二の内部空間に導入される材料ガス以外のガスである窒素原子を含むガスを、窒素原子を含むガスと、当該窒素原子を含むガスとは異なるガスであって酸素原子を含むガスとからなるようにすることができる。すなわち、窒素原子を含むガスと、この窒素原子を含むガスとは異なるガスであって、酸素原子を含むガスとを、前記第二の内部空間を介して成膜処理空間に導入するようにできる。
このようにすれば、シリコン酸化膜の形成において、積極的に酸素を補うことができ、より高品質のシリコン酸化膜の形成が可能となる。
このように、窒素原子を含むガスとは異なるガスであって、酸素原子を含むガスをも、前記第二の内部空間を介して成膜処理空間に導入する場合、窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらかじめ定められた時点で0にするとともに、窒素原子を含むガスが前記第二の内部空間に導入される流量が0になった後も、前記窒素原子を含むガスとは異なるガスであって酸素原子を含むガスを前記第二の内部空間に導入し続けるようにすることができる。このようにすれば、シリコン酸化膜の形成において、積極的に酸素を補って、より高品質のシリコン酸化膜を形成する上で有利である。
なお、前述した窒素原子を含むガスとは異なるガスであって、酸素原子を含むガスとしては、例えば、酸素ガスを用いることができる。
この発明において使用する材料ガスとしては、化学式Si2n+2(nは整数)で表わされる一種又は二種以上のシランガスを用いるのが好ましい。なお、材料ガスは、希釈用ガスによって希釈されていてもよい。
また、成膜処理空間に酸素ラジカルをより多く供給するため、プラズマ生成空間側の放電プラズマにより所望の活性種を生成するためのガスは、酸素ガスを含有してなるのが好ましい。
この発明の薄膜形成方法により、低温で、シリコン基板とシリコン酸化膜との界面特性が良好であり、低界面トラップ密度の良質な薄膜を作製することができるという効果がある。
以下に、この発明の好適な実施形態を添付図面に基づいて説明する。
図1は、この発明の第一の薄膜形成方法の実施に用いることができる薄膜形成装置の一例を示す概略図である。この装置では、好ましくはシランガスを材料ガスとして使用し、シリコン基板上にシリコン酸化膜をゲート絶縁膜として成膜する。
本装置の真空容器1は、容器2、絶縁材4、及び高周波電極3によって構成され、排気機構5によってその内部が所望の真空状態に保持される。真空容器1の内部には導電性部材で成形された導電性隔壁板101が設けられており、真空容器1はこの導電性隔壁板101によって上下2つの室に隔離される。上側の室はプラズマ生成空間8を形成し、下側の室は成膜処理空間9を形成する。
プラズマ生成空間8の高周波電極3には高周波電源11が接続されている。
前記成膜処理空間9に設けられた基板保持機構6の上には成膜処理されるシリコン基板10が配置され、導電性隔壁板101に対向配置される。基板保持機構6の内部にはヒータ7が設けられており、これによりシリコン基板10が所定の温度に保持される。
真空容器1を二つの室に隔離する導電性隔壁板101は、所望の厚みを有し、かつ全体的に平板状の形状を有する。導電性隔壁板101には、複数の貫通孔41が分散して形成されており、これら貫通孔41を介してのみプラズマ生成空間8と成膜処理空間9がつながっている。さらに導電性隔壁板101には、互いに隔離された第一の内部空間31と第二の内部空間21が形成されている。
第一の内部空間31には、材料ガス供給源52が流量制御器63を介して接続されている。材料ガスとしては、例えば、Si2n+2(nは整数)で表わされる一種又は二種以上のシランガスが用いられる。
第二の内部空間21には、酸素ガス供給源51が流量制御器68、64を介して接続され、窒素原子を含むガス(Nガス:x,yは整数)を供給するNガス供給源55が流量制御器67、64を介して接続されている。Nガス供給源55から第二の内部空間21に供給されるガスとしては、例えば、一酸化二窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)のいずれか一種又は二種以上からなるものが用いられる。
第一の内部空間31及び第二の内部空間21にはそれぞれ複数の材料ガス拡散孔32とガス拡散孔22が形成されており、第一の内部空間31、第二の内部空間21は、材料ガス拡散孔32、ガス拡散孔22を介してそれぞれ別々に成膜処理空間9とつながっている。
上記のように構成された薄膜形成装置を用いた薄膜形成方法を説明する。図示しない搬送ロボットによってシリコン基板10が真空容器1の内部に搬送され、成膜処理空間9に設置された基板保持機構6の上に配置される。
基板保持機構6は予め所定温度に保持されており、シリコン基板10はこれにより所定の温度に加熱保持される。
真空容器1の内部は、排気機構5によって排気され、減圧されて所定の真空状態に保持される。
酸素ガスは、流量制御器61で流量が制御され、酸素ガス供給源51からプラズマ生成空間8に導入される。また、これと別個独立に、酸素ガス供給源51から酸素ガスが、流量制御器64、68で流量が制御されて、第二の内部空間21に導入される。
一方、材料ガスである、例えば、Si2n+2(nは整数)で表わされる一種又は二種以上のシランガスが流量制御器63によって流量が制御され、材料ガス供給源52から第一の内部空間31に導入される。第一の内部空間31に導入されたシランガスは、材料ガス拡散孔32を通して、成膜処理空間9に供給される。
上記の状態で、高周波電極3に高周波電源11より電力が供給され、プラズマ生成空間8内において酸素プラズマが生成される。酸素プラズマを生成することにより、中性の励起種であるラジカル(活性種)が生成される。
プラズマ生成空間8で生成された長寿命の酸素ラジカルは、励起されなかった酸素とともに導電性隔壁板101の複数の貫通孔41を通って成膜処理空間9に供給される。プラズマ生成空間8内では、荷電粒子も生成されるが、この荷電粒子は、寿命が短く、貫通孔41を通る間に死滅する。
この際、第二の内部空間21には、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらかじめ定められた時間まで、Nガス供給源55から流量制御器64、67で流量が制御された、Nガスが導入されており、第二の内部空間21に導入されたNガスが、ガス拡散孔22を通して成膜処理空間9に供給される。
こうして、成膜処理空間9において、成膜処理空間9に供給された酸素ラジカルは、第一の内部空間31より材料ガス拡散孔32を通して供給されたシランガスと反応し、これをトリガーとした一連の反応が引き起こされるに際して、成膜処理空間9には第二の内部空間21、ガス拡散孔22を介してNガスが導入されているので、シリコン基板10とシリコン酸化膜の界面にNが混入し、より良好な界面特性を持つシリコン酸化膜の作製が可能となる。
第二の内部空間21には、酸素ガス供給源51から流量制御器64、68で流量が制御された、酸素ガスも導入される。第二の内部空間21への酸素ガスの導入は、シリコン基板へのシリコン酸化膜の成膜開始の時点から行っていてもよく、Nガスの導入を中止した後から行ってもよい。
第二の内部空間21に導入されたNガスと酸素ガスの混合ガス又は酸素ガスは、ガス拡散孔22を通して成膜処理空間9に供給される。第二の内部空間21よりガス拡散孔22を通して酸素ガスが成膜処理空間9に供給されることによって、成膜処理空間9への酸素ラジカルと酸素の供給量を独立に制御することが可能となり、高品質な薄膜を形成するために放電電力等の調整によって酸素ラジカル量を増加させても、充分な酸素を供給することができる。このようにすれば、従来の薄膜形成の反応において不足していた酸素を充分に補うことができ、従来よりも高品質の薄膜の形成が可能となる。
図1図示の薄膜形成装置を用いて、以下のプロセス条件により、化学蒸着法(CVD法)で、シリコン基板上にシリコン酸化膜を作製した。
(1)基板:シリコン基板
(2)プラズマ生成空間に導入される酸素ガス:
流量5.0×10−1(l/min)(1500sccm)
(3)高周波電力:150W
(4)材料ガスSi2n+2(n=1):
流量4.0×10−3(l/min)(20sccm)
(5)第二の内部空間に導入されるNガス(x=1、y=2):
流量4.0×10−4(l/min)(2sccm)
(6)第二の内部空間に導入される酸素ガス:
流量4.0×10−4(l/min)(2sccm)
(7)基板の温度(成膜温度):300℃
(8)プラズマ生成空間の圧力:40Pa
(9)成膜処理空間の圧力:40Pa
(10)薄膜全体の膜厚(成膜時間):100nm(4分)。
ガスの導入は、図2(a)図示のように、成膜開始から約24秒間行い、そこで流量を0にした。一方、酸素ガスは、成膜開始時からNガスと共に導入し、Nガスの流量が0になった後も引き続き第二の内部空間に酸素ガスを導入して、成膜を続けた。
上述の方法によって、シリコン基板とシリコン酸化膜の界面から10nm以下の領域に、シランガスに対し、10%の窒素を混入させることにより、1011/cmeVの界面トラップ密度を実現することができた。
この実施例1と同一の条件で、Nガスの導入を、成膜開始から約24秒間の間に、図2(b)図示のように連続的に減少させた実験と、図2(c)図示のように段階的に減少させた実験とを行った。これらの場合も、図2(a)図示のように成膜開始から約24秒間Nガスの導入量を一定に保った場合と同等の界面トラップ密度が実現された。
この発明の第一の薄膜形成方法の実施に用いることができる薄膜形成装置の一例の縦断面概略図である。 (a)(b)(c)はそれぞれ成膜時間とNガスの添加量との関係を示すグラフ。
符号の説明
1 真空容器
3 高周波電極
6 基板保持機構
8 プラズマ生成空間
9 成膜処理空間
10 シリコン基板
21、23 第二の内部空間
22 ガス拡散孔
24 ガス導入孔
31、33 第一の内部空間
32、34 材料ガス拡散孔
51 酸素ガス供給源
52 材料ガス供給源
55 Nガス供給源
61、63、64、67、68 流量制御器
101、102 導電性隔壁板

Claims (8)

  1. 真空容器の内部を二室に隔離する導電性隔壁板を前記真空容器内に設け、前記二室のうち、一方の室を高周波電極が配置されたプラズマ生成空間、他方の室をシリコン基板を搭載する基板保持機構が配置された成膜処理空間としてそれぞれ形成し、前記導電性隔壁板は、前記プラズマ生成空間と前記成膜処理空間とを通じさせる複数の貫通孔と、前記プラズマ生成空間から隔離され、かつ前記成膜処理空間と複数の材料ガス拡散孔を介して通じている第一の内部空間と、前記第一の内部空間から隔離され、かつ前記成膜処理空間と複数のガス拡散孔を介して通じている第二の内部空間とを有し、前記プラズマ生成空間には放電プラズマにより所望の活性種を生成するためのガスが導入可能とされ、前記プラズマ生成空間内で生成された所望の活性種が前記導電性隔壁板の前記複数の貫通孔を通じて前記成膜処理空間に導入され、前記第一の内部空間に外部から供給された材料ガスが前記複数の材料ガス拡散孔を通して前記成膜処理空間に導入されると共に、前記第二の内部空間に外部から供給された前記材料ガス以外のガスが前記複数のガス拡散孔を介して前記成膜処理空間に導入され、前記成膜処理空間に導入された前記活性種と前記材料ガスとの反応を利用して前記シリコン基板にシリコン酸化膜の成膜を行う薄膜形成方法であって、
    前記第二の内部空間に導入される前記材料ガス以外のガスを、窒素原子を含むガスとし、前記シリコン基板へのシリコン酸化膜の成膜が行われている間における当該窒素原子を含むガスの流量が、少なくとも、前記シリコン基板へのシリコン酸化膜の成膜開始の時点において最大になるように調整することを特徴とする薄膜形成方法。
  2. 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらかじめ定められた時間まで一定に保つことを特徴とする請求項1記載の薄膜形成方法。
  3. 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成膜開始の時点から時間の経過と共に連続的に減少させることを特徴とする請求項1記載の薄膜形成方法。
  4. 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成膜開始の時点から時間の経過と共に段階的に減少させることを特徴とする請求項1記載の薄膜形成方法。
  5. 請求項1乃至4のいずれか一項記載の薄膜形成方法において、前記第二の内部空間に導入される材料ガス以外のガスである窒素原子を含むガスが、窒素原子を含むガスと、当該窒素原子を含むガスとは異なるガスであって酸素原子を含むガスとからなることを特徴とする薄膜形成方法。
  6. 窒素原子を含むガスを前記第二の内部空間に導入する流量を、シリコン基板へのシリコン酸化膜の成膜開始の時点から成膜終了までの間のあらかじめ定められた時点で0にするとともに、窒素原子を含むガスが前記第二の内部空間に導入される流量が0になった後も、前記窒素原子を含むガスとは異なるガスであって酸素原子を含むガスを前記第二の内部空間に導入し続けることを特徴とする請求項5記載の薄膜形成方法。
  7. 前記材料ガスは、化学式Si2n+2(nは整数)で表わされる一種又は二種以上のシランガスであることを特徴とする請求項1〜6のいずれか一つの項に記載の薄膜形成方法。
  8. 前記窒素原子を含むガスは、一酸化二窒素、一酸化窒素、二酸化窒素のいずれか一種又は二種以上であることを特徴とする請求項1〜7のいずれか一つの項に記載の薄膜形成方法。
JP2005073217A 2005-03-15 2005-03-15 薄膜形成方法 Pending JP2006261217A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005073217A JP2006261217A (ja) 2005-03-15 2005-03-15 薄膜形成方法
PCT/JP2006/305013 WO2006098316A1 (ja) 2005-03-15 2006-03-14 薄膜形成方法
CNB2006800124188A CN100568463C (zh) 2005-03-15 2006-03-14 薄膜形成方法
US11/886,317 US20090202721A1 (en) 2005-03-15 2006-03-14 Method for Thin Film Formation
TW095108802A TW200702480A (en) 2005-03-15 2006-03-15 Method of forming thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005073217A JP2006261217A (ja) 2005-03-15 2005-03-15 薄膜形成方法

Publications (1)

Publication Number Publication Date
JP2006261217A true JP2006261217A (ja) 2006-09-28

Family

ID=36991659

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005073217A Pending JP2006261217A (ja) 2005-03-15 2005-03-15 薄膜形成方法

Country Status (5)

Country Link
US (1) US20090202721A1 (ja)
JP (1) JP2006261217A (ja)
CN (1) CN100568463C (ja)
TW (1) TW200702480A (ja)
WO (1) WO2006098316A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014220231A (ja) * 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104947086B (zh) * 2015-06-02 2017-09-15 常州比太科技有限公司 一种用于生产太阳能电池片的镀膜系统及镀膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223208A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 半導体素子製造装置および半導体素子の製造方法
JP2004111505A (ja) * 2002-09-17 2004-04-08 Anelva Corp 薄膜形成装置及び方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
FR2812665B1 (fr) * 2000-08-01 2003-08-08 Sidel Sa Procede de depot de revetement par plasma, dispositif de mise en oeuvre du procede et revetement obtenu par un tel procede
US6287962B1 (en) * 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6583026B1 (en) * 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
JP5089121B2 (ja) * 2006-09-29 2012-12-05 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびプラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001223208A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 半導体素子製造装置および半導体素子の製造方法
JP2004111505A (ja) * 2002-09-17 2004-04-08 Anelva Corp 薄膜形成装置及び方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP2014220231A (ja) * 2013-02-15 2014-11-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11101164B2 (en) 2016-12-14 2021-08-24 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
WO2006098316A1 (ja) 2006-09-21
TW200702480A (en) 2007-01-16
CN101160645A (zh) 2008-04-09
CN100568463C (zh) 2009-12-09
US20090202721A1 (en) 2009-08-13

Similar Documents

Publication Publication Date Title
JP2006261217A (ja) 薄膜形成方法
JP3991315B2 (ja) 薄膜形成装置及び方法
US9966252B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
TW202129068A (zh) 形成薄膜之方法及修飾薄膜表面之方法
JP4791637B2 (ja) Cvd装置とこれを用いた処理方法
TWI356101B (ja)
JP6807278B2 (ja) シリコン窒化膜の成膜方法および成膜装置
JP2012195564A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JPH1187341A (ja) 成膜方法及び成膜装置
US9018689B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2008124111A (ja) プラズマcvd法によるシリコン系薄膜の形成方法
JP5770892B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP3807127B2 (ja) シリコン系薄膜の形成方法
JP4051619B2 (ja) シリコン酸化膜作製方法
JP3672639B2 (ja) 半導体装置の作製方法
JP2009228113A (ja) ルテニウム膜の成膜方法
KR100738068B1 (ko) 산화 환원 반응을 이용한 귀금속 전극 형성 방법
JP4852261B2 (ja) シリコン化合物の形成方法
TW202229613A (zh) 於階梯式結構上沉積材料的方法
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JPH07235530A (ja) 絶縁膜の形成方法
JPH11100672A (ja) プラズマ気相反応装置
JP2008118097A (ja) ハフニウムコーティング膜の形成方法及び薄膜作製装置
JP2009088383A (ja) ナノシリコン薄膜の形成方法及びその形成装置
JP2015211193A (ja) 成膜方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080201

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090617

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090625

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090625

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111011