JP2014220231A - 温度制御機能を備えるマルチプレナムシャワーヘッド - Google Patents

温度制御機能を備えるマルチプレナムシャワーヘッド Download PDF

Info

Publication number
JP2014220231A
JP2014220231A JP2014021856A JP2014021856A JP2014220231A JP 2014220231 A JP2014220231 A JP 2014220231A JP 2014021856 A JP2014021856 A JP 2014021856A JP 2014021856 A JP2014021856 A JP 2014021856A JP 2014220231 A JP2014220231 A JP 2014220231A
Authority
JP
Japan
Prior art keywords
plate
radical
showerhead
precursor delivery
radical passage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014021856A
Other languages
English (en)
Other versions
JP2014220231A5 (ja
Inventor
パトリック・ジー.・ブレイリング
G Breiling Patrick
バドリ・エヌ.・バラダラジャン
N Varadarajan Badri
ジェニファー・エル.・ペトラグリア
L Petraglia Jennifer
シュラベンジック バート・ジェイ.・バン
J Van Schravendijk Bart
シュラベンジック バート・ジェイ.・バン
カール・エフ.・リーサー
F Leeser Karl
マンディアム・アマンジー・スリラム
Ammanjee Sriram Mandyam
レイチェル・イー.・バッザー
E Batzer Rachel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2014220231A publication Critical patent/JP2014220231A/ja
Publication of JP2014220231A5 publication Critical patent/JP2014220231A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • F28F3/02Elements or assemblies thereof with means for increasing heat-transfer area, e.g. with fins, with recesses, with corrugations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Particle Accelerators (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体処理操作中にラジカルを供給するためのラジカル源と共に使用するための装置を提供する。
【解決手段】装置は、フェースプレートアセンブリ100を形成するプレートまたは構成要素のスタックを含むことがある。フェースプレートアセンブリは、ラジカル拡散器プレート101と、前駆体送達プレート103と、ラジカル拡散器プレートと前駆体送達プレートとの間に挿間された断熱体102と、を含むことがある。フェースプレートアセンブリは、ラジカル拡散器プレートに実質的に垂直な中心線を有するラジカル通過穴のパターンを有することがある。断熱体は、ラジカル拡散器プレートと前駆体送達プレートとの間の熱の流れを調整するように構成することができる。
【選択図】図1A

Description

[関連出願の相互参照]
本出願は、米国特許法第119条(e)の下で、どちらも「MULTI−PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL」という名称の2013年2月15日出願の米国仮特許出願第61/765,432号および2013年2月27日出願の第61/770,251号に対する利益を主張する。上記の仮特許出願はどちらも、それらの全体を参照により本明細書に組み込む。
半導体処理ツールは、しばしば、化学気相成長(CVD)または原子層堆積(ALD)処理などの処理中に、ラジカル源を使用して、半導体ウェハにわたってラジカル化プロセスガスを分散させる。そのようなラジカル源は、処理中にウェハに面するフェースプレートを含むことがあり、いくつかのガス分散穴が、フェースプレートにわたって分散されることがあり、ラジカル源内部からウェハへのラジカル化ガスの送達を容易にする。
プラズマ化学気相成長(PECVD)などいくつかの半導体製造プロセス中、半導体製造プロセスガスは、様々なプロセスステップで使用されるラジカルを生成するためにプラズマに変換されることがある。そのようなプラズマプロセスは、より低いプロセス温度、およびより大きなプロセス化学自由度で行うことができるので、例えば熱CVDに勝る利点を提供することがある。しかし、プラズマ変換は、例えば、ウェハの下層のシリコン、またはプロセスで使用される超低誘電率誘電体を酸化することによって、ウェハに損傷を及ぼす虞もある。そのような損傷の可能性を減少するために、そのようなプラズマは、ウェハから「遠隔」にあるように位置されることがある。1つのそのようなプロセスは、一般に、遠隔プラズマ堆積(RPD)と呼ばれる。例えば、いくつかのラジカル源は、内部でプラズマを発生させることができる内部体積を有することがある。この内部体積は、ラジカル源フェースプレートによってウェハから分離される(プラズマをウェハから「遠隔に」する)ことがあり、プラズマ変換に起因する生じ得る損傷からウェハをいくらか遮蔽する。フェースプレート内のガス分散穴は、遠隔で発生されたプラズマによって生成されたラジカルがラジカル源から出てウェハ上に流れることができるようにすることがある。
本明細書で述べる主題の1つまたは複数の実装形態の詳細を、添付図面および以下の説明に記載する。他の特徴、態様、および利点は、説明、図面、および特許請求の範囲から明らかになろう。以下の図の相対寸法は、正確な尺度の図面であることが明確に示されていない限り、正確な尺度では描かれていないことがあることに留意されたい。
いくつかの実装形態では、半導体処理操作用のシャワーヘッドが提供されることがある。シャワーヘッドは、第1の面と、反対側の第2の面とを有する前駆体送達プレートと、第1の面と、反対側の第2の面とを有するラジカル通過プレートとを含むことがある。ラジカル通過プレートの第2の面は、前駆体送達プレートの第1の面に面することがある。また、シャワーヘッドは、前駆体送達プレートとラジカル通過プレートとの間に挿間された断熱体を含むこともある。また、シャワーヘッドは、ラジカル通過穴のパターンも含むことがある。ラジカル通過穴がそれぞれ、前駆体送達プレートと、ラジカル通過プレートと、断熱体とを貫通することがある。また、ラジカル通過穴はそれぞれ、前駆体送達プレート、ラジカル通過プレート、および断熱体に実質的に垂直な穴中心軸を有することもあり、前駆体送達プレート、ラジカル通過プレート、および断熱体を通るラジカル通過穴の穴中心軸に垂直な実質的に均一な断面積を維持することもある。
いくつかのさらなる実装形態では、ラジカル通過プレートと前駆体送達プレートが直接的に熱接触し、ラジカル拡散器プレートの第1の面と前駆体送達プレートの第2の面とが同じ距離を保つように厚さ設定された場合におけるラジカル通過プレートと前駆体送達プレートとの間の熱流量未満になるように、ラジカル通過プレートと前駆体送達プレートとの間の熱流量を制御するように断熱体が構成されることがある。
シャワーヘッドのいくつかのさらなる実装形態では、前駆体送達プレートが、ガス送達穴のパターンと、1つまたは複数の内部ガス分散経路とを含むことがある。ガス送達穴はそれぞれ、前駆体送達プレート、ラジカル通過プレート、および断熱体に実質的に垂直な穴中心軸を有することがある。また、ガス送達穴はそれぞれ、1つまたは複数のガス分散経路の少なくとも1つに流体接続されることもあり、ガス送達穴はそれぞれ、前駆体送達プレートの第2の面で、前駆体送達プレートから出ることもある。
シャワーヘッドのいくつかの実装形態では、ラジカル通過穴が、7:1〜10:1の間の長さ対直径の比を有することがある。シャワーヘッドのいくつかの他の実装形態では、ラジカル通過穴が、6:1〜11:1の間の長さ対直径の比を有することがある。シャワーヘッドのいくつかの実装形態では、ラジカル通過穴が、少なくとも0.25インチ(0.635cm)の長さを有することがある。
シャワーヘッドのいくつかの実装形態では、ラジカル通過プレートは、ラジカル通過プレートにわたって延在する1つまたは複数の第1の内部冷却経路を含むことがある。1つまたは複数の第1の内部冷却経路は、ラジカル通過プレート内部のラジカル通過穴から流体的に隔離されることがある。
シャワーヘッドのいくつかのそのような実装形態では、第1の内部冷却経路が、経路のアレイを含むことがある。各経路は、平均で、ラジカル通過プレートの第1の面に実質的に垂直な基準面に実質的に平行な進路に従うことがあり、各経路は、入口と流体接続された第1の端部と、出口と流体接続された第2の端部とを有することがある。シャワーヘッドのいくつかの他の実装形態では、各経路は、平均で、ラジカル通過プレートの第1の面に実質的に垂直な基準面に実質的に平行な進路に従うことがあり、基準面の第1の面に位置される各経路は、第1の入口と流体接続された第1の端部と、第1の出口と流体接続された第2の端部とを有することがあり、基準面の第1の面とは反対側の、基準面の第2の面に位置される各経路は、第2の入口と流体接続された第1の端部と、第2の出口と流体接続された第2の端部とを有することがある。いくつかのそのような実装形態では、第1の入口、第2の入口、第1の出口、および第2の出口がそれぞれ、個別のクーラントプレナムを介してそれぞれの経路に接続されることがあり、クーラントプレナムは、実質的に弧状であり、径方向でラジカル通過プレートの中心軸の周りに配置される。
シャワーヘッドのいくつかの実装形態では、第1の内部冷却経路が、第1の経路のアレイを含むことがある。第1の経路はそれぞれ、平均で、ラジカル通過プレートの第1の面に実質的に垂直な基準面に実質的に平行な第1の進路に従うことがある。第1の内部冷却経路は、第2の経路のアレイを含むことがあり、第2の経路はそれぞれ、第2の経路はそれぞれ、平均で、基準面に実質的に平行な第2の進路に従うことがある。第1の経路と第2の経路は、ラジカル通過プレート内部で互いに流体的に隔離されることがある。各第1の経路は、第1の入口に流体接続された第1の端部と、第1の出口に流体接続された、第1の経路の第1の端部とは反対側の第2の端部とを有することがある。各第2の経路は、第2の入口に流体接続された第1の端部と、第2の出口に流体接続された、第2の経路の第1の端部とは反対側の第2の端部とを有することがある。第1の入口、第2の入口、第1の出口、および第2の出口は、第1の入口内に流れる冷却流体が、第1の経路を通って、第1の平均方向で第1の出口から流出し、第2の入口内に流れる冷却流体が、第2の経路を通って、第2の平均方向で第2の出口から流出するように構成されることがある。第1の平均方向と第2の平均方向とは、実質的に逆向きであることがある。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらに、周方向プレナムを含むことがある。周方向プレナムは、内周縁を有し、ラジカル通過プレートの第1の面の近位にあり、内周縁にわたって実質的に均等に分散した様式で、実質的にラジカル通過プレートの中心軸に向けてガスを流すように構成されることがある。
シャワーヘッドのいくつかの実装形態では、シャワーヘッドは、さらに、プラズマドームを含むことがある。プラズマドームは、ラジカル通過プレートの中心軸の周りで実質的に軸対称である内面と、ラジカル通過プレートの中心軸の近くで、プラズマドームの一端に位置された1つまたは複数のラジカルガス入口と、プラズマドームの反対側の端部に位置され、プラズマドームをシャワーヘッドと接続させるように構成された取付インターフェースとを有することがあり、それにより、プラズマドームの内面と、ラジカル通過プレートの第1の面とが、ラジカル源体積を画定し、周方向プレナムからのガス流が、ラジカル源体積内に流れる。
シャワーヘッドのいくつかの実装形態では、周方向プレナムは、プラズマドームとラジカル通過プレートとの間に挿間されたアダプタ内に位置されることがある。シャワーヘッドのいくつかの他の実装形態では、周方向プレナムは、取付インターフェースの近くでプラズマドーム内に位置されることがある。
シャワーヘッドのいくつかの実装形態では、断熱体は、前駆体送達プレートおよびラジカル通過プレートのそれぞれの熱伝導率よりも実質的に低い熱伝導率を有するプレートであることがある。シャワーヘッドのいくつかの他の実装形態では、断熱体は、ラジカル通過プレートと前駆体送達プレートとの間に間隙を有することがある。間隙は、ラジカル通過プレートと前駆体送達プレートとの間の自由体積を画定することがある。また、断熱体は、ラジカル通過穴のパターン内のラジカル通過穴の数に対応する数の管状構造を含むこともある。各管状構造は、ラジカル通過穴の異なる1つに対応し、対応するラジカル通過穴の公称直径に実質的に等しい内径を有し、間隙にわたって延在し、流体連絡に関して自由体積からラジカル通過穴を実質的に隔離することがある。
シャワーヘッドのいくつかのさらなる実装形態では、管状構造の少なくとも1つが、個別の管セグメントであることがある。シャワーヘッドのいくつかのさらなる実装形態では、管状構造の少なくとも1つが、水晶またはサファイアからなる群から選択される材料から形成される。
シャワーヘッドのいくつかの実装形態では、断熱体は、少なくとも2つの積層された層を含むことがあり、各層が、ラジカル通過穴を含む。いくつかのさらなるそのような実装形態では、断熱体は、さらに、層のうちの1層の第1の対合表面と、隣接する層の第2の対合表面との間の第1の界面を含むことがあり、第1の対合表面と第2の対合表面の少なくとも一方が、約8〜16マイクロインチ以上の表面粗さR値を有することがある。いくつかの実装形態では、層は、各層にわたって約0.002インチ(0.00508cm)の絶対平面度を有することがある。
シャワーヘッドのいくつかの実装形態では、ラジカル通過穴は、第1の平面上の開口を介して前駆体送達プレートの第2の面から出ることがあり、ガス送達穴は、前駆体送達プレートの第1の面とは逆の方向に第1の非ゼロ距離だけ第1の平面からずらされた第2の平面上の開口を介して前駆体送達プレートの第2の面から出ることがある。いくつかの実装形態では、第1の非ゼロ距離は、0.25インチ(0.635cm)よりも大きいことがある。いくつかの実装形態では、第1の非ゼロ距離は、0.25インチ(0.635cm)〜3インチ(7.62cm)の間であることがある。いくつかの実装形態では、第1の非ゼロ距離は、3インチ(7.62cm)〜12インチ(30.48cm)の間であることがある。
シャワーヘッドのいくつかの実装形態では、ラジカル通過穴は、第1の平面上の開口を介して前駆体送達プレートの第2の面から出ることがあり、ガス送達穴は、第2の平面上の開口を介して前駆体送達プレートの第2の面から出ることがあり、第2の平面は、前駆体送達プレートの第1の面とは逆の方向に第1の平面からずらされており、また、第1の平面上の開口を介してラジカル通過プレートから流されるラジカル化されたガスが第2の平面に衝突する前に実質的に十分に発達した流れを示すように、第2の平面が十分に第1の平面から離れている。
シャワーヘッドのいくつかの実装形態では、ラジカル拡散器プレートは、ラジカル拡散器プレートとのラジカル再結合を阻止する材料で少なくとも部分的にコーティングされる。いくつかのそのような実装形態では、材料は、窒化アルミニウム、水晶、およびサファイアからなる群から選択されることがある。
いくつかの実装形態では、シャワーヘッドは、さらに、プロセスチャンバを含むことがある。そのような実装形態では、ラジカル拡散器プレートと、断熱体と、前駆体送達プレートとが、プロセスチャンバにプロセスガスを送達するように構成されることがある。
いくつかのそのような実装形態では、シャワーヘッドは、1つまたは複数の追加のプロセスチャンバをさらに含むことがあり、プロセスチャンバと1つまたは複数の追加のプロセスチャンバとが、複数チャンバ半導体処理ツールを形成することがある。
いくつかの他のそのような実装形態では、シャワーヘッドは、さらに、第2のラジカル拡散器プレートと、第2の断熱体と、第2の前駆体送達プレートとを備えることがある。第2のラジカル拡散器プレートと、第2の断熱体と、第2の前駆体送達プレートとは、上記のラジカル拡散器プレート、上記の断熱体、および上記の前駆体送達プレートと同様に配置されることがある。また、プロセスチャンバは、少なくとも第1の処理ステーションと第2の処理ステーションとを含むこともある。ラジカル拡散器プレートと、断熱体と、前駆体送達プレートとは、第1のステーションにプロセスガスを送達するように構成されることがあり、第2のラジカル拡散器プレートと、第2の断熱体と、第2の前駆体送達プレートとは、第2のステーションにプロセスガスを送達するように構成されることがある。
いくつかの実装形態では、半導体処理操作用のシャワーヘッドを使用するための方法が提供される。シャワーヘッドは、第1の面と、反対側の第2の面とを有する前駆体送達プレートと、第1の面と、反対側の第2の面とを有するラジカル通過プレートとを含むことがある。ラジカル通過プレートの第2の面は、前駆体送達プレートの第1の面に面することがある。また、装置は、前駆体送達プレートとラジカル通過プレートとの間に挿間された断熱体を含むこともある。また、装置は、ラジカル通過穴のパターンも含むことがある。ラジカル通過穴がそれぞれ、前駆体送達プレートと、ラジカル通過プレートと、断熱体とを貫通することがある。また、ラジカル通過穴はそれぞれ、前駆体送達プレート、ラジカル通過プレート、および断熱体に実質的に垂直な穴中心軸を有することもあり、前駆体送達プレート、ラジカル通過プレート、および断熱体を通るラジカル通過穴の穴中心軸に垂直な実質的に均一な断面積を維持することもある。方法は、ラジカル送達プレートを第1の温度で保つステップと、ラジカル通過プレートを第2の温度で保つステップと、ラジカル通過プレートが第1の温度である状態で、ガス送達穴を介して第1のプロセスガスを提供するステップと、ラジカル通過プレートが第2の温度である状態で、ラジカル通過穴を介して第2のプロセスガスを提供するステップとを含むことがある。
いくつかの実施形態では、半導体処理操作用のリアクタが提供されることがある。リアクタは、リアクタチャンバと、リアクタチャンバ内部に位置されたウェハ支持体と、シャワーヘッドとを含むことがある。シャワーヘッドは、第1の面と、反対側の第2の面とを有する前駆体送達プレートと、第1の面と、反対側の第2の面とを有するラジカル通過プレートとを含むことがある。ラジカル通過プレートの第2の面は、前駆体送達プレートの第1の面に面することがある。また、装置は、前駆体送達プレートとラジカル通過プレートとの間に挿間された断熱体を含むこともある。また、装置は、ラジカル通過穴のパターンも含むことがある。ラジカル通過穴がそれぞれ、前駆体送達プレートと、ラジカル通過プレートと、断熱体とを貫通することがある。また、ラジカル通過穴はそれぞれ、前駆体送達プレート、ラジカル通過プレート、および断熱体に実質的に垂直な穴中心軸を有することもあり、前駆体送達プレート、ラジカル通過プレート、および断熱体を通るラジカル通過穴の穴中心軸に垂直な実質的に均一な断面積を維持することもある。シャワーヘッドとウェハ支持体とは、ウェハ支持体がリアクタチャンバ内部でシャワーヘッドの下にあるように構成されることがある。
いくつかの実装形態では、断熱体が提供されることがある。断熱体は、第1の面と、第1の面に実質的に平行であり、第1の面からずらされた第2の面と、第1の面と第2の面との間にわたって延在する複数の管状構造とを含むことがあり、管状構造は、第1の面と第2の面とにわたって分散されたパターンで配列される。管状構造は、管状経路内部の体積と、第1の面と第2の面との間に実質的に画定される体積との間の流体の流れを実質的に防止することがある。
いくつかのさらなるそのような実装形態では、断熱体は、さらに、第1の面と第2の面の間にわたって延在し、複数の管状構造を取り囲む周壁を含むことがある。周壁、第1の面、第2の面、および管状構造の最外面が、断熱体の中空内部体積を画定することがある。
断熱体のいくつかのさらなる実装形態では、断熱体は、さらに、断熱体の中空内部体積と流体連絡する1つまたは複数のポートを含むことがある。
本開示のこれらおよび他の態様を、以下にさらに詳細に論じる。
ラジカル化ガスフロー操作中の、3区画フェースプレートアセンブリを備える遠隔プラズマ源の一例の高レベル概略図である。
フェースプレートを通るラジカル化されたガスの流れが阻止されている操作中の、図1Aの遠隔プラズマ源の高レベル概略図である。
断熱体として働く穿孔プレートを特徴とする3区画フェースプレートの一例の高レベル概略図である。
断熱体として働く中空プレートを特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図である。
代替の中空プレート断熱体設計を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図である。
複数の個別の管を特徴とする断熱体を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図である。
ずらされたガス分散穴とラジカル通過穴との出口を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図である。
実質的に直線の冷却チャネル進路を示す概略図である。
やはり実質的に直線の冷却チャネル進路を示す概略図である。
3区画フェースプレートアセンブリの一例の斜視図である。
内部の機構が見えるようにいくつかの部分を切り欠いた、図4Aのフェースプレートアセンブリの斜視図である。
内部の機構が見えるように切り欠いた部分を有するいくつかの構成要素を備える、図4Aのフェースプレートアセンブリの斜視分解図である。
対向する流れ冷却チャネルを有するラジカル拡散器プレートの一例の斜視分解図である。
図5Aのラジカル拡散器プレートの中央プレートの第1の面を示す図である。
図5Aのラジカル拡散器プレートの中央プレートの第2の面を示す図である。
前駆体送達プレートの一例の斜視断面図である。
図6Aの前駆体送達プレートの底面図である。
図6Aの前駆体送達プレートの側断面図である。
図6Aの前駆体送達プレートの逆さの分解斜視図である。
フェースプレートアセンブリの一例の斜視分解図である。
内部の機構が見えるようにいくつかの部分を切り欠いた、図7Aのフェースプレートアセンブリの斜視図である。
3区画フェースプレートアセンブリの一例の二等角投影断面図である。
図8Aの例示的な区分けされた3区画フェースプレートアセンブリの一部分の詳細図である。
図8Aの例示的な3区画フェースプレートアセンブリの二等角投影分解断面図を示す。
図8Aの例示的な3区画フェースプレートアセンブリの別の二等角投影分解断面図であって、内部の機構が見えるように、ラジカル拡散器プレートおよび前駆体送達プレートの上部が取り除かれている図である。
2つの対合表面間の実際の接触面積に対する表面粗さの影響を示す概念上の概略図である。 2つの対合表面間の実際の接触面積に対する表面粗さの影響を示す概念上の概略図である。 2つの対合表面間の実際の接触面積に対する表面粗さの影響を示す概念上の概略図である。 2つの対合表面間の実際の接触面積に対する表面粗さの影響を示す概念上の概略図である。
本明細書で述べる構成要素と共に使用されることがあるマルチステーション処理ツールの概略図である。
図4A〜図8Dは、各図において、正確な縮尺で描かれている。
様々な実装形態の例を添付図面に例示し、以下にさらに説明する。本明細書での論述は、説明する具体的な実装形態に特許請求の範囲を限定することは意図されていないことを理解されたい。逆に、添付の特許請求の範囲によって定義される本発明の精神および範囲内に含むことができる変形形態、修正形態、および均等形態を網羅することが意図されている。以下の説明では、本発明を完全に理解できるように、いくつかの実装形態特有の詳細を記載する。本発明は、これらの実装形態特有の詳細のいくつかまたはすべてを伴わずに実施することもできる。なお、本発明を不要に曖昧にしないように、よく知られているプロセス操作は詳細には説明していない。
本明細書では、遠隔プラズマ源と共に使用するためのシャワーヘッド、および遠隔プラズマ源と共に使用することができる他の機構のための3区画フェースプレートの様々な実施形態を述べる。語句「3区画」は、3つの主要な構成要素を有するフェースプレートアセンブリを表すために使用されることがあり、また、語句「3区画」は、3つの異なる区域を画定するより多数または少数の主要な構成要素を有するフェースプレートアセンブリを表すために使用されることもあり、各区域は、以下に論じるフェースプレートアセンブリの主要な構成要素に関して以下に論じる機能と同様の機能を提供する。
図1Aは、ラジカル化ガスフロー操作中の、3区画フェースプレートアセンブリを備える遠隔プラズマ源の一例の高レベル概略図を示す。遠隔プラズマ源170が図示されており、遠隔プラズマ源170は、ラジカル拡散器プレート101と、前駆体送達プレート103と、ラジカル拡散器プレート101と前駆体送達プレート103との間に挿間された断熱体102とを含むフェースプレートアセンブリ100を含む。プラズマドーム133が、取付インターフェース136、例えば取付フランジ、ショルダ、または他の機構によってフェースプレートアセンブリと接続されることがあり、ラジカル源体積137(例えばフェースプレートアセンブリとプラズマドーム133の内面134とによって境界を画される体積)を形成する。いくつかの実装形態では、プラズマドームは使用されないことがあり、半導体処理操作で使用される従来のシャワーヘッドで見られることがあるものなど、より従来のカバー、例えば平坦なバックプレート、または実質的に円筒形のラジカル源体積137を形成する他の形状が使用される。プレナムアダプタ138が、プラズマドーム133(または代替構造、例えば上述した平坦なバックプレートまたは他の構造)とフェースプレートアセンブリ100との間に挿間されることがある。プレナムアダプタ138は、1つまたは複数の径方向入口154を通してラジカル源体積137内にプロセスガスを流すように構成された周方向プレナム132を含むことがある。図1Aでは、1つまたは複数の径方向入口154を通るガス流はない。1つまたは複数の径方向入口154は、円形アレイとして配列された個別の入口でよく、または、周方向プレナム154にある薄いアーク状のスロットを特徴とする1つまたは複数のアーク形状の「エアナイフ」入口でよい。
図1Aおよび図1Bは、誘導結合プラズマ(ICP)システムで見られるものと同様の遠隔プラズマ源を示すが、フェースプレート100、およびプレナムアダプタ138など他の構成要素を、ラジカル拡散器プレート101の上方の体積にラジカルを送達するために使用することができる様々な技術と共に使用することができることを理解されたい。例えば、フェースプレートアセンブリ100は、ラジカルを生成するために紫外光を利用するラジカル発生技法、誘導結合プラズマ技法、マイクロ波プラズマ発生器、および他のタイプのラジカル発生技術と共に使用することができる。ラジカルは、ラジカル源体積137の内部で直接発生されることがあり、または外部発生源、例えばMKS Instrumentsによって提供されるASTRONi(商標)反応性ガス発生器などから供給されることがあり、外部発生源は、ラジカル源体積137の外部でラジカルを発生し、次いでラジカル化されたガスを1つまたは複数の送達ポートを通してラジカル源体積137内に送達する。
第1のプロセスガスは、1つまたは複数のラジカルガス入口135を通して装置内に流されることがある。プラズマは、マッチングネットワーク153を介してRF源に接続されることがある高周波コイル152を使用して、第1のプロセスガスを使用して発生されることがある。ラジカル化された第1のプロセスガスは、ラジカル拡散器プレート101に向けて、1つまたは複数のラジカル通過穴108を通って流れて、フェースプレートアセンブリ100と遠隔プラズマ源170を使用して処理されるウェハ101との間(またはフェースプレートアセンブリ100とウェハ支持体103との間)に位置されたウェハ反応領域に達することができる。同時に、前駆体ガスを、1つまたは複数の前駆体ガス入口148内に流し、内部ガス分散経路112を通してガス送達穴110に流すことができる。したがって、前駆体ガスとラジカル化された第1のプロセスガスとを、ウェハ反応領域に同時に送達することができる。
図1Bは、フェースプレートを通るラジカル化されたガスの流れが阻止されている操作中の、図1Aの遠隔プラズマ源の高レベル概略図を示す。図1Bでは、第2のプロセスガスを、1つまたは複数の径方向入口154を通してラジカル源体積137に導入することができる。1つまたは複数の径方向入口154は、ラジカル拡散器プレートにわたるように第2のプロセスガスを向けて、第1のプロセスガスとラジカル拡散器プレート101との間に第2のプロセスガスの層を形成するように構成されることがある。第2のプロセスガスの流れは、ラジカル拡散器プレート101に向かう第1のプロセスガスの流れを少なくとも一部打ち消すことがあり、それにより、第2のプロセスガスが1つまたは複数の径方向入口154を通して流されると同時に、ラジカル通過穴108を通るラジカル化された第1のプロセスガスの流れを少なくとも一部妨げる。いくつかの操作中にラジカルガスがプロセス反応領域に達するのを妨げられることが望ましい用途に関して、そのような第2のプロセスガスの流れは、そのような操作中にラジカルガスをラジカル源体積から除去する(これは、プラズマを消滅させることを含むこともある)必要をなくすことができる。第2のプロセスガスは、例えば不活性キャリアガスでよく、または第1のプロセスガスと同じでよい。いくつかの実装形態では、第2のプロセスガスは、第1のプロセスガスとは異なる非キャリアガスでよい。
図2A〜図2Fは、様々なフェースプレートアセンブリの高レベル概略図を示す。本開示の文脈でのフェースプレートアセンブリの様々な態様を論じる。一般に、ラジカル拡散器プレートと、フェースプレートアセンブリの前駆体送達プレートとは、改良された処理環境を容易に実現するために様々な温度で保つことができる。例えば、ラジカル送達効率を改良するために、ラジカル拡散器プレートは、前駆体送達プレートよりも実質的に低い温度で保つことができる。これは、表面がより低温であるときよりも、表面がより高温であるときに、ラジカルが衝突時に表面と再結合する傾向を強く示すからである。ラジカル拡散器プレートの温度を低下させることによって、ラジカル拡散器プレートと衝突するラジカルがラジカル拡散器プレートと再結合する可能性が低くなり、より多くのラジカルがラジカル通過穴を通って流れる。同時に、前駆体送達プレートの冷却は、望ましくないことに、前駆体送達プレートによって送達されるプロセスガスを凝縮させる虞がある。そのような凝縮を防止するために、前駆体送達プレートは、例えば加熱要素もしくは流体熱交換器によって直接加熱されることがあり、または、例えばより高温の構成要素との熱的接触によって間接的に加熱されることがあり、それにより、前駆体送達プレートが前駆体の蒸発温度よりも高く保たれるようにする。
いくつかの実装形態では、ラジカル拡散器プレートおよび他の構成要素は、ラジカル再結合を阻止または防止するための材料で完全にまたは部分的にコーティングされることがある。例えば、ラジカル源体積に面するラジカル拡散器プレートの面に、窒化アルミニウム、水晶、またはサファイアのコーティングが設けられることがある。
ラジカル拡散器プレートと前駆体送達プレートとの間に断熱体が挿間されることがあり、これら2つの構成要素間の熱の流れを制御する。したがって、断熱体は、一般に、フェースプレートアセンブリを通るラジカル送達効率に実質的に影響を与えずに、前駆体送達プレートとラジカル拡散器プレートとの間の熱の流れを妨げるように構成された構成要素として表すことができる。
図2A〜図2Fに示される実装形態では、フェースプレートアセンブリ200はすべて、ラジカル拡散器プレート201と、断熱体202と、前駆体送達プレート203とを含む主要な構成要素を含む。また、フェースプレートアセンブリは、ラジカル通過穴208のパターンと、ガス送達穴210のパターンとを含む。ラジカル通過穴208は、フェースプレートアセンブリ200を完全に貫通し、一方、ガス送達穴210は、前駆体送達プレート203の一部を貫通し、ラジカル通過穴208と同じ前駆体送達プレート203の面から出る。ガス送達穴210は、1つまたは複数の内部ガス分散経路212と流体接続される。内部ガス分散経路212は、1つまたは複数の前駆体ガス入口248と流体接続されることがあり、前駆体ガス入口248は、プロセスガス、例えば前駆体ガスを前駆体送達プレート203内に流し、さらにガス送達穴210を通して外に流す。ラジカル拡散器プレート201は、経路214を含むことがあり、経路214はそれぞれ、第1の端部216で1つまたは複数の入口219に流体接続され、第2の端部217で1つまたは複数の出口220に流体接続されることがある。経路214を通して、クーラントが、ラジカル拡散器プレート201を通して循環されることがあり、ラジカル拡散器プレート201から熱を伝達し、ラジカル拡散器プレートの温度を低下させる。クーラントは、クーラント供給または熱交換器システムなど外部供給源から提供されることがある。
図2Aは、断熱体として働く穿孔プレートを特徴とする3区画フェースプレートの一例の高レベル概略図を示す。この実装形態では、断熱体202は、ラジカル拡散器プレート201と前駆体送達プレート203との間に挟まれた材料の層の形態を取る。断熱体202に使用される材料は、(ラジカル拡散器プレート201および前駆体送達プレート203の熱伝導率に比べて)比較的低い熱伝導率を有し、それでもプロセス化学物質との適合性およびラジカルとの低い反応性を維持する材料でよい。例えば、断熱体202は、低誘電率セラミック、水晶、様々な低熱伝導率のプラスチック、例えばポリイミド、または(半導体処理チャンバの化学的環境から保護されるようにコーティングされた)ステンレス鋼、例えば300シリーズステンレスなどの材料から形成されることがあり、一方、ラジカル拡散器プレート201および拡散器送達プレート203は、1桁以上大きい熱伝導率を有する材料、例えばアルミニウム合金から形成されることがある。ラジカル通過穴208は、断熱体202を貫通することがある。見ることができるように、ラジカル通過穴208は、ラジカル拡散器プレート201、断熱体202、および前駆体送達プレート203を貫通するときに、実質的に均一な断面を維持する。これは、ラジカル通過穴208を通って進むラジカルがぶつかることがある各ラジカル通過穴208内部の表面積を減少させる助けとなり、そのようなラジカルがラジカル通過穴の側壁と再結合する可能性を減少させる。
図2Bは、断熱体として働く中空プレートを特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図を示す。フェースプレートアセンブリ200のこの実装形態では、断熱体202は、大きく刳り抜いて内部自由体積241を形成することができ、内部自由体積241は、真空にポンプダウンすることができる。自由体積241は、実質的に、断熱体202内部の間隙240を画定することがある。いくつかの実装形態では、後で見られるように、間隙240は、ラジカル拡散器プレート201と前駆体送達プレート203との間でよく、またはラジカル拡散器プレート201もしくは前駆体送達プレート203と断熱体202の表面との間でもよい。管状構造242は、ラジカル通過穴208を内部自由体積241から実質的に流体的に隔離することができる。断熱体を通る熱の流れは、内部自由体積241内の真空の存在により、自由体積241を通る放射伝熱メカニズム、および管状構造242の材料を通る伝達に効果的に限定される。この実装形態で伝導熱流の量を減少するために、図2Aの断熱体202と同様に、断熱体202も低誘電率材料から形成される。
自由体積241は、真空ポンプと接続された真空ポート259を通して真空にポンプダウンされることがあり、または例えばフェースプレートアセンブリ200を通ってウェハ反応領域につながる真空ポート(図2Bには図示せず。図3Aおよび図3Bを参照)を通して、フェースプレートアセンブリ200を収容するプロセスチャンバのより大きな体積と流体接続されることがある。ウェハ反応領域は、(フェースプレートアセンブリ200を通して提供される少量のプロセスガスの追加以外には)真空状態で保つことができるので、これはまた、同様の雰囲気条件で自由体積241を維持する働きもすることができる。
図2Cは、代替の中空プレート断熱体設計を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図を示す。この実装形態は、断熱体202が自由体積241を完全には画定しないこと以外は、図2Bを参照して述べたものと同様である。断熱体202は、各ラジカル通過穴208の位置でプレートから突出する管状構造242を有するプレートを含む。この実装形態では、間隙240は、ラジカル拡散器プレート201と断熱体202のプレートとの間の距離によって画定される。管状構造242は、ラジカル拡散器プレート201の凹部内に延在することがある。いくつかの実装形態では、管状構造242は、ラジカル拡散器プレート201に対して単に突き当たり、そのような凹部内には延在しないことがある。いくつかの他の実装形態では、管状構造242は、小さな間隙によってラジカル拡散器プレート201から離隔されることがあり、すなわち、管状構造242とラジカル拡散器プレート201との間の気密封止は必要ない。そのような小さな間隙は、管状構造242とラジカル拡散器プレート201との間の流れ伝導がラジカル通過穴を通る流れ伝導よりも実質的に小さくなるように十分に小さいことがある。断熱体202の熱伝導率をさらに減少させるために、真空ポート259を使用して、断熱体202内部の自由体積241をポンプダウンすることができる。
図2Dは、複数の個別の管を特徴とする断熱体を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図を示す。この実装形態では、断熱体202は、単一の画定可能な部分としては存在しない。そうではなく、断熱体202は、ラジカル拡散器プレート201と前駆体送達プレート202との間に挿間されたより小さな部分の集合体によって形成されることがある。例えば、ラジカル拡散器プレート201と前駆体送達プレート203との一方または両方が、個々の管状構造242をラジカル拡散器プレート201および/または前駆体送達プレート203内に挿入できるようにする凹部を含むことがある。外周壁が、ラジカル拡散器プレート201と前駆体送達プレート203との間で構造的な支持を提供して、自由体積241の外側限界を画定することができる。この実装形態における外周壁および管状構造は、同一の材料から形成することも、異なる材料から形成することもできる。例えば、外周壁は、酸化アルミニウムから形成されることがあり、管状構造は、水晶から形成されることがある。
図2Eは、ずらされたガス分散穴とラジカル通過穴との出口を特徴とする3区画フェースプレートアセンブリの一例の高レベル概略図を示す。図2A〜図2Dに関して上述した実装形態は、様々な断熱体実装形態に焦点を当ててきた。図2Eに示される実装形態は、図2Bに示されるものと同様の断熱体202を利用するが、上述した他のタイプの断熱体202を使用することもできる。しかし、図2Eで、ガス送達プレート203は、図2A〜図2Dに示されるガス送達プレート203とは異なる幾何形状を特徴とする。図2Eのガス送達プレート203は、第1の平面243でガス送達プレート203から出るラジカル通過穴208を有し、第1の平面243は、ガス分散穴210がガス送達プレート203から出る第2の平面244から第1の非ゼロ距離245だけずらされている。
例えば、ガス送達プレート203は、実質的に平坦なプレートであって、各ガス送達穴210の位置でプレートから突出する小さな管を有するプレートでよい。ガス送達穴210とラジカル通過穴208との出口平面の間のずれは、ラジカル通過穴からのラジカルガスの流れが、前駆体ガスがラジカルガスに導入される前に、実質的に十分に発達した流れ条件に達することを可能にすることがある。それぞれのガスに関する出口平面を物理的にずらすことによって、ラジカルガスは、十分に発達した流れに達するのに十分な時間を与えられる。いくつかの実装形態では、ずれは、0.25インチ(0.635cm)よりも大きいことがある。いくつかのさらなる実装形態では、ずれは、0.25インチ(0.635cm)〜3インチ(7.62cm)の間でよい。いくつかの追加のさらなる実装形態では、ずれは、3インチ(7.62cm)〜12インチ(30.48cm)の間でよい。
ラジカル拡散器プレート201、断熱体202、およびガス送達プレート203に関して上で概説した様々な概念を、図示されるものとは異なる様々な順序で組み立てることができることを理解されたい。例えば、図2Fからの同一平面上にない出口平面を有するガス送達プレート203を、図2Aからのラジカル拡散器プレート201および断熱体202と共に使用することができる。同様の置換は、適切な修正と共に他の図2B〜図2Eに関しても行うことができる。
さらに、図面に示される前駆体送達プレート203は、ただ1組のガス送達穴を特徴とするが、いくつかの実装形態は、複数組のガス送達経路および対応するガス送達穴を有する前駆体送達プレート203を特徴とすることがあることを理解されたい。例えば、前駆体送達プレート203は、ガス送達プレート203の内部で互いに流体的に隔離された2組のガス送達経路と、対応する2組のガス送達穴とを備えて構成されることがある。
ラジカル拡散器プレート201や、断熱体202のいくつかの実装形態など、内部冷却経路を有する様々なプレートに関して、冷却経路はプレートを横切ることがあり、プレート内部で、蛇行したまたは曲がりくねった道筋に沿って位置される。いくつかの他の実装形態では、冷却経路は、実質的に直線の進路に従うことがある。図3Aは、実質的に直線の冷却チャネル進路を示す概略図を示す。図3Bも、実質的に直線の冷却チャネル進路を示す概略図を示す。図3Aで見ることができるように、冷却経路314は、直線進路315に従うことがある。そのような経路は、例えば、ガンドリルを用いてプレートをクロスドリル加工して形成されることがある。図3Bで見ることができるように、冷却経路314は、例えば直線進路315に沿って縫うように進むことによって直線進路315から逸脱することがあるが、それでも概して直線進路315に沿って延在する。したがって、例えば、基準面に平行な進路に従う経路に対する言及は、図3Aおよび図3Bに示されるように、基準面に平行な直線進路に実質的に従う経路を含むものと理解することができる。
次に、本開示で概説する概念に従って、フェースプレートアセンブリおよびその内部の構成要素のさらなる例を示す様々なより詳細な図を参照する。
図4Aは、3区画フェースプレートアセンブリの一例の斜視図を示す。フェースプレートアセンブリ400が図示されており、フェースプレートアセンブリ400は、ラジカル拡散器プレート401と、前駆体送達プレート403と、ラジカル拡散器プレート401と前駆体送達プレート403との間に挿間された断熱体402とを特徴とする。断熱体402は、この図では若干見づらいが、後の図でより良く見ることができる。
フェースプレートアセンブリは、中心軸431の周りで実質的に円形の領域にわたって分散されたラジカル通過穴408のパターンを特徴とすることがある。ラジカル通過穴408は、ラジカル拡散器プレート401に実質的に垂直な穴中心軸409を有することがある。ラジカル通過穴は、すべてのラジカル通過穴408の側壁面積の和(断熱体402および前駆体送達プレート403内に位置されるラジカル通過穴408の部分の側壁を含む)を表す総計の側壁面積を有することがあり、ラジカル源体積437の境界の一部を成すラジカル拡散器プレート401の部分は、ラジカル通過穴408の断面積を含まない断面積を有することがある。いくつかの実装形態では、上で論じた断面積に対するラジカル通過穴408の総計の側壁面積の比は、1〜1.5未満でよい。
いくつかの実装形態では、ラジカル通過穴408の長さ対直径の比は、2:1よりも大きいことがあり、長さは0.25インチ(0.635cm)よりも大きいことがあり、フェースプレートアセンブリ400によって送達されるガスが、ガス送達穴410またはラジカル通過穴408を通ってフェースプレートアセンブリ400内に逆拡散するのを防止する助けとなる。いくつかの実装形態では、ラジカル通過穴408の長さ対直径の比は、7:1〜10:1の間でよい。ラジカル通過穴408は、フェースプレートアセンブリ400を通る穴中心軸に垂直な公称で均一な断面積を有することがある。いくつかの実装形態では、ラジカル通過穴408がフェースプレートアセンブリ400から出入りする場所で、ラジカル通過穴408の断面積のいくらかの変化、例えば広がりがあることがある。
図4Aに示されるラジカル拡散器プレート401および前駆体送達プレート403は、複数部分からなる設計である(ただし、複数部分からなる態様は、ラジカル拡散器プレートに関してのみ図示されている)。例えば、ラジカル拡散器プレート401は、実質的に円形の内側部分と、4つの弧状の外側部分とから形成され、外側部分は、溶接領域447で内側部分の外周縁に溶接される。これにより、交差経路を、内側部分の幅を通してドリル加工することができ、次いで交差経路を外側部分によってキャップして、ガスまたは流体の流れに関する封止された内部空間を形成する。また、ラジカル拡散器プレート401は、1つまたは複数のシールインターフェース446を含むこともあり、シールインターフェース446は、例えばバックカバー、アダプタ、プラズマドーム、または他の対合表面にラジカル拡散器プレート401を封止するように構成されることがある。他の部分、例えば断熱体402または前駆体送達プレート403にもさらなるシールインターフェース446が位置されることがあり、ラジカル拡散器プレート401と、断熱体402と、前駆体送達プレート403との間の界面を封止する。
第1の入口423および第2の入口427は、ラジカル拡散器プレート401の内部の冷却経路を介して、それぞれ第1の出口424および第2の出口428と流体連絡することができる。同様に、1つまたは複数の前駆体ガス入口448は、前駆体送達プレート403内の1つまたは複数の内部ガス分散経路と流体連絡することがある。
図4Bは、内部の機構が見えるようにいくつかの部分を切り欠いた、図4Aのフェースプレートアセンブリの斜視図を示す。見ることができるように、ラジカル通過穴408は、ラジカル拡散器プレート401、断熱体402、および前駆体送達プレート403を完全に貫通し、その際、中心軸409に垂直な実質的に均一な断面を保つ。また、図4Bでは経路414も見ることができ、この経路414は、第1の内部冷却経路413のネットワーク内のいくつかのそのような経路414のうちの1つである。見ることができるように、経路414の第1の端部416は、クーラントプレナム450と流体接続されることがあり、クーラントプレナム450は、図4Cで見られるように、第1の入口423と流体接続される。第2の入口427は、別のクーラントプレナム450、さらには他の経路414(この図には図示せず)の第1の端部416と流体接続されることがある。いくつかの実装形態では、第1の入口423および第2の入口424、またはただ1つの共通の入口が、共通のクーラントプレナム450と接続されることがあり、クーラントプレナム450は、経路414の第1の端部416と接続される。経路414の第2の端部417(図4Bには図示せず。以下に論じる図4Cで見ることができる)もクーラントプレナム450に接続されることがあり、クーラントプレナム450がさらに第1の出口424および第2の出口428に接続されることがあり、またはいくつかの実装形態では、第2の端部417は、ただ1つの共通のクーラントプレナム450および/またはただ1つの共通の出口に接続されることがある。通常のプロセス条件中にラジカル化ガスと接触することがあるラジカル拡散器プレートの部分にわたる約1〜2℃未満の温度差など、ラジカル拡散器プレート401にわたる実質的に均一な冷却を提供するのに十分な他の冷却解決策も同様に使用することができ、本開示の範囲内にあるとみなされる。
前駆体送達プレート403は、いくつかの点で、ラジカル拡散器プレート401の特徴と同様のいくつかの特徴を有することがある。例えば、前駆体送達プレート403は、前駆体プレナム451および内部ガス分散経路412を有することがあり、前駆体プレナム451および内部ガス分散経路412は、クーラントプレナム450および経路414といくつかの点では実質的に同様であることがある。また、前駆体送達プレート403は、前駆体ガスを前駆体プレナム451内に導入するように構成された1つまたは複数の前駆体ガス入口448を有することがある。しかし、ラジカル拡散器プレート401とは異なり、前駆体プレナム451および内部ガス分散経路412内に流される流体、例えば前駆体ガスは、第1の出口424および第2の出口428と同様の出口を通って前駆体送達プレート403から出るのではなく、内部ガス分散経路412と流体接続されたガス送達穴410のパターンから流れ出ることがある。ガス送達穴410は、ラジカル通過穴408の中心軸409に平行な穴中心軸411を有することがある。ガス送達穴410は、ラジカル通過穴408よりも実質的に小さい断面でよい。しかし、他の実装形態では、ラジカル拡散器プレートおよび前駆体送達プレート403の構造は、図4Bに示される構造とはさらに大きく異なることがある。
図4Cは、内部の機構が見えるように切り欠いた部分を有するいくつかの構成要素を備える、図4Aのフェースプレートアセンブリの斜視分解図である。図4Cでは、経路414が見えるように、ラジカル拡散器プレート401の上半分が取り除かれている。同様の理由で、前駆体送達プレート403の上半分も取り除かれている。
ラジカル拡散器プレート401は、第1の面406(切り欠かれているラジカル拡散器プレート401の部分にあるので図4Cでは直接見ることができない。また、第1の面406は、図4Bに示されている)と、第1の面とは反対側の第2の面407とを有することがある。
また、クーラントプレナム450も見ることができ、クーラントプレナム450は、この実装形態では、弧状の内部空間であり、それぞれラジカル拡散器プレート401の異なる四分円を占める。ラジカル拡散器プレート401の内部で、基準面418の一方の側に位置されたクーラントプレナム450および経路414は、基準面418の他方の側に位置されたクーラントプレナム450および経路414から流体的に隔離されることがある。このプレナム/経路構成は、比較的高いクーラント流量を可能にすることができ、また、例えば約1℃未満の温度差など、ラジカル拡散器プレートの幅にわたる実質的に均一な温度差を提供することができる。各経路414は、第1の端部416で1つの冷却プレナム450に接続され、第2の端部417で別の冷却プレナム450に接続されることがある。ラジカル拡散器プレート401内の温度を監視するためにラジカル拡散器プレート401の中心付近に温度センサ、例えば熱電対または他の温度測定プローブ(図示せず)を位置させることができるように、温度センサ穴449が提供されることがある。
前駆体送達プレート403に関して、この実装形態では、実質的に前駆体送達プレート403の周縁を巡って延在するただ1つの前駆体プレナム451が提供されることを見ることができる。前駆体ガスを前駆体プレナム451に送給するように構成された前駆体ガス入口448が提供されることもある。前駆体プレナム451からの前駆体ガスは、内部ガス分散経路412内に流れ、内部ガス分散経路412からガス送達穴410を通して前駆体送達プレートから流れ出ることがある。ガス送達穴410は、ラジカル通過穴408が分散される様式と比較的同様の様式で、前駆体送達プレート403にわたって分散されることがある。他の実装形態は、ラジカル通過穴408とガス送達穴410との両方に関して異なる穴パターンを利用することがあることを理解されたい。ラジカル通過穴408は、フェースプレートアセンブリ400内部の内部ガス分散経路412、ガス送達穴410、クーラントプレナム450、前駆体プレナム451、および経路414から流体的に隔離されるように位置決めされることがある。
前駆体送達プレート403は、ラジカル拡散器プレート401と同様に、第1の面404(この面は、やはり切欠きにより図4Cでは直接見ることができない)と第2の面405とを有することがある。一般に、前駆体送達プレート403の第1の面404は、ラジカル拡散器プレート401の第2の面407に面することがあり、第1の面404と第2の面407との間に断熱体が挿間される。
本開示で前述したように、フェースプレートアセンブリ400を提供するために、様々なタイプのラジカル拡散器プレート401と、断熱体402と、前駆体送達プレート403とが様々な積層構成で使用されることがある。図4A〜図4Cは、経路414を通る一方向のクーラントの流れを有するラジカル拡散器プレート400を備えるフェースプレートアセンブリ400を示す。
図5Aは、対向する流れ冷却チャネルを有するラジカル拡散器プレートの一例の斜視分解図を示す。図5Aで、ラジカル拡散器プレート501は、3つの主要な構成要素、すなわち上部プレート555と、中間プレート556と、底部プレート557とのスタックによって形成されることがある。これらのプレートは、一体に挟持されることがあり、またはろう付けなどのより永久的な手段によって一体に保持されることがある。上部プレート555は、第1の入口523と第2の入口527を有することがある。第1の入口523は、上部プレート555を貫通することがあり、上部プレート555の底面にあるスロット(図示せず。底部プレート557の上面に示されるスロットと同様である)を介してクーラントプレナム550(図5Aにおいて右側に図示される)と流体連絡することがある。第1の入口523を通してクーラントプレナム550内に流されるクーラントは、次いで、中央プレート556の第1の経路525を通って、別のクーラントプレナム550内に流れ、その後、別のスロットを通って第1の出口524から流れる。
また、図5Aでは第2の入口527と第2の出口528も見ることができ、それらはどちらも、底部プレート557に見られるスロットを介して、中央プレート556の下面にある第2の経路526(この図では見ることができない。図5Cを参照のこと)と流体連絡する。各入口/出口およびプレナムの中/外への流体の流れの方向を表すために、図5Aに矢印が示されている。
図5Bは、図5Aのラジカル拡散器プレートの中央プレートの第1の面を示す。図5Cは、図5Aのラジカル拡散器プレートの中央プレートの第2の面を示す。図5Bに示される第1の面は、図5Aにおいて見ることができる中央プレート556の面に対応する。流れの矢印は、第1の経路525内の流体の流れが右から左へのものであることを示す。図5Cに示される第2の面は、第1の面とは中央プレート556の反対側にある。流れの矢印は、第2の経路525内の流体の流れが左から右へのものであることを示す。したがって、クーラントは、第1の経路内部では第1の方向に流され、第2の経路内部では第1の方向とは逆の第2の方向に流されることがある。これは、ラジカル通過穴508を含むラジカル拡散器プレート501の部分にわたって、より均一な温度分布を生み出すことができる。
図6Aは、前駆体送達プレートの一例の斜視断面図を示す。図6Aの前駆体送達プレート603は、図2Cに示される概念と同様であり、いくつかの管状構造642を含む主要プレート660を含み、管状構造642は、主要プレート660からキャッププレート658内に突出する。各管状構造642は、そこを貫通するラジカル通過穴608を含むことがある。前駆体プレナム651を形成するために、主要プレート660とキャッププレート658は、間隙によって互いにずらされることがある。この実装形態では、前駆体プレナム651は、ラジカル通過穴608を巡る周縁体積を含むだけでなく、管状構造642の間の隙間も含み、それにより、個別のガス分散チャネルの必要性に取って代わる。ガス送達穴610のパターンは、ガス送達プレート603の第2の面605に存在し、前駆体プレナム651と流体連絡することがある(ガス送達穴610は通常、使用中にウェハ反応領域に向かって下向きであるので、この図では、前駆体送達プレート603が「逆さ」に図示されていることを理解されたい)。
図6Bは、図6Aの前駆体送達プレートの底面図を示す。この図で見ることができるように、ガス送達穴610およびラジカル通過穴は、ガス送達プレート603の内部にわたって実質的に均等に分散された様式で配置される。図6Cは、図6Aの前駆体送達プレートの側断面図を示す。この図は、図6Aからの詳細のいくつかをより明瞭に示す。図6Dは、図6Aの前駆体送達プレートの逆さの分解斜視図を示す。この図は、管状構造642が、それらの外面に沿ったショルダまたはテーパ付き縁部を有することがあることを示すが、図示される内面が、ラジカル通過穴断面に一致する一定の断面を表していることに留意すべきである。実際、管状構造642は、製造または組立てを容易にするために様々な特徴、例えば丸みを付けられた外縁部または面取りされた外縁部を含むことがある。
図7Aは、断熱体の一例の斜視分解図を示す。図7Aには、ラジカル拡散器プレート401と同様のラジカル拡散器プレート701が図示されている。断熱体702および前駆体送達プレート703が提供されることがあるが、これらは、断熱体402および前駆体送達プレート403とは異なる。この実装形態では、管状構造742は、前駆体送達プレート403の第1の面704から、断熱体702内部の円筒形体積内に突出する。図7Aで、管状構造のプレースホルダ742’は、フェースプレートアセンブリ700が完全に組み立てられたときの断熱体702の内部での管状構造742の位置を示す。したがって、ラジカル拡散器プレート701、断熱体702、および/または前駆体送達プレート703の一部分を形成する様々な構成要素は、フェースプレートアセンブリ700の他の層によって支持される、または他の層に取り付けられることがあり、次いで組立て中に適切に位置決めされることがある。また、図7Aでは真空ポート759を見ることができ、真空ポート759は、この実装形態では単なる穴であり、この穴は、断熱体702内部の円筒形体積から、前駆体送達プレート703を通して、ウェハ反応領域または周囲のプロセスチャンバ体積に排気することができるようにする(したがって、円筒形体積内の圧力が、半導体処理チャンバ内の圧力条件と平衡を保つ、例えば真空環境を保つことができるようにする)。
図7Bは、内部の機構が見えるようにいくつかの部分を切り欠いた、図7Aの断熱体の斜視図を示す。図7Bで、ラジカル拡散器プレートは、クーラントプレナム750間に延び、第1の内部冷却経路713のネットワークの一部であるいくつかの経路714の1つを二分する断面切断部によって示されている。ラジカル通過穴708は、ラジカル拡散器プレート701を貫通することがあり、また、シールインターフェース746が提供されることがあり、例えばフェースプレートアセンブリ700と共に遠隔プラズマ体積を実質的に画定することができるプラズマドーム構造または他の構造(図示せず)に対してフェースプレートアセンブリ700を封止できるようにする。第1の出口724および第2の出口728は、クーラントがラジカル拡散器プレート701の内部から出ることができるようにすることがある。
断熱体702は、異なる断面切断部によって示されている。見ることができるように、前駆体送達プレート703から突出する管状構造742は、ラジカル拡散器プレート701と前駆体送達プレート703との間の間隙740によって画定される体積内に突出することがある。
前駆体送達プレート703は、段差状の断面切断部によって示されており、すなわち、前駆体送達プレート703は、その厚さの約半分の深さまでの断面切断部、およびその残りの厚さを通るより小さな断面切断部によって示されている。前駆体プレナム751が見え、2つの内部ガス分散経路712および2つのガス送達穴710も見える。前駆体ガス入口748は、内部ガス分散経路712と流体接続されることがある。
図8Aは、3区画フェースプレートアセンブリの一例の二等角投影断面図を示す。図8Bは、図8Aの例示的な区分けされた3区画フェースプレートアセンブリの一部分の詳細図を示す。図8Cは、図8Aの例示的な3区画フェースプレートアセンブリの二等角投影分解断面図を示す。図8Dは、図8Aの例示的な3区画フェースプレートアセンブリの別の二等角投影分解断面図を示し、内部の機構が見えるように、ラジカル拡散器プレートおよび前駆体送達プレートの上部が取り除かれている。
一般に、図8A〜図8Dに示される機構の多くは、図4A〜図4Cに示されるものと同様である。下2桁が図4A〜図4Cでの同様の構造と同じ番号を付された図8A〜図8Dにおける要素は、本明細書で別段に述べない限り、図4A〜図4Cにおける対応する構造と実質的に同様であるものと理解されたい。繰り返しを避けるために、図8A〜図8Dに関して、図4A〜図4Cに関するそのような要素の前述の説明を参照されたい。
図8Aで見ることができるように、断熱体802は、ラジカル拡散器プレート801と前駆体送達プレート803との間に位置される。しかし、図4A〜図4Cの断熱体402とは異なり、断熱体802は、断熱体802を形成するように互いに積層された2つの個別の部分、すなわち第1の層802aと802bを有する層状アセンブリである。図示されるように、各層は、少なくとも、フェースプレートアセンブリ800に存在するラジカル通過穴808(各ラジカル通過穴808が、断熱体802ならびにラジカル拡散器プレート801および前駆体送達プレート803の層を貫通する;各構成要素を通る個々のラジカル通過穴は参照符号808’、808’’などと表す)の実質的にすべてを含むのに十分な大きさでよい。図示されるように、第2の層802bは、第1の層802aの凹部の内部に嵌まる。凹部は、第1の層802aの公称厚さの半分に実質的に等しい深さを有することがあり、第2の層802bは、凹部の深さに実質的に対応する厚さを有することがある。したがって、断熱体802は、実質的に断熱体402と同じ包絡面内に収まることがあるが、これは必須ではなく、他の実装形態は、異なる全体的な包絡面を特徴とすることがある。
さらに、第1の層802aが凹部を有し、第2の層802bが凹部に嵌まるものとして図示されているが、他の実装形態は、実質的に同一の層、例えば、一方が他方の凹部に嵌まるのではなく上下に積み重なる2つの平坦なプレートを特徴とすることがある。様々な他の実装形態も企図されるが、それらの他の実装形態の共通の特徴はすべて、少なくともラジカル通過穴808を含むフェースプレートアセンブリ800の領域内で、層間に別々の境界を有する複数の層を特徴とすることがある。
また、図示する例は2つの層を特徴とするが、他の実装形態は、2つよりも多い層、例えば3層、4層、または5層以上を有する断熱体802を特徴とすることがあることを理解されたい。そのような追加の実装形態も本開示の範囲内にある。
層は、それらの上にインデックス機構を有することがあり、例えば、第2の層802bの円周を巡って径方向で対称に配列された3つの径方向スロット863(2つのみ図示する。残りの1つは、フェースプレートアセンブリ800の切欠き部分にある)と、同様に第1の層802aの凹部の内部を巡って配列された3つの対応する径方向ボスとを有することがあり、断熱体802の2つ(または3つ以上)の層およびそのような各層でのラジカル通過穴の部分が互いに位置合わせされることを保証する。インデックス機構は、図示されるように、層間の熱膨張の差による許容できない熱応力を受けることなく、層が異なる率で膨張および伸縮するのを許容し、それと同時に、層を互いに対して実質的に中心合わせして保つように構成されることがある。
層802aと802bは、典型的にはどちらも同一または同様の材料から形成することができるが、いくつかの実装形態では、望みであれば異なる材料を使用することができ、例えば、好ましい材料を使用して一方の層を製造するのが非常に難しいまたは非常にコストがかかる場合には、その層に関して代替材料を使用することができる。
前述したように、第1の層802aと第2の層802bは、ラジカル通過穴808が位置される領域内で実質的に同じ厚さを有する。層(図示されるもの以外の追加の層を含む)は、この領域内で異なる厚さを有することもあるが、多くの実施形態では、層はすべて、この領域内で実質的に等しい厚さを有することがある。これは、故障に対する断熱体802の全体的な耐性を向上させることができる。例えば、1つの層が他の層よりも実質的に薄い(かつすべての層が同じ材料からなる)場合、より薄い層のひび割れまたは損壊の危険性が高くなることがある。ラジカル通過穴808を有する領域内で各層を実質的に同じ厚さにすることによって、この危険を任意の1層に集中させずに、すべての層に関して実質的に等しくすることができる。
第1の層802aと第2の層802bに関して使用される材料は、様々な低い熱伝導率の材料、例えば、低誘電率セラミックまたは水晶などの誘電体材料、様々な低熱伝導率プラスチック、例えばポリイミド、または(半導体処理チャンバの化学的環境から保護されるようにコーティングされた)ステンレス鋼、例えば300シリーズステンレスなどの材料から選択することができる。例えば、第1の層802aと第2の層802bは、酸化アルミニウムまたは窒化アルミニウムから形成されることがある。
本発明者らは、複数層断熱体802が、フェースプレートアセンブリ800を使用することができる環境など低圧半導体処理環境の文脈で、図4A〜図4Cに示されるものなど単層の断熱体に比べて優れた耐熱性を提供することができ、それにより、ラジカル拡散器プレート801と前駆体送達プレート803との間のより大きな温度差を可能にすることを認識している。このより高い耐熱性は、いくつかの理由により生じ、それらの理由を以下により完全に述べる。
第1に、そのようなフェースプレートアセンブリが典型的に操作される圧力は十分に低く、したがって、断熱体802の層間の任意の間隙または非接触領域内に捕捉される任意のガスの密度が十分に低く、そのようなガスを通る熱伝導は、断熱体802を通る全体の熱伝導に大幅には寄与しない。そのようなガスを通る熱伝導は、ガスの圧力に加えて、そのようなガスの組成にも依存することがあるが、一般には、概して圧力が、そのようなガスを通る熱伝導率の主要決定因子であることがある。そのようなガスの圧力は、例えば約3〜7Torr未満でよく、いくつかの実装形態では、圧力は、約1〜0.1Torr未満でよい。
第2に、断熱体802内の隣接する層の各対の間の接触界面は、層の表面仕上げにより、完璧な接触界面ではなく、すなわち、層は、接触界面にわたってそれらの露出面の100%にわたっては直接接触しない。例えば、多くのセラミック材料の表面粗さは、一般に、他の材料で典型的に実現されることがあるよりも粗く、断熱体802の層の場合には、少なくとも8〜16マイクロインチ以上のR値に保たれることがある。いくつかの実装形態では、R値は、少なくとも4〜8マイクロインチ以上の値に保たれることがある。この表面粗さにより、層間の実際の直接的な接触は、より滑らかな表面仕上げで生じることがある直接的な接触よりもはるかに小さいことがある。例えば、第1の層802aが16マイクロインチの表面粗さRを有し、第2の層802bが8マイクロインチの表面粗さRを有する場合、2つの層は、それらの対合表面の約5%にわたってしか互いに直接接触しないことがある。対合表面領域の残りの85%は、層が数マイクロインチだけ離れていることがあり、実際には互いに触れ合っていない領域であることがある。したがって、これらの非接触領域での層間の直接の熱伝導は存在しない。これらの非接触領域での層間の唯一の熱伝導路は、ガスによって提供され、このガスは、上述したように、断熱体の全体的な熱伝導に大きくは寄与しないように十分に低い圧力である。
図8E〜図8Hは、この概念をさらに理解できるようにする。図8Eで、第1の材料861と第2の材料862は、対面する表面上での表面粗さを誇張して図示されている。図8Fで、図8Eの第1の材料861と第2の材料862が互いに接触しているが、表面粗さにより、直接的な接触は、斜線でハッチングして示される領域内のみである。第1の材料861および第2の材料802の部分にわたるハッチングされた接触領域の幅が足し合わされる場合、得られる総計の領域(図の底部に示される)は、図示される部分の幅の約23%である。
図8Gには、やはり第1の材料861と第2の材料862が、表面粗さをより誇張して図示されている。図8Hでは、図8Gの第1の材料861と第2の材料862が互いに接触されている。ここでも、表面粗さにより、直接的な接触は、斜線でハッチングして示される領域内のみである。この場合、直接的な接触は、図示される部分の全幅の約8%のみにわたって存在する。
図8E〜図8Hは簡略化された2次元の例示であり、任意の特定の粗さ値には相関していないが、対合表面の表面粗さの増加が、2つの表面間の総計の直接接触領域を減少させ、実際に互いに直接接触していない対合表面の部分を増加させることを示している。
第3に、各層の界面表面の平面度は、特定の公差範囲内、例えば0.002インチ(0.00508cm)の絶対平面度以内に制約されることがある。これは、層間に存在するわずかな直接的な接触が、層間の接触領域全体にわたって均等に分散されることを保証する助けとなることがあり、集中した直接接触領域によって引き起こされることがある局所的なホットスポットを防止することができる。層が十分に平坦ではない場合、それにより、集中した領域で層が互いに接触することがある。層間の熱の流れがその位置に集中されることがあり、狭まった領域により、熱閉塞を受けることがある。これはさらに、その位置で温度差を増加させることがあり、プロセス均一性の観点から望ましくないことがある局所的なホットスポットを引き起こすことがある。
最後に、層は、ラジカル拡散器プレート801と前駆体送達プレート803との間に挟持されることにより、挟持力によって一体に保持されることがある。例えば、層802aと802bは、図示されるフェースプレートアセンブリ800に関して約3000lbsの挟持力によって一体に保持されることがある(図示されるフェースプレートアセンブリ800は、約13インチ(33.02cm)の第2の層802bの公称直径を有する)。層間の接触は、典型的には、「乾式」でよく、すなわち、接着剤、ろう材、または他のフィラーなど界面材料が存在しないことがある。
本明細書で論じるフェースプレートアセンブリおよび他の構成要素は、本開示で前述したように、半導体プロセスチャンバの一部として提供されることがあることを理解されたい。いくつかの実装形態では、1つまたは複数のそのような半導体プロセスチャンバは、マルチステーション半導体処理ツールにおけるプロセスステーションとして提供されることがある。いくつかの実装形態では、単一のプロセスチャンバが複数の処理ステーションを含むことがあり、処理ステーションがそれぞれ独自のフェースプレートアセンブリを有する。
断熱体802を通る熱伝導を減少させる助けとなることがある断熱体802の別の特徴は、環状遮熱体864の存在である。環状遮熱体864は、断熱体の環状凹部の形態を取ることがある。この環状凹部は、環状凹部の中央径が、実質的に、クーラントプレナム850の中央径または中央半径(または非円形/非弧状クーラントプレナム850の同等の位置)に位置されるように位置されることがある。環状遮熱体864は、断熱体802の外周縁の近くでの断熱体802から外への伝熱、およびクーラントプレナム850内への伝熱を防止または制限する働きをすることがある。これは、ラジカル通過穴808が位置される領域にわたって断熱体802をより均一な温度で保つ働きをすることができる。
図面で斜視図または他の3次元の図に示される様々なフェースプレートアセンブリは、公称で、300mm半導体ウェハの処理で使用するために設計され、典型的には約13インチ(33.02cm)の内径を有する。しかし、同様の設計概念を、他のサイズの半導体ウェハ、例えば450mm半導体ウェハに関して設計されたフェースプレートアセンブリで実施することができ、そのような設計は、図示される寸法よりも大きい対応する寸法を特徴とすることがあることを理解されたい。
また、個々の実装形態に関して本明細書に示される様々な設計概念を、不適合でない限り、他の実装形態に組み合わせることができることを理解されたい。例えば、図8A〜図8Dの複数層断熱体を図2Eに図示される概念と組み合わせて、層が複数の管状部材によって互いにずらされ、それにより層間に大きな間隙を生じる複数層断熱体を生み出すことができる。
図9は、投入ロードロック902と排出ロードロック904とを備えるマルチステーション処理ツール900の概略図を示す。雰囲気圧で、ロボット906は、ポッド908を通して雰囲気ポート910から投入ロードロック902内に装荷されたカセットから、ウェハを移動させるように構成される。ロボット906によって、投入ロードロック902内のペデスタル912の上にウェハを配置することができ、雰囲気ポート910を閉じることができ、次いで、ロードロックをポンプダウンすることができる。投入ロードロック902が遠隔プラズマ源を含む場合、ウェハは、処理チャンバ914内に導入される前に、ロードロック内で遠隔プラズマ処理を受けることがある。さらに、ウェハは、例えば水分および吸着されたガスを除去するために、投入ロードロック902内で加熱されることもある。次に、処理チャンバ914へのチャンバ輸送ポート916が開かれることがあり、別のロボット(図示せず)が、ウェハを、処理チャンバ914内へ、処理用のリアクタ内に図示されている第1のステーションのペデスタル上に配置することができる。図9に示される実装形態はロードロックを含むが、いくつかの実装形態では、プロセスステーション内にウェハを直接入れることが可能であることもあることを理解されたい。
図示される処理チャンバ914は、図9に示される実装形態で番号1〜4を付された4つのプロセスステーションを含む。各ステーションは、加熱式または非加熱式のペデスタル(ステーション1に関して参照番号918で示される)と、ガスライン入口とを有することがある。いくつかの実装形態では、各プロセスステーションが異なる目的または複数の目的を有することがあることを理解されたい。例えば、いくつかの実装形態では、プロセスステーションは、CFD(conformal film deposition;共形被膜堆積)プロセスモードとPECVDプロセスモードとの間で切替え可能であることがある。追加として、または代替として、いくつかの実装形態では、処理チャンバ914は、整合された1対または複数対のCFDプロセスステーションとPECVDプロセスステーションを含むことがある。図示される処理チャンバ914は4つのステーションを含むが、本開示による処理チャンバが任意の適切な数のステーションを有することがあることを理解されたい。例えば、いくつかの実装形態では、処理チャンバは5つ以上のステーションを有することがあり、他の実装形態では、処理チャンバは3つ以下のステーションを有することがある。
各ステーションは、関連のステーションでウェハにプロセスガスを送達する個別のシャワーヘッドアセンブリを含むことがある。いくつかの実装形態では、これらのシャワーヘッドのいくつかまたはすべてが、本明細書で述べるフェースプレートアセンブリを利用することがある。例えば、ステーションがウェハに対してRPD処理、または本明細書で述べる機器の使用により利益を受けることがある他の処理を提供する場合、そのステーションに関するシャワーヘッドは、本明細書で論じるフェースプレートアセンブリを含むことがある。また、シャワーヘッドは、周方向プレナム、プラズマドーム、および/または外部遠隔プラズマ源への接続など、本明細書で論じる他の特徴を含むこともある。
図9は、処理チャンバ914内部にウェハを移送するためのウェハ取扱いシステム990も示す。いくつかの実装形態では、ウェハ取扱いシステム990は、様々なプロセスステーションの間、および/またはプロセスステーションとロードロックとの間でウェハを移送することができる。任意の適切なウェハ取扱いシステムを採用することができることを理解されたい。非限定の例としては、ウェハカルーセルおよびウェハ取扱いロボットが挙げられる。図9は、プロセスツール900のプロセス条件およびハードウェア状態を制御するために採用されるシステム制御装置950も示す。システム制御装置950は、1つまたは複数のメモリデバイス956と、1つまたは複数のマスストレージデバイス954と、1つまたは複数の処理装置952とを含むことがある。処理装置952は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボードなどを含むことがある。
いくつかの実装形態では、システム制御装置950は、プロセスツール900の活動すべてを制御する。システム制御装置950は、マスストレージデバイス954に記憶され、メモリデバイス956にロードされ、処理装置952で実行されるシステム制御ソフトウェア958を実行する。システム制御ソフトウェア958は、タイミング、ガスの混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウェハ温度、ターゲットパワーレベル、RFパワーレベル、基板ペデスタル、チャックおよび/またはサセプタ位置、ならびにプロセスツール900によって行われる特定のプロセスの他のパラメータを制御するための命令を含むことがある。システム制御ソフトウェア958は、任意の適切な様式で構成することができる。例えば、様々なプロセスツールプロセスを実施するのに必要なプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトが書かれることがある。システム制御ソフトウェア958は、任意の適切なコンピュータ可読プログラミング言語で符号化されることがある。
いくつかの実装形態では、システム制御ソフトウェア958は、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含むことがある。例えば、CFDプロセスの各段階が、システム制御装置950によって実行するための1つまたは複数の命令を含むことがある。CFDプロセス段階に関するプロセス条件を設定するための命令は、対応するCFDレシピ段階に含まれることがある。いくつかの実装形態では、複数のシャワーヘッドが存在する場合には、それらは、別個の並行したプロセス操作を実施できるようにするために個別に制御することができる。
いくつかの実装形態では、システム制御装置950に関連付けられたマスストレージデバイス954および/またはメモリデバイス956に記憶されている他のコンピュータソフトウェアおよび/またはプログラムを採用することができる。この目的でのプログラムまたはプログラムの一部の例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、加熱器制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、ペデスタル918上に基板を装荷するため、および基板とプロセスツール900の他の部分との間の間隔を制御するために使用されるプロセスツール構成要素に関するプログラムコードを含むことがある。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、および任意選択で、プロセスステーション内の圧力を安定させるように堆積前に1つまたは複数のプロセスステーション内にガスを流すためのコードを含むことがある。圧力制御プログラムは、例えば、プロセスステーションの排気システム内のスロットル弁、またはプロセスステーション内へのガスの流れを調整することによって、プロセスステーション内の圧力を制御するためのコードを含むことがある。圧力制御プログラムまたは他のコードは、例えば、前駆体送達プレートまたはラジカル源体積内へのプロセスガスの流れを制御することができる。
加熱器制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことがある。あるいは、加熱器制御プログラムは、基板への伝熱ガス(ヘリウムなど)の送達を制御することがある。また、加熱器制御プログラムは、例えば、ラジカル拡散器プレート内へのクーラントの流れ、ならびに前駆体送達プレートの加熱に関連付けられる任意の加熱器を制御することもある。加熱器制御プログラムは、これらの構成要素の温度を正確に制御するために、これらの構成要素内部の温度センサからのフィードバックを利用することができる。
プラズマ制御プログラムは、1つまたは複数のプロセスステーション内のプロセス電極に印加されるRFパワーレベルを設定するためのコードを含むことがある。プラズマ制御プログラムは、適切な状況では、外側プラズマ発生器、および/またはプラズマ発生器もしくはラジカル源体積にプロセスガスを供給するために必要とされる弁機構を制御するためのコードを含むことができる。
いくつかの実装形態では、システム制御装置950に関連するユーザインターフェースが存在することがある。ユーザインターフェースは、ディスプレイスクリーン、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えばポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどを含むことがある。
いくつかの実装形態では、システム制御装置950によって調節されるパラメータが、プロセス条件に関係することがある。非限定の例としては、プロセスガス組成および流量、温度、圧力、プラズマ条件(RFバイアスパワーレベルなど)、圧力、温度などが挙げられる。これらのパラメータは、ユーザインターフェースを利用して入力することができるレシピの形態でユーザに提供されることがある。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置950のアナログおよび/またはデジタル入力接続によって提供されることがある。プロセスを制御するための信号は、プロセスツール900のアナログおよびデジタル出力接続で出力されることがある。監視することができるプロセスツールセンサの非限定の例としては、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などが挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
システム制御装置950は、様々な半導体製造プロセスを実施するためのプログラム命令を提供することができる。プログラム命令は、DCパワーレベル、RFバイアスパワーレベル、圧力、温度など様々なプロセスパラメータを制御することができる。命令は、被膜スタックのインサイチュ堆積を操作するためのパラメータを制御することができる。
システム制御装置は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含むことがあり、処理装置は、本発明による方法を装置が実施するように命令を実行するように構成される。本発明によるプロセス操作を制御するための命令を含む機械可読媒体が、システム制御装置に結合されることがある。
図9に示される半導体処理ツールは、単一の4ステーションプロセスチャンバまたはモジュールを示すが、半導体処理ツールの他の実装形態は、複数のモジュールを含むことがあり、各モジュールが単一のステーションまたは複数のステーションを備える。そのようなモジュールは、互いに相互接続されることがあり、および/またはモジュール間でのウェハの移動を容易にすることができる1つまたは複数の移送チャンバの周りに配置することができる。そのようなマルチモジュール半導体処理ツールによって提供されるステーションの1つまたは複数は、上述したようなフェースプレートアセンブリおよび他の機構を含むシャワーヘッドを装備されることがある。
一般に、本明細書で述べるフェースプレートアセンブリを備えるシャワーヘッドは、反応チャンバ内で、1つまたは複数の半導体ウェハを支持するように構成されたウェハ支持体の上方に取り付けることができる。シャワーヘッドは、例えば、反応チャンバ用の蓋または蓋の一部としても働く。他の実装形態では、シャワーヘッドは、「シャンデリア」タイプのシャワーヘッドでよく、ステムまたは他の支持構造によって反応チャンバの蓋から懸架させることができる。
本明細書で上述した装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光発電パネルなどの作製または製造のためのリソグラフィパターン形成ツールまたはプロセスと共に使用することができる。必ずではないが、典型的には、そのようなツール/プロセスは、共通の製造施設内で使用または実施される。被膜のリソグラフィパターンは、典型的には、以下のステップのいくつかまたはすべてを含み、各ステップは、いくつかの使用可能なツールを用いて実現可能である。(1)スピンオンまたはスプレーオンツールを使用して、ワークピース、すなわちウェハにフォトレジストを塗布するステップ。(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ。(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを露出させるステップ。(4)ウェットベンチなどのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成するステップ。(5)ドライまたはプラズマ支援エッチングツールを使用することによって、下にある被膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
本発明の別の態様は、本明細書で述べる方法を達成するように構成された装置である。適切な装置は、プロセス操作を達成するためのハードウェアと、本発明によるプロセス操作を制御するための命令を有するシステム制御装置とを含む。システム制御装置は、例えば、遠隔プラズマ源内への第1のプロセスガス、第2のプロセスガス、および前駆体ガスのガス流を制御するように構成することができる。また、システム制御装置は、RFコイルのRF出力を制御することもあり、温度プローブを使用してフェースプレートアセンブリ内で測定される温度に基づいて、システム内の任意の冷却チャネルを通して循環されるクーラントの流量および温度を制御することもある。システム制御装置は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含み、処理装置は、本発明による方法を装置が実施するように命令を実行するように構成される。本発明によるプロセス操作を制御するための命令を含む機械可読媒体が、システム制御装置に通信可能に結合されることがある。
上記の実装形態の任意のものを、単独で、または互いに合わせて任意の組合せで使用することができる。様々な実装形態は、本明細書の1箇所または複数の箇所で論じる、または示唆することがある従来技術の様々な不備によって動機付けられたものであることがあるが、それらの実装形態は、必ずしもこれらの不備の任意のものに対処するわけではない。すなわち、様々な実装形態は、本明細書で論じることがある様々な不備に対処することもある。いくつかの実装形態は、本明細書で論じることがあるいくつかの不備またはただ1つの不備に一部のみ対処することがあり、いくつかの実装形態は、これらの不備のいずれにも対処しないこともある。
様々な実装形態を本明細書で述べてきたが、限定ではなく例としてのみ提示されていることを理解すべきである。したがって、本開示の範疇および範囲は、本明細書で述べる実装形態の任意のものによって限定されるべきではなく、添付の特許請求の範囲および後に提出される特許請求の範囲ならびにそれらの均等範囲に従ってのみ定義されるべきである。
上述した実装形態の任意のものにおける特徴が互いに不適合なものと明らかに識別されない限り、または、それらの特徴が相互に排他的であって、補完的および/または補助的に容易に組み合わせることができないことを周囲の文脈が示唆しない限り、本開示の全体は、それらの実装形態の特定の特徴を選択的に組み合わせて、1つまたは複数の包括的だがわずかに異なる技術的解決策を提供することができると意図および想定していることを理解されたい。したがって、上記の説明は、単に例として与えたものであり、本開示の範囲内で詳細の変更を行うことができることをさらに理解されたい。
図2A〜図2Eは、様々なフェースプレートアセンブリの高レベル概略図を示す。本開示の文脈でのフェースプレートアセンブリの様々な態様を論じる。一般に、ラジカル拡散器プレートと、フェースプレートアセンブリの前駆体送達プレートとは、改良された処理環境を容易に実現するために様々な温度で保つことができる。例えば、ラジカル送達効率を改良するために、ラジカル拡散器プレートは、前駆体送達プレートよりも実質的に低い温度で保つことができる。これは、表面がより低温であるときよりも、表面がより高温であるときに、ラジカルが衝突時に表面と再結合する傾向を強く示すからである。ラジカル拡散器プレートの温度を低下させることによって、ラジカル拡散器プレートと衝突するラジカルがラジカル拡散器プレートと再結合する可能性が低くなり、より多くのラジカルがラジカル通過穴を通って流れる。同時に、前駆体送達プレートの冷却は、望ましくないことに、前駆体送達プレートによって送達されるプロセスガスを凝縮させる虞がある。そのような凝縮を防止するために、前駆体送達プレートは、例えば加熱要素もしくは流体熱交換器によって直接加熱されることがあり、または、例えばより高温の構成要素との熱的接触によって間接的に加熱されることがあり、それにより、前駆体送達プレートが前駆体の蒸発温度よりも高く保たれるようにする。
図2A〜図2Eに示される実装形態では、フェースプレートアセンブリ200はすべて、ラジカル拡散器プレート201と、断熱体202と、前駆体送達プレート203とを含む主要な構成要素を含む。また、フェースプレートアセンブリは、ラジカル通過穴208のパターンと、ガス送達穴210のパターンとを含む。ラジカル通過穴208は、フェースプレートアセンブリ200を完全に貫通し、一方、ガス送達穴210は、前駆体送達プレート203の一部を貫通し、ラジカル通過穴208と同じ前駆体送達プレート203の面から出る。ガス送達穴210は、1つまたは複数の内部ガス分散経路212と流体接続される。内部ガス分散経路212は、1つまたは複数の前駆体ガス入口248と流体接続されることがあり、前駆体ガス入口248は、プロセスガス、例えば前駆体ガスを前駆体送達プレート203内に流し、さらにガス送達穴210を通して外に流す。ラジカル拡散器プレート201は、経路214を含むことがあり、経路214はそれぞれ、第1の端部216で1つまたは複数の入口219に流体接続され、第2の端部217で1つまたは複数の出口220に流体接続されることがある。経路214を通して、クーラントが、ラジカル拡散器プレート201を通して循環されることがあり、ラジカル拡散器プレート201から熱を伝達し、ラジカル拡散器プレートの温度を低下させる。クーラントは、クーラント供給または熱交換器システムなど外部供給源から提供されることがある。
ラジカル拡散器プレート201、断熱体202、およびガス送達プレート203に関して上で概説した様々な概念を、図示されるものとは異なる様々な順序で組み立てることができることを理解されたい。例えば、図2Eからの同一平面上にない出口平面を有するガス送達プレート203を、図2Aからのラジカル拡散器プレート201および断熱体202と共に使用することができる。同様の置換は、適切な修正と共に他の図2B〜図2Dに関しても行うことができる。
上述した実装形態の任意のものにおける特徴が互いに不適合なものと明らかに識別されない限り、または、それらの特徴が相互に排他的であって、補完的および/または補助的に容易に組み合わせることができないことを周囲の文脈が示唆しない限り、本開示の全体は、それらの実装形態の特定の特徴を選択的に組み合わせて、1つまたは複数の包括的だがわずかに異なる技術的解決策を提供することができると意図および想定していることを理解されたい。したがって、上記の説明は、単に例として与えたものであり、本開示の範囲内で詳細の変更を行うことができることをさらに理解されたい。

本発明は、たとえば、以下のような態様で実現することもできる。

適用例1:
半導体処理操作用のシャワーヘッドであって、
第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
第1の面と、反対側の第2の面と、を有するラジカル通過プレートであって、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面する、ラジカル通過プレートと、
前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
ラジカル通過穴のパターンと、を備え、
前記ラジカル通過穴がそれぞれ、
前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を貫通し、
前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持する、シャワーヘッド。

適用例2:
適用例1のシャワーヘッドであって、
前記ラジカル通過プレートと前記前駆体送達プレートが直接的に熱接触し、前記ラジカル拡散器プレートの前記第1の面と前記前駆体送達プレートの前記第2の面とが同じ距離を保つように厚さ設定された場合における前記ラジカル通過プレートと前記前駆体送達プレートとの間の熱流量未満になるように、前記ラジカル通過プレートと前記前駆体送達プレートとの間の熱流量を制御するように前記断熱体が構成される、シャワーヘッド。

適用例3:
適用例1のシャワーヘッドであって、
前記前駆体送達プレートが、ガス送達穴のパターンと、1つまたは複数の内部ガス分散経路と、を含み、
前記ガス送達穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
前記ガス送達穴が、それぞれ、前記1つまたは複数のガス分散経路の少なくとも1つに流体接続され、
前記ガス送達穴が、それぞれ、前記前駆体送達プレートの前記第2の面で、前記前駆体送達プレートから出る、シャワーヘッド。

適用例4:
適用例1のシャワーヘッドであって、
前記ラジカル通過穴が、7:1〜10:1の間の長さ対直径の比を有する、シャワーヘッド。

適用例5:
適用例1のシャワーヘッドであって、
前記ラジカル通過穴が、6:1〜11:1の間の長さ対直径の比を有する、シャワーヘッド。

適用例6:
適用例1のシャワーヘッドであって、
前記ラジカル通過穴が、少なくとも0.25インチ(0.635cm)の長さを有する、シャワーヘッド。

適用例7:
適用例1のシャワーヘッドであって、
前記ラジカル通過プレートが、前記ラジカル通過プレートにわたって延在する1つまたは複数の第1の内部冷却経路を含み、前記1つまたは複数の第1の内部冷却経路が、前記ラジカル通過プレート内部の前記ラジカル通過穴から流体的に隔離されている、シャワーヘッド。

適用例8:
適用例7のシャワーヘッドであって、
前記第1の内部冷却経路が、経路のアレイを含み、
各経路が、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な進路に従い、
各経路が、入口に流体接続された第1の端部と、出口に流体接続された第2の端部と、を有する、シャワーヘッド。

適用例9:
適用例7のシャワーヘッドであって、
前記第1の内部冷却経路が、経路のアレイを含み、
各経路が、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な進路に従い、
前記基準面の第1の面に位置される各経路が、第1の入口に流体接続される第1の端部と、第1の出口に流体接続される第2の端部と、を有し、
前記基準面の前記第1の面とは反対の前記基準面の第2の面に位置される各経路が、第2の入口に流体接続される第1の端部と、第2の出口に流体接続される第2の端部と、を有する、シャワーヘッド。

適用例10:
適用例9のシャワーヘッドであって、
前記第1の入口、前記第2の入口、前記第1の出口、および前記第2の出口が、それぞれ、個別のクーラントプレナムを介してそれぞれの経路に接続され、前記クーラントプレナムが、実質的に弧状であり、径方向で前記ラジカル通過プレートの中心軸の周りに配置される、シャワーヘッド。

適用例11:
適用例7のシャワーヘッドであって、
前記第1の内部冷却経路が、第1の経路のアレイを含み、
前記第1の経路が、それぞれ、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な第1の進路に従い、
前記第1の内部冷却経路が、第2の経路のアレイを含み、
前記第2の経路が、それぞれ、平均で、前記基準面に実質的に平行な第2の進路に従い、
前記第1の経路と前記第2の経路が、前記ラジカル通過プレート内部で互いに流体的に隔離され、
各第1の経路が、第1の入口に流体接続された第1の端部と、第1の出口に流体接続された、前記第1の経路の前記第1の端部とは反対側の第2の端部と、を有し、
各第2の経路が、第2の入口に流体接続された第1の端部と、第2の出口に流体接続された、前記第2の経路の前記第1の端部とは反対側の第2の端部と、を有し、
前記第1の入口、前記第2の入口、前記第1の出口、および前記第2の出口が、
前記第1の入口内に流される冷却流体が、第1の平均方向で、前記第1の経路を通って前記第1の出口から出るように流れ、
前記第2の入口内に流される冷却流体が、第2の平均方向で、前記第2の経路を通って前記第2の出口から出るように流れ、
前記第1の平均方向と前記第2の平均方向とが実質的に逆向きである
ように構成される、シャワーヘッド。

適用例12:
適用例1のシャワーヘッドであって、さらに、
周方向プレナムを備え、
前記周方向プレナムが、
内周縁を有し、
前記ラジカル通過プレートの前記第1の面の近位にあり、
前記内周縁にわたって実質的に均等に分散した様式で、実質的に前記ラジカル通過プレートの中心軸に向けてガスを流すように構成される、シャワーヘッド。

適用例13:
適用例12のシャワーヘッドであって、さらに、
プラズマドームを備え、前記プラズマドームが、前記ラジカル通過プレートの前記中心軸の周りで実質的に軸対称である内面と、前記ラジカル通過プレートの前記中心軸の近くで、前記プラズマドームの一端に位置された1つまたは複数のラジカルガス入口と、前記プラズマドームの反対側の端部に位置され、前記プラズマドームを前記シャワーヘッドと接続するように構成された取付インターフェースと、を有し、それにより、前記プラズマドームの前記内面と、前記ラジカル通過プレートの前記第1の面とが、ラジカル源体積を画定し、前記周方向プレナムからのガス流が、前記ラジカル源体積内に流れる、シャワーヘッド。

適用例14:
適用例13のシャワーヘッドであって、
前記周方向プレナムが、前記プラズマドームと前記ラジカル通過プレートとの間に挿間されたアダプタ内に位置される、シャワーヘッド。

適用例15:
適用例13のシャワーヘッドであって、
前記周方向プレナムが、前記取付インターフェースの近くで前記プラズマドーム内に位置される、シャワーヘッド。

適用例16:
適用例1のシャワーヘッドであって、
前記断熱体が、前記前駆体送達プレートおよび前記ラジカル通過プレートのそれぞれの熱伝導率よりも実質的に低い熱伝導率を有するプレートを備える、シャワーヘッド。

適用例17:
適用例1のシャワーヘッドであって、
前記断熱体が、
前記ラジカル通過プレートと前記前駆体送達プレートとの間に間隙を有し、前記間隙が、前記ラジカル通過プレートと前記前駆体送達プレートとの間の自由体積を画定し、
前記断熱体が、さらに、ラジカル通過穴の前記パターン内のラジカル通過穴の数に対応する数の管状構造を備え、
各管状構造が、
前記ラジカル通過穴の異なる1つに対応し、
前記対応するラジカル通過穴の公称直径に実質的に等しい内径を有し、
前記間隙にわたって延在し、
流体連絡に関して前記自由体積から前記ラジカル通過穴を実質的に隔離する、シャワーヘッド。

適用例18:
適用例17のシャワーヘッドであって、
前記管状構造の少なくとも1つが、個別の管セグメントである、シャワーヘッド。

適用例19:
適用例18のシャワーヘッドであって、
前記管状構造の前記少なくとも1つが、水晶またはサファイアからなる群から選択される材料から形成される、シャワーヘッド。

適用例20:
適用例1のシャワーヘッドであって、
前記断熱体が、
少なくとも2つの積層された層を備え、
各層が、前記ラジカル通過穴を含む、シャワーヘッド。

適用例21:
適用例20のシャワーヘッドであって、
前記断熱体が、さらに、前記層のうちの1層の第1の対合表面と、隣接する層の第2の対合表面との間の第1の界面を備え、
前記第1の対合表面と前記第2の対合表面の少なくとも一方が、約8〜16マイクロインチ以上の表面粗さRa値を有する、シャワーヘッド。

適用例22:
適用例20のシャワーヘッドであって、
前記層が、各層にわたって約0.002インチ(0.00508cm)の絶対平面度を有する、シャワーヘッド。

適用例23:
適用例3のシャワーヘッドであって、
前記ラジカル通過穴が、第1の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記ガス送達穴が、前記前駆体送達プレートの前記第1の面とは逆の方向に第1の非ゼロ距離だけ前記第1の平面からずらされた第2の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出る、シャワーヘッド。

適用例24:
適用例23のシャワーヘッドであって、
前記第1の非ゼロ距離が0.25インチ(0.635cm)よりも大きい、シャワーヘッド。

適用例25:
適用例23のシャワーヘッドであって、
前記第1の非ゼロ距離が0.25インチ(0.635cm)〜3インチ(7.62cm)の間である、シャワーヘッド。

適用例26:
適用例23のシャワーヘッドであって、
前記第1の非ゼロ距離が3インチ(7.62cm)〜12インチ(30.48cm)の間である、シャワーヘッド。

適用例27:
適用例3のシャワーヘッドであって、
前記ラジカル通過穴が、第1の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記ガス送達穴が、第2の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記第2の平面が、前記前駆体送達プレートの前記第1の面とは反対の方向に前記第1の平面からずらされており、また、前記第1の平面上の前記開口を介して前記ラジカル通過プレートから流されるラジカル化されたガスが前記第2の平面に衝突する前に実質的に十分に発達した流れを示すように、前記第2の平面が十分に前記第1の平面から離れている、シャワーヘッド。

適用例28:
適用例1のシャワーヘッドであって、
前記ラジカル拡散器プレートが、前記ラジカル拡散器プレートとのラジカル再結合を阻止する材料で少なくとも部分的にコーティングされる、シャワーヘッド。

適用例29:
適用例28のシャワーヘッドであって、
前記材料が、窒化アルミニウム、水晶、およびサファイアからなる群から選択される、シャワーヘッド。

適用例30:
適用例1のシャワーヘッドであって、
プロセスチャンバをさらに含み、前記ラジカル拡散器プレートと、前記断熱体と、前記前駆体送達プレートとが、前記プロセスチャンバにプロセスガスを送達するように構成される、シャワーヘッド。

適用例31:
適用例30のシャワーヘッドであって、
1つまたは複数の追加のプロセスチャンバをさらに含み、前記プロセスチャンバと前記1つまたは複数の追加のプロセスチャンバとが、複数チャンバ半導体処理ツールを形成する、シャワーヘッド。

適用例32:
適用例30のシャワーヘッドであって、
さらに、第2のラジカル拡散器プレートと、第2の断熱体と、第2の前駆体送達プレートと、を備え、
前記第2のラジカル拡散器プレートと、前記第2の断熱体と、前記第2の前駆体送達プレートとが、前記ラジカル拡散器プレート、前記断熱体、および前記前駆体送達プレートと同様に配置され、
前記プロセスチャンバが、少なくとも第1の処理ステーションと第2の処理ステーションと、を含み、
前記ラジカル拡散器プレートと、前記断熱体と、前記前駆体送達プレートとが、前記第1のステーションにプロセスガスを送達するように構成され、
前記第2のラジカル拡散器プレートと、前記第2の断熱体と、前記第2の前駆体送達プレートとが、前記第2のステーションにプロセスガスを送達するように構成される、シャワーヘッド。

適用例33:
半導体処理操作用のシャワーヘッドを使用するための方法であって、前記シャワーヘッドが、
第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
第1の面と、反対側の第2の面と、を有するラジカル通過プレートと、を備え、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面し、
シャワーヘッドが、さらに、前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
ラジカル通過穴のパターンと、を備え、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレートと、前記ラジカル通過プレートと、前記断熱体と、を貫通し、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持し、
前記前駆体送達プレートが、ガス送達穴のパターンと、1つまたは複数の内部ガス分散経路と、を含み、
前記ガス送達穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
前記ガス送達穴が、それぞれ、前記1つまたは複数のガス分散経路の少なくとも1つに流体接続され、
前記ガス送達穴が、それぞれ、前記前駆体送達プレートの前記第2の面で、前記前駆体送達プレートから出ており、
前記方法が、
前記前駆体送達プレートを第1の温度で保つステップと、
前記ラジカル通過プレートを第2の温度で保つステップと、
前記ラジカル通過プレートが前記第1の温度である状態で、前記ガス送達穴を介して第1のプロセスガスを提供するステップと、
前記ラジカル通過プレートが前記第2の温度である状態で、前記ラジカル通過穴を介して第2のプロセスガスを提供するステップと、を含む方法。

適用例34:
半導体処理操作用のリアクタであって、
リアクタチャンバと、
前記リアクタチャンバ内部に位置されたウェハ支持体と、
シャワーヘッドと、を備え、
前記シャワーヘッドが、
第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
第1の面と、反対側の第2の面と、を有するラジカル通過プレートであって、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面する、ラジカル通過プレートと、
前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
ラジカル通過穴のパターンと、を含み、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレートと、前記ラジカル通過プレートと、前記断熱体と、を貫通し、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持し、
前記シャワーヘッドと前記ウェハ支持体とが、前記ウェハ支持体が前記リアクタチャンバ内部で前記シャワーヘッドの下にあるように構成される、リアクタ。

適用例35:
第1の面と、
前記第1の面と実質的に平行であり、前記第1の面からずらされた第2の面と、
前記第1の面と前記第2の面との間にわたって延在する複数の管状構造であって、前記第1の面と前記第2の面とにわたって分散されたパターンで配列される複数の管状構造と、を備え、
前記管状構造が、前記管状経路内部の体積と、前記第1の面と前記第2の面との間に実質的に画定される体積との間の流体の流れを実質的に防止する、断熱体。

適用例36:
適用例35の断熱体であって、さらに、
前記第1の面と前記第2の面の間にわたって延在し、前記複数の管状構造を取り囲む周壁を備え、
前記周壁、前記第1の面、前記第2の面、および前記管状構造の最外面が、前記断熱体の中空内部体積を画定する、断熱体。

適用例37:
適用例36の断熱体であって、さらに、
前記断熱体の前記中空内部体積と流体連絡する1つまたは複数のポートを備える、断熱体。

Claims (37)

  1. 半導体処理操作用のシャワーヘッドであって、
    第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
    第1の面と、反対側の第2の面と、を有するラジカル通過プレートであって、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面する、ラジカル通過プレートと、
    前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
    ラジカル通過穴のパターンと、を備え、
    前記ラジカル通過穴がそれぞれ、
    前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を貫通し、
    前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
    前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持する、シャワーヘッド。
  2. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル通過プレートと前記前駆体送達プレートが直接的に熱接触し、前記ラジカル拡散器プレートの前記第1の面と前記前駆体送達プレートの前記第2の面とが同じ距離を保つように厚さ設定された場合における前記ラジカル通過プレートと前記前駆体送達プレートとの間の熱流量未満になるように、前記ラジカル通過プレートと前記前駆体送達プレートとの間の熱流量を制御するように前記断熱体が構成される、シャワーヘッド。
  3. 請求項1に記載のシャワーヘッドであって、
    前記前駆体送達プレートが、ガス送達穴のパターンと、1つまたは複数の内部ガス分散経路と、を含み、
    前記ガス送達穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
    前記ガス送達穴が、それぞれ、前記1つまたは複数のガス分散経路の少なくとも1つに流体接続され、
    前記ガス送達穴が、それぞれ、前記前駆体送達プレートの前記第2の面で、前記前駆体送達プレートから出る、シャワーヘッド。
  4. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル通過穴が、7:1〜10:1の間の長さ対直径の比を有する、シャワーヘッド。
  5. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル通過穴が、6:1〜11:1の間の長さ対直径の比を有する、シャワーヘッド。
  6. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル通過穴が、少なくとも0.25インチ(0.635cm)の長さを有する、シャワーヘッド。
  7. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル通過プレートが、前記ラジカル通過プレートにわたって延在する1つまたは複数の第1の内部冷却経路を含み、前記1つまたは複数の第1の内部冷却経路が、前記ラジカル通過プレート内部の前記ラジカル通過穴から流体的に隔離されている、シャワーヘッド。
  8. 請求項7に記載のシャワーヘッドであって、
    前記第1の内部冷却経路が、経路のアレイを含み、
    各経路が、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な進路に従い、
    各経路が、入口に流体接続された第1の端部と、出口に流体接続された第2の端部と、を有する、シャワーヘッド。
  9. 請求項7に記載のシャワーヘッドであって、
    前記第1の内部冷却経路が、経路のアレイを含み、
    各経路が、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な進路に従い、
    前記基準面の第1の面に位置される各経路が、第1の入口に流体接続される第1の端部と、第1の出口に流体接続される第2の端部と、を有し、
    前記基準面の前記第1の面とは反対の前記基準面の第2の面に位置される各経路が、第2の入口に流体接続される第1の端部と、第2の出口に流体接続される第2の端部と、を有する、シャワーヘッド。
  10. 請求項9に記載のシャワーヘッドであって、
    前記第1の入口、前記第2の入口、前記第1の出口、および前記第2の出口が、それぞれ、個別のクーラントプレナムを介してそれぞれの経路に接続され、前記クーラントプレナムが、実質的に弧状であり、径方向で前記ラジカル通過プレートの中心軸の周りに配置される、シャワーヘッド。
  11. 請求項7に記載のシャワーヘッドであって、
    前記第1の内部冷却経路が、第1の経路のアレイを含み、
    前記第1の経路が、それぞれ、平均で、前記ラジカル通過プレートの前記第1の面に実質的に垂直な基準面に実質的に平行な第1の進路に従い、
    前記第1の内部冷却経路が、第2の経路のアレイを含み、
    前記第2の経路が、それぞれ、平均で、前記基準面に実質的に平行な第2の進路に従い、
    前記第1の経路と前記第2の経路が、前記ラジカル通過プレート内部で互いに流体的に隔離され、
    各第1の経路が、第1の入口に流体接続された第1の端部と、第1の出口に流体接続された、前記第1の経路の前記第1の端部とは反対側の第2の端部と、を有し、
    各第2の経路が、第2の入口に流体接続された第1の端部と、第2の出口に流体接続された、前記第2の経路の前記第1の端部とは反対側の第2の端部と、を有し、
    前記第1の入口、前記第2の入口、前記第1の出口、および前記第2の出口が、
    前記第1の入口内に流される冷却流体が、第1の平均方向で、前記第1の経路を通って前記第1の出口から出るように流れ、
    前記第2の入口内に流される冷却流体が、第2の平均方向で、前記第2の経路を通って前記第2の出口から出るように流れ、
    前記第1の平均方向と前記第2の平均方向とが実質的に逆向きである
    ように構成される、シャワーヘッド。
  12. 請求項1に記載のシャワーヘッドであって、さらに、
    周方向プレナムを備え、
    前記周方向プレナムが、
    内周縁を有し、
    前記ラジカル通過プレートの前記第1の面の近位にあり、
    前記内周縁にわたって実質的に均等に分散した様式で、実質的に前記ラジカル通過プレートの中心軸に向けてガスを流すように構成される、シャワーヘッド。
  13. 請求項12に記載のシャワーヘッドであって、さらに、
    プラズマドームを備え、前記プラズマドームが、前記ラジカル通過プレートの前記中心軸の周りで実質的に軸対称である内面と、前記ラジカル通過プレートの前記中心軸の近くで、前記プラズマドームの一端に位置された1つまたは複数のラジカルガス入口と、前記プラズマドームの反対側の端部に位置され、前記プラズマドームを前記シャワーヘッドと接続するように構成された取付インターフェースと、を有し、それにより、前記プラズマドームの前記内面と、前記ラジカル通過プレートの前記第1の面とが、ラジカル源体積を画定し、前記周方向プレナムからのガス流が、前記ラジカル源体積内に流れる、シャワーヘッド。
  14. 請求項13に記載のシャワーヘッドであって、
    前記周方向プレナムが、前記プラズマドームと前記ラジカル通過プレートとの間に挿間されたアダプタ内に位置される、シャワーヘッド。
  15. 請求項13に記載のシャワーヘッドであって、
    前記周方向プレナムが、前記取付インターフェースの近くで前記プラズマドーム内に位置される、シャワーヘッド。
  16. 請求項1に記載のシャワーヘッドであって、
    前記断熱体が、前記前駆体送達プレートおよび前記ラジカル通過プレートのそれぞれの熱伝導率よりも実質的に低い熱伝導率を有するプレートを備える、シャワーヘッド。
  17. 請求項1に記載のシャワーヘッドであって、
    前記断熱体が、
    前記ラジカル通過プレートと前記前駆体送達プレートとの間に間隙を有し、前記間隙が、前記ラジカル通過プレートと前記前駆体送達プレートとの間の自由体積を画定し、
    前記断熱体が、さらに、ラジカル通過穴の前記パターン内のラジカル通過穴の数に対応する数の管状構造を備え、
    各管状構造が、
    前記ラジカル通過穴の異なる1つに対応し、
    前記対応するラジカル通過穴の公称直径に実質的に等しい内径を有し、
    前記間隙にわたって延在し、
    流体連絡に関して前記自由体積から前記ラジカル通過穴を実質的に隔離する、シャワーヘッド。
  18. 請求項17に記載のシャワーヘッドであって、
    前記管状構造の少なくとも1つが、個別の管セグメントである、シャワーヘッド。
  19. 請求項18に記載のシャワーヘッドであって、
    前記管状構造の前記少なくとも1つが、水晶またはサファイアからなる群から選択される材料から形成される、シャワーヘッド。
  20. 請求項1に記載のシャワーヘッドであって、
    前記断熱体が、
    少なくとも2つの積層された層を備え、
    各層が、前記ラジカル通過穴を含む、シャワーヘッド。
  21. 請求項20に記載のシャワーヘッドであって、
    前記断熱体が、さらに、前記層のうちの1層の第1の対合表面と、隣接する層の第2の対合表面との間の第1の界面を備え、
    前記第1の対合表面と前記第2の対合表面の少なくとも一方が、約8〜16マイクロインチ以上の表面粗さR値を有する、シャワーヘッド。
  22. 請求項20に記載のシャワーヘッドであって、
    前記層が、各層にわたって約0.002インチ(0.00508cm)の絶対平面度を有する、シャワーヘッド。
  23. 請求項3に記載のシャワーヘッドであって、
    前記ラジカル通過穴が、第1の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記ガス送達穴が、前記前駆体送達プレートの前記第1の面とは逆の方向に第1の非ゼロ距離だけ前記第1の平面からずらされた第2の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出る、シャワーヘッド。
  24. 請求項23に記載のシャワーヘッドであって、
    前記第1の非ゼロ距離が0.25インチ(0.635cm)よりも大きい、シャワーヘッド。
  25. 請求項23に記載のシャワーヘッドであって、
    前記第1の非ゼロ距離が0.25インチ(0.635cm)〜3インチ(7.62cm)の間である、シャワーヘッド。
  26. 請求項23に記載のシャワーヘッドであって、
    前記第1の非ゼロ距離が3インチ(7.62cm)〜12インチ(30.48cm)の間である、シャワーヘッド。
  27. 請求項3に記載のシャワーヘッドであって、
    前記ラジカル通過穴が、第1の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記ガス送達穴が、第2の平面上の開口を介して前記前駆体送達プレートの前記第2の面から出ており、前記第2の平面が、前記前駆体送達プレートの前記第1の面とは反対の方向に前記第1の平面からずらされており、また、前記第1の平面上の前記開口を介して前記ラジカル通過プレートから流されるラジカル化されたガスが前記第2の平面に衝突する前に実質的に十分に発達した流れを示すように、前記第2の平面が十分に前記第1の平面から離れている、シャワーヘッド。
  28. 請求項1に記載のシャワーヘッドであって、
    前記ラジカル拡散器プレートが、前記ラジカル拡散器プレートとのラジカル再結合を阻止する材料で少なくとも部分的にコーティングされる、シャワーヘッド。
  29. 請求項28に記載のシャワーヘッドであって、
    前記材料が、窒化アルミニウム、水晶、およびサファイアからなる群から選択される、シャワーヘッド。
  30. 請求項1に記載のシャワーヘッドであって、
    プロセスチャンバをさらに含み、前記ラジカル拡散器プレートと、前記断熱体と、前記前駆体送達プレートとが、前記プロセスチャンバにプロセスガスを送達するように構成される、シャワーヘッド。
  31. 請求項30に記載のシャワーヘッドであって、
    1つまたは複数の追加のプロセスチャンバをさらに含み、前記プロセスチャンバと前記1つまたは複数の追加のプロセスチャンバとが、複数チャンバ半導体処理ツールを形成する、シャワーヘッド。
  32. 請求項30に記載のシャワーヘッドであって、
    さらに、第2のラジカル拡散器プレートと、第2の断熱体と、第2の前駆体送達プレートと、を備え、
    前記第2のラジカル拡散器プレートと、前記第2の断熱体と、前記第2の前駆体送達プレートとが、前記ラジカル拡散器プレート、前記断熱体、および前記前駆体送達プレートと同様に配置され、
    前記プロセスチャンバが、少なくとも第1の処理ステーションと第2の処理ステーションと、を含み、
    前記ラジカル拡散器プレートと、前記断熱体と、前記前駆体送達プレートとが、前記第1のステーションにプロセスガスを送達するように構成され、
    前記第2のラジカル拡散器プレートと、前記第2の断熱体と、前記第2の前駆体送達プレートとが、前記第2のステーションにプロセスガスを送達するように構成される、シャワーヘッド。
  33. 半導体処理操作用のシャワーヘッドを使用するための方法であって、前記シャワーヘッドが、
    第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
    第1の面と、反対側の第2の面と、を有するラジカル通過プレートと、を備え、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面し、
    シャワーヘッドが、さらに、前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
    ラジカル通過穴のパターンと、を備え、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレートと、前記ラジカル通過プレートと、前記断熱体と、を貫通し、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持し、
    前記前駆体送達プレートが、ガス送達穴のパターンと、1つまたは複数の内部ガス分散経路と、を含み、
    前記ガス送達穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
    前記ガス送達穴が、それぞれ、前記1つまたは複数のガス分散経路の少なくとも1つに流体接続され、
    前記ガス送達穴が、それぞれ、前記前駆体送達プレートの前記第2の面で、前記前駆体送達プレートから出ており、
    前記方法が、
    前記前駆体送達プレートを第1の温度で保つステップと、
    前記ラジカル通過プレートを第2の温度で保つステップと、
    前記ラジカル通過プレートが前記第1の温度である状態で、前記ガス送達穴を介して第1のプロセスガスを提供するステップと、
    前記ラジカル通過プレートが前記第2の温度である状態で、前記ラジカル通過穴を介して第2のプロセスガスを提供するステップと、を含む方法。
  34. 半導体処理操作用のリアクタであって、
    リアクタチャンバと、
    前記リアクタチャンバ内部に位置されたウェハ支持体と、
    シャワーヘッドと、を備え、
    前記シャワーヘッドが、
    第1の面と、反対側の第2の面と、を有する前駆体送達プレートと、
    第1の面と、反対側の第2の面と、を有するラジカル通過プレートであって、前記ラジカル通過プレートの前記第2の面が、前記前駆体送達プレートの前記第1の面に面する、ラジカル通過プレートと、
    前記前駆体送達プレートと前記ラジカル通過プレートとの間に挿間された断熱体と、
    ラジカル通過穴のパターンと、を含み、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレートと、前記ラジカル通過プレートと、前記断熱体と、を貫通し、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体に実質的に垂直な穴中心軸を有し、
    前記ラジカル通過穴が、それぞれ、前記前駆体送達プレート、前記ラジカル通過プレート、および前記断熱体を通る前記ラジカル通過穴の前記穴中心軸に垂直な実質的に均一な断面積を維持し、
    前記シャワーヘッドと前記ウェハ支持体とが、前記ウェハ支持体が前記リアクタチャンバ内部で前記シャワーヘッドの下にあるように構成される、リアクタ。
  35. 第1の面と、
    前記第1の面と実質的に平行であり、前記第1の面からずらされた第2の面と、
    前記第1の面と前記第2の面との間にわたって延在する複数の管状構造であって、前記第1の面と前記第2の面とにわたって分散されたパターンで配列される複数の管状構造と、を備え、
    前記管状構造が、前記管状経路内部の体積と、前記第1の面と前記第2の面との間に実質的に画定される体積との間の流体の流れを実質的に防止する、断熱体。
  36. 請求項35に記載の断熱体であって、さらに、
    前記第1の面と前記第2の面の間にわたって延在し、前記複数の管状構造を取り囲む周壁を備え、
    前記周壁、前記第1の面、前記第2の面、および前記管状構造の最外面が、前記断熱体の中空内部体積を画定する、断熱体。
  37. 請求項36に記載の断熱体であって、さらに、
    前記断熱体の前記中空内部体積と流体連絡する1つまたは複数のポートを備える、断熱体。
JP2014021856A 2013-02-15 2014-02-07 温度制御機能を備えるマルチプレナムシャワーヘッド Pending JP2014220231A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361765432P 2013-02-15 2013-02-15
US61/765,432 2013-02-15
US201361770251P 2013-02-27 2013-02-27
US61/770,251 2013-02-27
US13/934,620 2013-07-03
US13/934,620 US20140235069A1 (en) 2013-02-15 2013-07-03 Multi-plenum showerhead with temperature control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018087939A Division JP2018160462A (ja) 2013-02-15 2018-05-01 温度制御機能を備えるマルチプレナムシャワーヘッド

Publications (2)

Publication Number Publication Date
JP2014220231A true JP2014220231A (ja) 2014-11-20
JP2014220231A5 JP2014220231A5 (ja) 2017-06-01

Family

ID=51351505

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014021856A Pending JP2014220231A (ja) 2013-02-15 2014-02-07 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2018087939A Pending JP2018160462A (ja) 2013-02-15 2018-05-01 温度制御機能を備えるマルチプレナムシャワーヘッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018087939A Pending JP2018160462A (ja) 2013-02-15 2018-05-01 温度制御機能を備えるマルチプレナムシャワーヘッド

Country Status (5)

Country Link
US (1) US20140235069A1 (ja)
JP (2) JP2014220231A (ja)
KR (1) KR20140103080A (ja)
SG (1) SG2014011712A (ja)
TW (2) TWI626685B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2016063915A1 (ja) * 2014-10-24 2017-08-03 王子ホールディングス株式会社 光学素子、光学複合素子及び保護フィルム付光学複合素子
JP2018088465A (ja) * 2016-11-28 2018-06-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
WO2019023429A3 (en) * 2017-07-28 2019-02-28 Lam Research Corporation MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE
KR20190089210A (ko) * 2016-12-19 2019-07-30 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 빔 디바이스에 대한 가스 주입 시스템
JP2020502793A (ja) * 2016-12-14 2020-01-23 ラム リサーチ コーポレーションLam Research Corporation ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
WO2020085128A1 (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 シャワーヘッドおよび基板処理装置
JP2020072126A (ja) * 2018-10-29 2020-05-07 スピードファム株式会社 局所ドライエッチング装置
CN112262228A (zh) * 2018-06-08 2021-01-22 应用材料公司 用于平板处理设备的温控气体扩散器
TWI728354B (zh) * 2014-10-17 2021-05-21 美商應用材料股份有限公司 用於電漿反應器的氣體分配板

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN105839074A (zh) 2015-02-03 2016-08-10 Lg电子株式会社 用于太阳能电池的金属有机化学气相沉积设备
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
KR102269479B1 (ko) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11380557B2 (en) 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
WO2021247946A1 (en) * 2020-06-06 2021-12-09 Lam Research Corporation Removable showerhead faceplate for semiconductor processing tools
USD948658S1 (en) * 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly
CN115478261A (zh) * 2021-05-31 2022-12-16 中微半导体设备(上海)股份有限公司 一种气体喷淋头及化学气相沉积设备
USD1005445S1 (en) * 2021-06-03 2023-11-21 PTP Turbo Solutions, LLC Inlet shield
TW202336801A (zh) * 2021-10-29 2023-09-16 美商蘭姆研究公司 具有用於自由基物種輸送的孔尺寸之噴淋頭
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums
CN115404463B (zh) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 原子层沉积设备及原子层沉积喷淋装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05186292A (ja) * 1992-01-07 1993-07-27 Fujitsu Ltd 半導体成長装置およびそれによる半導体成長方法
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JP2002030445A (ja) * 2000-06-15 2002-01-31 Hynix Semiconductor Inc Cecvd装備に用いられるシャワーヘッド
JP2002033311A (ja) * 2000-04-26 2002-01-31 Axcelis Technologies Inc プラズマ処理装置及びガス分散プレート
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
WO2011011532A2 (en) * 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4149051B2 (ja) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20070016344A1 (en) * 2005-07-15 2007-01-18 Arinc, Incorporated Systems and methods for voice communications and control using adapted portable data storage and display devices
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05186292A (ja) * 1992-01-07 1993-07-27 Fujitsu Ltd 半導体成長装置およびそれによる半導体成長方法
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JP2002033311A (ja) * 2000-04-26 2002-01-31 Axcelis Technologies Inc プラズマ処理装置及びガス分散プレート
JP2002030445A (ja) * 2000-06-15 2002-01-31 Hynix Semiconductor Inc Cecvd装備に用いられるシャワーヘッド
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
WO2011011532A2 (en) * 2009-07-22 2011-01-27 Applied Materials, Inc. Hollow cathode showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728354B (zh) * 2014-10-17 2021-05-21 美商應用材料股份有限公司 用於電漿反應器的氣體分配板
JPWO2016063915A1 (ja) * 2014-10-24 2017-08-03 王子ホールディングス株式会社 光学素子、光学複合素子及び保護フィルム付光学複合素子
JP2018088465A (ja) * 2016-11-28 2018-06-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
JP2020502793A (ja) * 2016-12-14 2020-01-23 ラム リサーチ コーポレーションLam Research Corporation ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
US12000047B2 (en) 2016-12-14 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP7163289B2 (ja) 2016-12-14 2022-10-31 ラム リサーチ コーポレーション ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
JP7032403B2 (ja) 2016-12-19 2022-03-08 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオンビーム装置のためのガス圧入システム及びガス圧入システムのための引出しプレートを製造する方法
KR20190089210A (ko) * 2016-12-19 2019-07-30 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 빔 디바이스에 대한 가스 주입 시스템
JP2020502742A (ja) * 2016-12-19 2020-01-23 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド イオンビーム装置のためのガス圧入システム
KR102415321B1 (ko) 2016-12-19 2022-06-30 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 빔 디바이스에 대한 가스 주입 시스템 및 이의 추출 플레이트를 제조하는 방법
JP2020529124A (ja) * 2017-07-28 2020-10-01 ラム リサーチ コーポレーションLam Research Corporation モノリシックセラミックガス分配プレート
WO2019023429A3 (en) * 2017-07-28 2019-02-28 Lam Research Corporation MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE
JP7292256B2 (ja) 2017-07-28 2023-06-16 ラム リサーチ コーポレーション モノリシックセラミックガス分配プレート
JP2021525963A (ja) * 2018-06-08 2021-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フラットパネルプロセス機器用の温度制御ガスディフューザー
CN112262228A (zh) * 2018-06-08 2021-01-22 应用材料公司 用于平板处理设备的温控气体扩散器
JP7164632B2 (ja) 2018-06-08 2022-11-01 アプライド マテリアルズ インコーポレイテッド フラットパネルプロセス機器用の温度制御ガスディフューザー
WO2020085128A1 (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 シャワーヘッドおよび基板処理装置
JP7104973B2 (ja) 2018-10-29 2022-07-22 スピードファム株式会社 局所ドライエッチング装置
JP2020072126A (ja) * 2018-10-29 2020-05-07 スピードファム株式会社 局所ドライエッチング装置

Also Published As

Publication number Publication date
SG2014011712A (en) 2014-09-26
TW201828361A (zh) 2018-08-01
TW201448026A (zh) 2014-12-16
US20140235069A1 (en) 2014-08-21
TWI626685B (zh) 2018-06-11
KR20140103080A (ko) 2014-08-25
JP2018160462A (ja) 2018-10-11

Similar Documents

Publication Publication Date Title
JP2018160462A (ja) 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2014220231A5 (ja)
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
CN103993293B (zh) 带温度控制的多室喷头
TWI618141B (zh) 晶圓處理設備中的化學物質控制特徵
US9353439B2 (en) Cascade design showerhead for transient uniformity
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
JP2009503876A (ja) 半導体処理用堆積装置
TW201834139A (zh) 具有小間隙之銷升降器組件
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
TW202132616A (zh) 用於原子層沉積前驅物運送的噴淋頭
TW202230471A (zh) 熱均勻的沉積站
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
TWI811815B (zh) 用於半導體處理室的氣箱
TW202225466A (zh) 用於高溫製程的具有獨立面板的混合式噴淋頭
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
TW202131397A (zh) 用於處理腔室的多區域流動氣箱
TWI814291B (zh) 均勻的原位清洗及沉積
JP2020013993A (ja) セラミックハイブリッド絶縁プレート
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TWI746253B (zh) 用於氣體輸送調節的腔室部件
JP2023531409A (ja) 堆積用途のための高温面板
TWI830434B (zh) 下游殘留物管理硬體及方法
TW202421822A (zh) 用於不對稱晶圓弓翹補償的多區氣體分配
WO2023220302A1 (en) Multi-zone gas distribution for asymmetric wafer bow compensation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180206

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180626