KR102484362B1 - 효과적인 혼합 및 퍼징을 위한 유입부 - Google Patents

효과적인 혼합 및 퍼징을 위한 유입부 Download PDF

Info

Publication number
KR102484362B1
KR102484362B1 KR1020150169144A KR20150169144A KR102484362B1 KR 102484362 B1 KR102484362 B1 KR 102484362B1 KR 1020150169144 A KR1020150169144 A KR 1020150169144A KR 20150169144 A KR20150169144 A KR 20150169144A KR 102484362 B1 KR102484362 B1 KR 102484362B1
Authority
KR
South Korea
Prior art keywords
process gas
inlet
central passage
showerhead
flow
Prior art date
Application number
KR1020150169144A
Other languages
English (en)
Other versions
KR20160070683A (ko
Inventor
가리 브리저 린드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160070683A publication Critical patent/KR20160070683A/ko
Application granted granted Critical
Publication of KR102484362B1 publication Critical patent/KR102484362B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Abstract

본 발명자들은 환형 플레넘 및 중심 통로 내로의 방사상 플로우 경로들을 갖는 샤워헤드 유입부를 구상하였다. 전구체 및 캐리어 가스를 포함할 수도 있는, 프로세스 가스는 환형 플레넘 내로 흐를 수도 있다. 환형 플레넘 및 중심 통로는 전구체 및 캐리어 가스의 균일한 혼합에 기여할 수도 있다. 부가적으로, 방사상 통로는 중심 통로 내의 임의의 데드 레그들 (dead legs) 을 보다 효과적으로 퍼지하게 돕도록 상측으로 비스듬히 놓일 수도 있다. 중심 통로는 또한 리모트 플라즈마 소스 밸브와 인터페이싱할 수도 있다. 리모트 플라즈마 소스 밸브는 중심 통로 내로의 리모트 플라즈마 소스에 의해 생성된 반응성 종의 플로우를 제어할 수도 있다.

Description

효과적인 혼합 및 퍼징을 위한 유입부{INLET FOR EFFECTIVE MIXING AND PURGING}
많은 반도체 프로세싱 동작들은 큰 영역에 걸친, 예를 들어, 반도체 웨이퍼에 걸친 프로세스 가스들의 분배를 필요로 한다. 이러한 가스의 분배는 통상적으로 반도체 웨이퍼와 대략 동일한 사이즈의 대체로 원형인 영역에 걸쳐 분포된 다수의 작은 가스 포트들 또는 가스 분배 홀들을 가진 디바이스인, 샤워헤드의 사용을 통해 종종 성취된다. 프로세스 가스들은 가스 포트들과 유체로 연통하는 샤워헤드의 내부 플레넘 내에 공급된다. 따라서 내부 플레넘 내에 도입된 프로세스 가스들은 가스 포트들을 통해 그리고 (샤워헤드 아래에 중심이 위치되는) 웨이퍼 상으로 흐를 수 있다.
일부 프로세스들에서, 복수의 상이한 가스들은 반도체 프로세스의 상이한 단계들을 수행하도록 순차적인 방식으로 샤워헤드를 통해 흐른다. 원자층 증착과 같은 다른 프로세스들에서, 샤워헤드는 샤워헤드 내에서 서로로부터 유체적으로 분리되는 2개 이상의 내부 플레넘들을 가질 수도 있다. 이들 내부 플레넘들 각각은 내부 플레넘 각각에 전용인 가스 포트들을 통해 웨이퍼에 상이한 가스를 전달하도록 사용될 수도 있다. 이러한 개별의 플레넘 장치들은 사용된 반응물질들이 샤워헤드에 도달하기 전에 화학 반응을 유발할 수 있는, 반응물질들의 혼합을 방지한다. 이는 샤워헤드 내에서 막힘 (clogging) 및 다른 타입들의 대미지를 감소시킨다.
샤워헤드들 내의 플레넘들에는 샤워헤드에 종종 직접 연결되는 하나 이상의 유입부 튜브들에 의해 가스가 통상적으로 제공된다. 종래의 유입부들을 통해 향상된 성능을 제공하는 개선된 샤워헤드 유입부 설계들이 본 명세서에서 논의된다.
이 명세서에 기술된 주제의 하나 이상의 구현예들의 상세사항들이 첨부된 도면들 및 아래의 기술에 제시된다. 다른 특징들, 양태들, 및 이점들은 기술, 도면들, 및 청구항들로부터 분명해질 것이다. 다음의 도면들의 상대적인 치수들은 특별히 스케일링된 (scaled) 도면들로서 명시되지 않는다면 스케일대로 도시되지 않을 수도 있다는 것을 주의하라.
특정한 구현예들에서, 반도체 프로세싱 가스 분배 시스템에 프로세스 가스들을 공급하기 위한 장치가 제공될 수도 있다. 장치는 제 1 방향으로 가스 분배 시스템 내로 가스들을 흘림으로써 가스 분배 시스템으로 중심 통로 내로 도입된 가스들을 흘리도록 구성된 중심 통로, 환형 제 1 플레넘, 제 1 플레넘에 유체적으로 연결된 제 1 유입부, 및 플레넘과 중심 통로를 유체적으로 연결하는 적어도 하나의 방사상 플로우 경로를 포함할 수도 있다. 방사상 플로우 경로 각각은 제 1 플레넘으로부터 그리고 중심 통로 내로 제 1 방향에 대해 방향이 반대인 성분을 갖는 방향을 따라 제 1 프로세스 가스를 흘리도록 비스듬히 놓일 (angle) 수도 있다. 제 1 프로세스 가스는 제 1 유입부를 통해 제 1 플레넘에 제공될 수도 있다.
장치의 일부 이러한 구현예들에서, 중심 통로는 제 1 단부와 제 2 단부를 더 포함할 수도 있다. 제 1 단부는 가스 분배 시스템과 연결되도록 구성된 장치의 일부분과 방사상 플로우 경로 사이에 위치될 수도 있고, 제 2 단부는 방사상 플로우 경로가 제 2 단부와 제 1 단부 사이에 개재되도록 위치될 수도 있다. 제 2 단부는 제 2 프로세스 가스를 위한 제 2 유입부를 또한 포함할 수도 있다. 제 2 유입부는 제 2 단부로부터 제 1 단부로 제 2 프로세스 가스를 흘리도록 구성될 수도 있고, 그리고 중심 통로의 적어도 일부분은 방사상 플로우 경로가 중심 통로에 연결되는 영역과 제 1 단부 사이에 있을 수도 있다. 일부 이러한 구현예들에서, 제 2 단부는 제 2 유입부를 통해 그리고 중심 통로 내로의 제 2 프로세스 가스의 플로우를 조절하도록 구성된 밸브 컴포넌트를 포함할 수도 있다. 일부 추가의 또는 부가적인 이러한 구현예들에서, 중심 통로는 원통 형상일 수도 있고 그리고 방사상 플로우 경로가 중심 통로와 이어지는 (join) 영역과 제 2 단부 사이의 중심 통로의 부분은 길이에 있어서 적어도 하나의 중심 통로 직경일 수도 있다. 일부 추가의 또는 부가적인 이러한 구현예들에서, 제 2 프로세스 가스는 반응성 종일 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 적어도 하나의 방사상 플로우 경로는 방사상 플로우 경로 각각이 제 1 방향으로부터 100 내지 170 도의 각으로 플로우 방향을 따라 제 1 프로세스 가스를 지향시키도록 비스듬히 놓일 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 방사상 플로우 경로는 각각이 길이와 단면 플로우 면적에서 동일한 복수의 방사상 통로들을 포함할 수도 있다. 일부 이러한 구현예들에서, 복수의 방사상 통로들은 실질적으로 균등하게-이격된 방식으로 중심 통로 둘레에 분포될 수도 있다. 일부 이러한 구현예들에서, 복수의 방사상 통로들은 중심 통로의 적어도 일부분 내에 제 1 프로세스 가스의 난류 (turbulent flow) 를 생성하는 방식으로 중심 통로 내로 제 1 프로세스 가스를 흘리도록 분포될 수도 있다. 일부 이러한 구현예들에서, 중심 통로는 제 1 단부가 가스 분배 시스템과 연결되도록 구성된 장치의 일부분과 방사상 플로우 경로 사이에 위치될 수 있도록, 제 1 단부와 제 2 단부를 더 포함할 수도 있고, 제 2 단부는 방사상 플로우 경로가 제 2 단부와 제 1 단부 사이에 개재되도록 위치될 수도 있고, 제 1 프로세스 가스의 난류의 적어도 일부분은 방사상 플로우 경로가 중심 통로에 연결되는 영역과 제 2 단부 사이에서 생성될 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 방사상 플로우 경로는, 복수의 방사상 통로들이 적어도 제 1 방사상 통로와 제 2 방사상 통로를 포함하고 그리고 제 1 방사상 통로와 제 2 방사상 통로가 상이한 각들로 비스듬히 놓이도록, 복수의 방사상 통로들을 포함할 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 방사상 플로우 경로는 단일의 방사상 통로를 포함할 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 장치는 중심 통로로부터 유체적으로 분리되고 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로 및 보조 통로에 유체적으로 연결된 제 2 유입부를 더 포함할 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 장치는 가스 분배 시스템을 더 포함할 수도 있고 그리고 가스 분배 시스템은 중심 통로에 유체적으로 연결된 가스 분배 샤워헤드일 수도 있다. 일부 이러한 구현예들에서, 장치는 중심 통로로부터 유체적으로 분리되고 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로 및 보조 통로에 유체적으로 연결된 제 2 유입부를 더 포함할 수도 있다. 가스 분배 샤워헤드는 제 1 분배 플레넘과 제 2 분배 플레넘이 가스 분배 샤워헤드 내에서 서로로부터 유체적으로 분리될 수도 있도록, 제 1 분배 플레넘과 제 2 분배 플레넘을 포함할 수도 있고, 중심 통로는 가스 분배 샤워헤드의 제 1 분배 플레넘에 유체적으로 연결될 수도 있고, 그리고 보조 통로는 가스 분배 샤워헤드의 제 2 분배 플레넘에 유체적으로 연결될 수도 있다. 일부 이러한 구현예들에서, 장치는 중심 통로와 제 1 분배 플레넘에 유체적으로 연결되고, 보조 통로에서 실질적으로 중심에 있고, 그리고 보조 통로로부터 유체적으로 분리된, 방사상 패턴으로 배열되는 복수의 라이저 (riser) 통로들을 더 포함할 수도 있다. 일부 이러한 구현예들에서, 보조 통로의 적어도 일부분은 적어도 2개의 라이저 통로들 사이에 있을 수도 있다.
특정한 구현예들에서, 반도체 웨이퍼들을 프로세싱하기 위한 장치가 제공될 수도 있다. 장치는, 샤워헤드 유입부의 제 1 유입부에 유체적으로 연결되고 제 1 유입부 내로 제 1 프로세스 가스를 흘리도록 구성된 제 1 프로세스 가스 밸브, 제 1 유입부에 유체적으로 연결되고 제 1 유입부 내로 캐리어 가스를 흘리도록 구성된 캐리어 가스 밸브, 및 샤워헤드 유입부의 중심 통로에 유체적으로 연결되고 중심 통로 내로 제 2 프로세스 가스를 흘리도록 구성된 제 2 프로세스 가스 밸브를 포함할 수도 있다. 장치는 반도체 프로세싱 가스 분배 시스템을 또한 포함할 수도 있고 샤워헤드 유입부는 반도체 프로세싱 가스 분배 시스템에 가스들을 공급하도록 구성될 수도 있다. 샤워헤드 유입부는 제 1 방향으로 가스 분배 시스템 내로 가스들을 흘림으로써 가스 분배 시스템으로 중심 통로 내로 도입된 가스들을 흘리도록 구성될 수도 있는 중심 통로, 환형 제 1 플레넘, 제 1 플레넘에 유체적으로 연결된 제 1 유입부, 및 플레넘과 중심 통로를 유체적으로 연결하는 적어도 하나의 방사상 플로우 경로로서, 방사상 플로우 경로 각각은 제 1 플레넘으로부터 그리고 중심 통로 내로 제 1 방향에 대해 방향이 반대인 성분을 갖는 방향을 따라 제 1 프로세스 가스 및/또는 캐리어 가스를 흘리도록 비스듬히 놓이는, 적어도 하나의 방사상 플로우 경로를 포함할 수도 있다. 제 1 프로세스 가스 및/또는 캐리어 가스는 제 1 유입부를 통해 제 1 플레넘에 제공될 수도 있다. 장치는 하나 이상의 프로세서들 및 메모리를 가진 제어기를 더 포함할 수도 있다. 하나 이상의 프로세서들, 메모리, 제 1 프로세스 가스 밸브, 및 제 2 프로세스 가스 밸브는 통신 가능하게 연결될 수도 있다. 메모리는, (i) 캐리어 가스 밸브로 하여금 제 1 유입부 내로 캐리어 가스를 흘리게 하고, (ii) 제 1 프로세스 가스 밸브로 하여금 제 1 유입부 내로 제 1 프로세스 가스를 흘리게 하고, 그리고 (iii) (ii) 후에, 제 1 프로세스 가스 밸브로 하여금 제 1 유입부 내로의 제 1 프로세스 가스의 플로우를 정지하게 하도록 하나 이상의 프로세서들을 제어하기 위한 프로그램 인스트럭션들을 저장할 수도 있다.
장치의 일부 이러한 구현예들에서, 중심 통로는 제 1 단부와 제 2 단부를 더 포함할 수도 있다. 제 1 단부는 가스 분배 시스템과 연결되도록 구성된 장치의 일부분과 방사상 플로우 경로 사이에 위치될 수도 있고, 제 2 단부는 방사상 플로우 경로가 제 2 단부와 제 1 단부 사이에 개재되도록 위치될 수도 있고, 제 2 단부는 제 2 프로세스 가스 밸브와 연결되도록 구성될 수도 있다. 장치는 제 2 프로세스 가스를 위한 제 2 유입부를 또한 포함할 수도 있고, 제 2 유입부는 제 2 단부로부터 제 1 단부로 제 2 프로세스 가스를 흘리도록 구성되고, 그리고 중심 통로의 적어도 일부분은 방사상 플로우 경로가 중심 통로에 연결되는 영역과 제 1 단부 사이에 있을 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 메모리는, (iv) (iii) 후에, 제 2 프로세스 가스 밸브로 하여금 중심 통로 내로 제 2 프로세스 가스를 흘리게 하고, 그리고 (v) (iv) 후에, 제 2 프로세스 가스 밸브로 하여금 중심 통로 내로 제 2 프로세스 가스의 플로우를 정지하게 하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 프로그램 인스트럭션들을 저장할 수도 있다.
장치의 일부 추가의 또는 부가적인 이러한 구현예들에서, 장치는 제 3 프로세스 가스 밸브를 더 포함할 수도 있고, 샤워헤드 유입부는 중심 통로로부터 유체적으로 분리되고 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로 및 보조 통로와 제 3 프로세스 가스 밸브에 유체적으로 연결된 제 2 유입부를 더 포함할 수도 있다. 제 3 프로세스 가스 밸브는 제 2 유입부로의 제 3 프로세스 가스의 플로우를 조절하도록 구성될 수도 있고, 가스 분배 시스템은 제 1 분배 플레넘과 제 2 분배 플레넘이 가스 분배 시스템 내에서 서로로부터 유체적으로 분리되도록, 제 1 분배 플레넘과 제 2 분배 플레넘을 포함할 수도 있다. 중심 통로는 가스 분배 샤워헤드의 제 1 분배 플레넘에 유체적으로 연결될 수도 있고, 보조 통로는 가스 분배 샤워헤드의 제 2 분배 플레넘에 유체적으로 연결될 수도 있고, 그리고 메모리는, (iv) (iii) 후에, 제 3 프로세스 가스 밸브로 하여금 제 2 유입부 내로 제 3 프로세스 가스를 흘리게 하고; 그리고 (v) 프로그램 인스트럭션 (iv) 후에, 제 3 프로세스 가스 밸브로 하여금 제 2 유입부 내로의 제 3 프로세스 가스의 플로우를 정지하게 하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 프로그램 인스트럭션을 저장할 수도 있다.
본 발명의 이들 및 다른 특징들은 도면들을 참조하여 이하에 보다 더 상세히 기술될 것이다.
도 1은 예시적인 프로세스 가스 분배 어셈블리의 도면을 도시한다.
도 2는 증착 프로세스를 통해 기판 상에 재료의 막들을 형성하기 위한 동작들의 기본 시퀀스를 도시하는 타이밍 다이어그램이다.
도 3a는 샤워헤드 유입부를 가진 예시적인 프로세스 가스 분배 장치의 단면도를 도시한다.
도 3b는 도 3a의 예시적인 프로세스 가스 분배 장치의 분해도를 도시한다.
도 4는 예시된 플로우 경로들과 함께 예시적인 샤워헤드 유입부의 단면도를 도시한다.
도 5a는 샤워헤드 유입부의 예시적인 플로우 경로의 일 구성의 도면을 도시한다.
도 5b는 샤워헤드 유입부의 예시적인 플로우 경로의 또 다른 구성의 도면을 도시한다.
도 5c는 방사상 플로우 경로들의 3개의 예시적인 단면들을 도시한다.
도 6은 도 3에 도시된 예시적인 샤워헤드 유입부와 상이한 구성을 가진 또 다른 예시적인 샤워헤드 유입부의 도면을 도시한다.
도 7은 샤워헤드 유입부를 사용하는 예시적인 기판 프로세싱 시퀀스를 상세히 나타내는 흐름도를 도시한다.
도 1, 도 3a, 도 3b, 및 도 4는 도면 각각에서 스케일대로 도시되지만, 도면 간 스케일은 상이할 수도 있다.
이 명세서에 기술된 주제의 하나 이상의 구현예들의 상세사항들이 첨부된 도면들 및 아래의 기술에 제시된다. 다른 특징들, 양태들, 및 이점들은 기술, 도면들, 및 청구항들로부터 분명해질 것이다. 다음의 도면들의 상대적인 치수들은 특별히 스케일링된 도면들로서 명시되지 않는다면 스케일대로 도시되지 않을 수도 있다는 것을 주의하라.
본 명세서에서 사용된 바와 같이, 용어 "반도체 웨이퍼"는 반도체 재료, 예를 들어, 실리콘으로 이루어진 웨이퍼들, 및 반도체들로서 일반적으로 식별되지 않는 재료들, 예를 들어, 유전체들 및/또는 전도체들로 이루어지지만, 통상적으로 그 위에 반도체 재료들이 제공되는 웨이퍼들 양자를 지칭할 수도 있다는 것이 이해된다. SOI (silicon on insulator) 웨이퍼들이 하나의 이러한 예이다. 이 개시에 기술된 장치들 및 방법들은 200 ㎜, 300 ㎜, 및 450 ㎜ 직경 반도체 웨이퍼들을 포함하는, 복수의 사이즈들의 반도체 웨이퍼들의 프로세싱에서 사용될 수도 있다.
반도체 웨이퍼들은 프로세스 가스에 반도체 웨이퍼를 노출시키는 것을 포함할 수도 있는 동작들을 통해 기판 프로세싱 챔버들의 내부에서 흔히 프로세싱된다. 기판 프로세싱 챔버들은 기판 프로세싱 챔버 내에 위치된 반도체 웨이퍼들로 프로세스 가스를 전달하는 샤워헤드들을 포함할 수도 있다. 다양한 프로세싱 스테이지들 동안 기판들을 프로세싱하도록 사용된 프로세스 가스들은 예를 들어, 캐리어 가스(들), 전구체(들) (가스 및/또는 기화된 액체), 및/또는 퍼지 가스(들)를 포함할 수도 있다. 샤워헤드는 하나 이상의 플로우 경로들과 플레넘들의 조합을 포함할 수도 있고 예를 들어, 기판으로의 전달을 위해 샤워헤드로 프로세스 가스들을 흘리기 전에, 프로세스 가스들을 혼합하도록, 예를 들어, 전구체와 캐리어 가스를 혼합하도록 설계될 수도 있다.
본 발명자는 샤워헤드 플레넘들 내로의 전구체와 캐리어 가스의 도입 전의 전구체와 캐리어 가스의 균일한 혼합 및 샤워헤드 유입부의 내부 플로우 경로들 내의 임의의 데드 레그들 (dead legs) 의 효과적인 퍼징을 위해 설계된 샤워헤드 유입부를 고안했다. 샤워헤드 유입부는 가스가 샤워헤드 내로 흐를 수도 있는 중심 통로를 포함할 수도 있다. 중심 통로는 하나 이상의 방사상 플로우 경로들에 의해 중심 통로를 둘러싸는 환형 플레넘에 유체적으로 이어질 수도 있고; 방사상 플로우 경로 각각은 방사상 플로우 경로들을 따라 환형 플레넘으로부터 중심 통로로의 유체 플로우가 샤워헤드를 향하여 중심 통로 내의 플로우의 주 방향과 반대에 있는 방향성 성분을 갖도록 비스듬히 놓일 수도 있다. 중심 통로는 중심 통로를 더 따른 지점에서 영구적으로 또는 밸브에 의해 캡 오프될 (capped off) 수도 있고 방사상 플로우 경로 또는 경로들이 중심 통로와 교차하는 지점으로부터 오프셋될 (offset) 수도 있고, 따라서 캡과 방사상 플로우 경로 또는 경로들 사이에 데드 존 (dead zone) (영구적으로 또는 존재한다면, 밸브가 폐쇄될 때) 을 생성한다.
이러한 샤워헤드 유입부는 임의의 다양한 타입들의 반도체 웨이퍼 또는 기판 프로세싱 동작을 수행하는 임의의 반도체 툴에서의 사용에 맞을 수도 있지만, 특히 ALD (Atomic Layer Depostion) 및 ALE (Atomic Layer Etching) 와 같은 프로세스들에서의 사용에 맞을 수도 있다. ALD는 ALD의 단일의 사이클이 단지 하나이지만 균일하게 얇은 재료의 층을 증착한다는 사실에 기인한 컨포멀한 (conformal) 막들의 증착에 잘 맞는 막-형성 기법이다. 따라서 복수의 ALD 사이클들이 목표된 두께의 막을 구축하도록 (build up) 사용될 수도 있고, 층 각각이 얇고 컨포멀하기 때문에, 발생한 막은 하부 디바이스 구조체의 형상에 실질적으로 정합한다 (conform). ALE는 ALD의 정반대이고 ALE의 단일의 사이클이 단지 단일의 재료 층을 제거한다는 사실에 기인하여 반도체 웨이퍼 상의 타깃된 재료들을 선택적으로 그리고 정확히 제거하도록 사용된 에칭 기법이다.
ALD를 다시 참조하면, 챔버 내에서 기판 상에 복수의 막 층들을 형성하기 위한 ALD 프로세스는 전구체가 기판 상에 층을 형성하기 위해 흡착되도록 기판 상에 전구체를 제공하는 제 1 동작으로 시작할 수도 있고, 기판을 둘러싸는 볼륨으로부터 과잉 전구체 및/또는 반응물질 부산물을 제거하기 위한 제 2 동작이 이어진다. 그 후에, 제 3 동작에서, 흡착된 전구체는 기판 상에 막 층을 형성하도록 반응물질과 반응하고, 그 후에, 제 4 동작에서, 탈착된 막 전구체 및/또는 반응 부산물은 막 층을 둘러싸는 볼륨으로부터 제거된다.
기판 프로세싱 동작들에서 사용된 프로세스 가스(들)는 반도체 툴 내에 위치된 프로세스 가스 분배 어셈블리를 사용하여 분배될 수도 있다. 도 1은 예시적인 프로세스 가스 분배 어셈블리의 도면을 도시한다. 도 1의 프로세스 가스 분배 어셈블리 (100) 는 ALD 프로세스에서 사용되는 분배 어셈블리일 수도 있다. 프로세스 가스 분배 어셈블리 (100) 는 샤워헤드 유입부 (102), 샤워헤드 배면플레이트 (backplate) (104), 및 RPS (리모트 플라즈마 소스) 밸브 (122) 를 포함한다 (샤워헤드 배면플레이트 (104) 는 가스 분배 샤워헤드를 형성하도록 (이 도면에서 도시되지 않은) 샤워헤드 대면플레이트 (faceplate) 와 연결될 수도 있음).
샤워헤드 유입부 (102) 는 샤워헤드 배면플레이트 (104) 에 연결된다. 샤워헤드 유입부 (102) 는 샤워헤드 배면플레이트 (104) 에 프로세스 가스를 분배할 수도 있다. 프로세스 가스(들)는 샤워헤드 유입부 (102) 에 연결된 다양한 라인들 및 매니폴드들를 통해 샤워헤드 유입부 (102) 에 분배될 수도 있다. 샤워헤드 유입부 (102) 에 연결된 다양한 라인들 및 매니폴드들, 뿐만 아니라 샤워헤드의 나머지 컴포넌트들은 도 1에 도시되지 않는다. 특정한 구현예들에서, 전구체는 제 1 프로세스 가스가 샤워헤드 유입부 (102) 내로 흐르기 전에 전구체와 캐리어 가스의 결합물인 제 1 프로세스 가스를 생성하도록 캐리어 가스와 혼합된다.
일부 구현예들에서, 리모트 플라즈마 소스에 의해 생성된 해리된 (disassociated) 세정 가스들의 반응성 종은 샤워헤드 유입부 (102) 내의 플로우 경로 또는 플로우 경로들 내로 도입될 수도 있다. 특정한 구현예들에서, 반응성 종은 증착 프로세스를 활성화시키도록 사용될 수도 있다. 샤워헤드 유입부 (102) 내의 플로우 경로 또는 플로우 경로들 내로의 리모트 플라즈마 소스에 의해 생성된 반응성 종의 도입은 RPS 밸브 (122) 에 의해 제어될 수도 있다. 반응성 종은 기판 프로세싱 동안 세정 동작들을 위해 사용될 수도 있다.
프로세스 가스 분배 어셈블리 (100) 를 사용하는 특정한 기판 프로세싱 동작들에서, 기판은 제 1 프로세스 가스와 제 2 프로세스 가스에 교대로 노출될 수도 있다. 제 1 프로세스 가스와 제 2 프로세스 가스는 서로 노출된다면 반응할 수도 있다. 따라서, 샤워헤드 유입부, 샤워헤드, 및/또는 챔버로부터의 프로세스 가스들의 효과적인 퍼징은 원하지 않은 반응들을 방지하기 위해 필수적일 수도 있다. 퍼지를 수행하기 위해서, 불활성 가스는 통상적으로 볼륨들 각각의 외부로 모든 잔류 프로세스 가스를 밀어내도록 샤워헤드 유입부, 샤워헤드 플레넘(들), 및 챔버를 통해 흐른다. 따라서, 샤워헤드 유입부 (102) 는 현재 수행되는 기판 프로세싱 동작의 페이즈에 따라 복수의 상이한 프로세스 가스들뿐만 아니라 퍼지 가스를 전달할 수도 있다.
이러한 기판 프로세싱 동작의 예는 도 2에 예시된다. 도 2는 증착 프로세스를 통해 기판 상에 재료의 막들을 형성하기 위한 동작들의 기본 시퀀스를 나타내는 타이밍 다이어그램이다. 도 2는 ALD 동작의 4개의 증착 사이클들에 대한 프로세스 단계들을 예시하고, 사이클 각각은 전구체 전달, RF 전력 전달, 퍼징, 및 반응물질 가스 전달의 프로세스 단계들을 포함한다. 도 2의 프로세스 단계들은 프로세스 단계들의 대응하는 라인들을 통해 도시되고 온 (on) 또는 오프 (off) 인, 불 (Boolean) 값들로서 제공된다. 프로세스 단계는 프로세스 단계의 대응하는 라인이 도 2에 예시된 "온" 위치 (하이) 에 있다면 온 상태이고 프로세스 단계는 프로세스 단계의 대응하는 라인이 도 2에 예시된 "오프" 위치 (로우) 에 있다면 오프 상태이다.
모든 4개의 증착 사이클들 동안, 프로세싱 챔버는 가압될 수도 있다. 증착의 일 사이클은 도 2에서 강조된다. 그 일 사이클에서, 증착 사이클의 제 1 페이즈는 도즈 페이즈일 수도 있다. 도즈 페이즈 동안, 제 1 프로세스 가스, 예를 들어, 전구체를 포함하는 프로세스 가스는 프로세싱 챔버로 전달되지만, RF 전력은 오프되고 반응물질 가스 또는 가스들은 전달되지 않는다. 도즈 페이즈 동안, 기판은 제 1 프로세스 가스를 흡착할 수도 있고 기판 상에 흡착 층을 형성할 수도 있다.
도즈 페이즈 후에, 이어서 증착 사이클의 퍼지 페이즈가 있을 수도 있다. 퍼지 페이즈 동안, 제 1 프로세스 가스의 전달은 정지할 수도 있고 퍼지 가스는 샤워헤드, 샤워헤드 유입부, 및 프로세싱 챔버를 통해 흐를 수도 있지만, RF 전력은 여전히 오프될 수도 있다. 퍼지 페이즈는 기판을 둘러싸는 볼륨으로부터 일부 적어도 흡착되지 않은 막 전구체 및/또는 반응물질 부산물을 제거할 수 있을 뿐만 아니라 모든 나머지 제 1 프로세스 가스의 샤워헤드, 샤워헤드 유입부, 및 프로세싱 챔버를 퍼지할 수도 있다. 일부 경우들에서, 퍼지 가스는 또한 도즈 페이즈 동안 제 1 프로세스 가스 내에서 반응물질들을 위한 캐리어 가스로서 역할을 하고, 반응물질 공급은 오로지 캐리어 가스가 흐르게 하도록 퍼지 페이즈 동안 단순히 턴 오프된다.
퍼지 페이즈 후에, 이어서 증착 사이클은 변환 페이즈로 진입할 수도 있다. 변환 페이즈 동안, 제 2 프로세스 가스, 예를 들어, 하나 이상의 다른 반응물질 가스들을 포함하는 프로세스 가스는 샤워헤드 및 샤워헤드 유입부를 통해 프로세싱 챔버에 도입되고 RF 전력은 제 2 프로세스 가스가 전달되는 동안 플라즈마를 생성하도록 턴 온된다. 변환 페이즈 동안, 흡착된 제 1 프로세스 가스는 기판 상에 막 층을 형성하도록 제 2 프로세스 가스와 반응할 수도 있다.
최종적으로, 변환 페이즈의 종료 후에, 증착 사이클은 후 RF 퍼지 페이즈로 진입할 수도 있다. 후 RF 퍼지 페이즈는 흡착된 전구체와 반응한 후에 기판을 둘러싸는 볼륨으로부터 탈착된 프로세스 가스들 및/또는 반응 부산물을 제거할 수도 있다. 이전의 퍼지 페이즈 동안 사용된 퍼지 가스와 유사하거나 상이한 퍼지 가스는 이전의 퍼지 페이즈의 방식과 유사한 방식으로 플라즈마에 의해 생성된 남은 프로세스 가스 및/또는 반응성 종의 샤워헤드, 샤워헤드 유입부, 및 프로세싱 챔버를 퍼지할 수도 있다.
본 발명자는 프로세스 가스들이 혼합될 때 원하지 않은 부산물들을 형성하도록 반응할 수도 있기 때문에, 이상적인 ALD 프로세스가 도즈 페이즈와 변환 페이즈 사이의 실질적인 퍼지와 함께, 기판에 의한 프로세스 가스들의 일관된 흡착을 돕도록, 도 2에 예시된 것과 유사한, 기판으로의 프로세스 가스들의 크리스프 (crisp) 온-및-오프 전달들을 가질 것임을 인식했다. 부가적으로, 본 발명자는 프로세스 가스들의 전달뿐만 아니라 프로세스 가스들의 퍼지가 기판 프로세싱 쓰루풋을 최대화하도록 가능한 빠르게 수행되어야 한다는 것을 인식했다.
도 3a는 샤워헤드 유입부를 가진 예시적인 프로세스 가스 분배 장치의 단면도를 도시한다. 도 3a의 프로세스 가스 분배 장치 (300) 는 샤워헤드 유입부 (302), 샤워헤드 배면플레이트 (304), 샤워헤드 대면플레이트 (320) (샤워헤드 배면플레이트 (304) 와 샤워헤드 대면플레이트 (320) 는 함께 어셈블될 때 샤워헤드를 함께 형성할 수도 있음), 및 샤워헤드 포트 어댑터 (326) 를 포함한다.
도 3a에 도시된 샤워헤드 유입부 (302) 는 제 1 프로세스 가스 유입부 (311), 환형 플레넘 (308), 방사상 플로우 경로들 (312A 및 312B), 상부 중심 통로 (310A), 하부 중심 통로 (310B), 라이저 경로들 (314A 내지 314C), 및 제 2 프로세스 가스 통로 (318) 를 포함한다. 상부 중심 통로 (310A) 는 RPS 밸브 (322) 에 유체적으로 연결될 수도 있다. RPS 밸브 (322) 는 상부 중심 통로 (310A) 내로의 RPS (도 3a에 도시되지 않음) 에 의해 생성된 반응성 종의 플로우를 조절할 수도 있다.
제 2 프로세스 가스는 제 2 프로세스 가스 통로 (318) 내로 흐를 수도 있다. 제 1 프로세스 가스와 제 2 프로세스 가스 양자는 결국 샤워헤드 배면플레이트 (304) 와 샤워헤드 대면플레이트 (320) 내의 플로우 경로들 내로 흐를 수도 있다. 300으로 나타낸 프로세스 가스 분배 장치에서, 제 1 프로세스 가스와 제 2 프로세스 가스는 프로세스 가스들 각각이 샤워헤드 대면플레이트 (320) 로부터 그리고 기판 프로세싱 챔버 내로 흐를 때까지 분리되어 유지될 수도 있다. 즉, 제 1 프로세스 가스는 프로세싱 챔버로의 일 플로우 경로를 갖지만, 제 2 프로세스 가스는 프로세싱 챔버로의 상이한 플로우 경로를 갖는다. 이러한 구성은 샤워헤드 또는 샤워헤드 유입부 내의 2개의 프로세스 가스들 사이의 원하지 않은 반응들을 방지한다.
프로세스 가스들을 분리된 상태로 유지하도록, 프로세스 가스 분배 장치 (300) 는 "듀얼 플레넘 샤워헤드"로 불릴 수도 있는 샤워헤드 구성 및 샤워헤드 배면플레이트를 포함한다. 듀얼 플레넘 샤워헤드는 기판 프로세싱 챔버 내로 2개 이상의 상이한 프로세스 가스들을 분배하도록 설계된다. 듀얼 플레넘 샤워헤드는 프로세스 가스들이 기판 프로세싱 챔버 내로 분배될 때까지 적어도 하나의 제 1 프로세스 가스와 제 2 프로세스 가스의 플로우가 분리된 상태로 유지되도록 샤워헤드 내에 2개의 개별의 플로우 경로들을 가진다.
샤워헤드 배면플레이트 (304) 는 제 1 샤워헤드 플레넘 (316) 을 포함한다. 제 1 샤워헤드 플레넘 (316) 은 라이저 경로들 (314A 내지 314C) 에 유체적으로 연결될 수도 있고 샤워헤드 대면플레이트 (320) 내의 제 1 프로세스 가스 샤워헤드 플로우 경로들 (330) 에 제 1 프로세스 가스를 분배할 수도 있다. 이어서 제 1 프로세스 가스는 기판에 의한 흡착을 위해 프로세싱 챔버 내로 흐를 수도 있다. 부가적으로, 샤워헤드 배면플레이트 (304) 와 샤워헤드 대면플레이트 (320) 는 또한 제 2 샤워헤드 플레넘 (324) 을 규정한다. 제 2 샤워헤드 플레넘 (324) 은 제 2 프로세스 가스 통로 (318) 에 유체적으로 연결될 수도 있고 샤워헤드 대면플레이트 (320) 내의 제 2 프로세스 가스 샤워헤드 플로우 경로들 (332) 에 제 2 프로세스 가스를 분배할 수도 있다. 따라서 제 2 프로세스 가스는 프로세싱 챔버 내로 흐를 수도 있다.
도 3b는 도 3a의 예시적인 프로세스 가스 분배 장치의 분해도를 도시한다. 샤워헤드 유입부 (302), 샤워헤드 배면플레이트 (304), 샤워헤드 대면플레이트 (320), 및 RPS 밸브 (322) 에 더하여, 도 3b는 샤워헤드 포트 어댑터 (326) 를 별도로 나타낸다. 도시된 구현예에서, 샤워헤드 유입부 (302) 는 2개의 개별의 피스들 (pieces), 파선으로 나타낸 구역 내에 도시되는 상부 샤워헤드 유입부 (302A) 및 하부 샤워헤드 유입부 (302B) 를 포함한다. 상부 샤워헤드 유입부 (302A) 와 하부 샤워헤드 유입부 (302B) 는 예를 들어, 이동식 패스너들 (도시됨), 납땜, 또는 다른 조인 기법들을 사용하여, 완전한 샤워헤드 유입부 (302) 로 어셈블될 수도 있다. 다른 구현예들은 하나의 피스, 또는 3개 이상의 피스들인 샤워헤드 유입부를 가질 수도 있다.
샤워헤드 포트 어댑터 (326) 는 밸브들에 의해 제어되고 그리고 샤워헤드 포트 어댑터 (326) 의 외부 가스 라인들 및 다양한 내부 플로우 경로들을 통해 흐를 수도 있는, 프로세스 가스들의 플로우를 샤워헤드 유입부 (302) 로 지향시킬 수도 있다. 프로세스 가스들은 다양한 설비 프로세스 가스 소스들로부터 샤워헤드 포트 어댑터 (326) 에 제공될 수도 있다. 특정한 구현예들에서, 프로세스 가스가 예를 들어, 전구체와 캐리어 가스와 같은 컴포넌트 유체들의 혼합물이라면, 프로세스 가스는 프로세스 가스가 샤워헤드 유입부 (302) 에 진입하기 전에 완전히 혼합될 수도 있다. 다른 구현예들에서, 컴포넌트 유체들의 혼합물인 프로세스 가스는 샤워헤드 포트 어댑터 (326) 로의 도입 시에 완전히 혼합되지 않을 수도 있고 대신에 샤워헤드 유입부 (302) 내에서 혼합될 수도 있다. 이러한 구현예들에서, 컴포넌트 유체들은 컴포넌트 유체들이 샤워헤드 유입부 (302) 내로 흐를 때까지 개별의 샤워헤드 포트 어댑터 (326) 내에 유지될 수도 있다. 도 3b에 도시된 구현예에서, 샤워헤드 포트 어댑터 (326) 는 제 1 프로세스 가스의 플로우를 샤워헤드 유입부 (302) 의 제 1 프로세스 가스 유입부 (311) 내로 지향시키고 제 2 프로세스 가스의 플로우를 제 2 프로세스 가스 통로 (318) 내로 지향시키고, 제 2 프로세스 가스 통로 (318) 는 도 3b에 도시된 바와 같이 제 2 프로세스 가스 통로들 (318A 및 318B) 로 세분화될 수도 있다. 제 2 프로세스 가스 통로 (318A) 는 본 명세서에서 제 2 유입부로서 또한 지칭될 수도 있고 제 2 프로세스 가스 통로 (318B) 는 본 명세서에서 보조 통로로서 또한 지칭될 수도 있다. 제 2 프로세스 가스 통로 (318A) 는 제 2 프로세스 가스 통로 (318B) 내로 제 2 프로세스 가스를 흘릴 수도 있다. 도시된 구현예에서, 제 2 프로세스 가스 통로 (318A) 는 제 2 프로세스 가스 통로 (318B) 의 일 단부에 위치된 다른 단부와 함께 환형 플레넘 (308) 의 외부의 통로의 일 단부를 가진다. 제 2 프로세스 가스 통로 (318B) 뿐만 아니라 중심 통로들 (310A 및 310B) 양자가 실질적으로 원통형이기 때문에, 도 3의 제 2 프로세스 가스 통로 (318B) 는 중심 통로들 (310A 및 310B) (도 3a에 도시됨) 와 실질적으로 동축으로 위치될 수도 있다.
제 1 프로세스 가스를 다시 참조하면, 제 1 프로세스 가스는 제 1 프로세스 가스 유입부 (311) 를 통해 샤워헤드 유입부 (302) 내로 흐를 수도 있다. 도 3에 도시된 제 1 프로세스 가스 유입부 (311) 는 단일의 프로세스 가스 유입부이지만, 샤워헤드 유입부 (302) 의 다른 구성들은 복수의 이러한 프로세스 가스 유입부들, 예를 들어, 이로 제한되지 않지만, 2개 내지 10개 또는 그 이상의 프로세스 가스 유입부들을 가질 수도 있다. 특정한 구현예들에서, 제 1 프로세스 가스는 캐리어 가스와 혼합된 전구체일 수도 있다. 적합한 캐리어 가스들은 이로 제한되지 않지만, 수소, 아르곤, 및 질소를 포함한다.
제 1 프로세스 가스가 제 1 프로세스 가스 유입부 (311) 를 통해 샤워헤드 유입부 (302) 에 진입한 후에, 이어서 제 1 프로세스 가스는 환형 플레넘 (308) 으로 흐를 수도 있다. 일부 구현예들에서, 환형 플레넘은 단지 부분적으로 환형이고, 예를 들어, C-형상이거나 그렇지 않으면 단지 중심 통로를 부분적으로 둘러쌀 수도 있다. 환형 플레넘은 또한 일부 구현예들에서, 정확히 환형이 아닐 수도 있고 - 예를 들어, 환형 플레넘은 중심 통로와 수직인 평면 내에 8각형의 단면을 가질 수도 있고, 따라서 축 대칭 대신에 방사상 대칭을 가진 환형 플레넘으로의 상승을 제공한다. 용어 "환형 플레넘"은 이러한 플레넘들이 정확한 형상을 갖는 것과 상관없이, 중심 통로 플로우 방향과 수직인 평면 내의 일부 또는 모든 중심 통로 둘레에서 확장하는 플레넘들을 지칭할 수도 있다는 것이 이해된다. 환형 플레넘은 원형의, 타원형의, 다각형의, 또는 다른 단면의 기하학적 구조를 가질 수도 있다. 방사상 통로들을 통해 중심 통로 내로 흐르기 전에 환형 플레넘 내로 도입되는 프로세스 가스들은, 프로세스 가스들이 중심 통로 내로 흐른다면 중심 통로 내에서 보다 고른 가스 분배를 발생시키는 환형 플레넘에서 혼합을 겪을 수도 있다.
상기에 나타낸 바와 같이, 이어서 프로세스 가스들은 방사상 플로우 경로들 (312A 내지 312H) 을 통해 환형 플레넘으로부터 그리고 중심 통로 내로 흐를 수도 있다. 방사상 플로우 경로들 (312A 내지 312H) 은 상부 샤워헤드 유입부 (302A) 와 하부 샤워헤드 유입부 (302B) 양자로부터 피처들에 의해 규정될 수도 있다. 또한, 도시된 구현예에서, 샤워헤드 유입부 (302) 의 중심 통로 및 환형 플레넘은 또한 상부 샤워헤드 유입부 (302A) 와 하부 샤워헤드 유입부 (302B) 양자로부터 피처들에 의해 규정된다. 멀티-피스 샤워헤드 유입부의 특정한 다른 구현예들에서, 샤워헤드 유입부 내의 플로우 경로들 및 플레넘들은 단지 하나의 피스의 샤워헤드 유입부의 피처들에 의해 규정될 수도 있다.
도시된 구현예에서, 방사상 플로우 경로들 (312A 내지 312H) 은 중심 통로에 환형 플레넘을 유체적으로 연결한다. 방사상 플로우 경로들 (312A 내지 312H) 은 중심 통로로의 제 1 프로세스 가스의 상대적으로 고른 분배를 허용할 수도 있고, 기판 상으로의 전구체의 고른 분배를 허용하는 균일하게 혼합된 전구체와 캐리어 가스 결합을 야기한다. 가능한 플로우 경로들의 기하학적 구조들의 다양한 구성들은 이 개시의 다른 부분들에서 상세히 기술된다.
방사상 플로우 경로들은, 방사상 플로우 경로들로부터 중심 통로 내로 나가는 제 1 프로세스 가스가 플로우 방향의 성분이 중심 통로로부터 출구 플로우 방향과 반대인 플로우 방향으로, 즉, 샤워헤드를 향해 이동하도록 비스듬히 놓일 수도 있다. 바꿔 말하면, 방사상 플로우 경로들은 방향의 적어도 하나의 성분이 샤워헤드 대면플레이트 (320) 로부터 멀어지는 방향으로 제 1 프로세스 가스를 흘릴 수도 있다.
다시 도 3a를 참조하면, 샤워헤드 유입부 (302) 에서, 중심 통로는 상부 중심 통로 (310A) 와 하부 중심 통로 (310B) 로 분할된다. 샤워헤드에 프로세스 가스를 공급하는 것에 더하여, 중심 통로는 또한 부착된 RPS에 의해 생성된 반응성 종을 공급하도록 사용될 수도 있다. RPS는 도 3a에 도시되지 않지만, 특정한 구현예들에서, 상부 중심 통로 (310A) 에 연결될 수도 있다. RPS에 의해 생성된 반응성 종의 플로우는 RPS 밸브 (322) 에 의해 제어될 수도 있다; RPS 밸브 (322) 가 폐쇄될 때, 밸브는 상부 중심 통로 (310A) 의 경계면을 형성할 수도 있다. RPS 밸브 (322) 가 개방될 때, RPS에 의해 생성된 반응성 종은 상부 중심 통로 (310A) 를 통해 하부 중심 통로 (310B) 로 그리고 이어서 라이저 경로들 (314A 내지 314C) 을 통해 샤워헤드로 흐를 수도 있다. 방사상 통로들에 의한 중심 통로 내로의 캐리어 가스의 플로우는 반응성 종이 환형 플레넘 (308) 내로 역류하는 것을 방지하도록 반응성 종의 플로우 동안 유지될 수도 있다.
제 1 프로세스 가스가 중심 통로에 진입한 후에, 제 1 프로세스 가스는 중심 통로로부터 제 1 샤워헤드 플레넘 (316) 으로 라이저 경로들 (314A 내지 314C) 을 통해 흐를 수도 있다. 절단도 3a 및 절단도 3b는 3개의 라이저 경로들 (314A 내지 314C) 을 도시하지만, 도면에 사용된 섹션 평면들에 기인하여 부가적인 3개의 라이저 경로들은 도 3a 및 도 3b에 도시되지 않는다. 다른 구현예들은 하나 또는 복수의 라이저 경로들을 포함할 수도 있다. 특정한 구현예들에서, 라이저 경로들과 같은 샤워헤드 유입부 (302) 내의 특정한 통로들은, 도시된 바와 같이 자유 라디칼들이 샤워헤드 유입부 (302) 를 통해 나아감에 따라, 반응성 종 내의 자유 라디칼들이 겪을 수도 있는 충돌들의 수를 감소시키도록 (예를 들어, 제 2 프로세스 가스 통로들 (318A 및 318B) 을 수용하도록 방사상 대칭 및 공간을 여전히 보존하면서) 가능한 한 크게 사이징될 수도 있고, 따라서 샤워헤드 유입부 (302) 의 벽들과의 잠재적인 자유 라디칼 재결합을 감소시킨다.
도 3a 및 도 3b에 도시된 구현예들의 라이저 경로들은 제 1 프로세스 가스로 하여금 제 2 프로세스 가스 통로들 (318A 및 318B) 둘레에 흐르게 하고, 제 1 프로세스 가스와 제 2 프로세스 가스가 분리되어 유지되게 한다. 이러한 일 구현예는 제 1 프로세스 가스와 제 2 프로세스 가스를 듀얼 플레넘 샤워헤드 내로 흘릴 수도 있다. 듀얼 플레넘 샤워헤드는 프로세스 가스들이 샤워헤드 대면플레이트를 통해 그리고 기판 프로세싱 챔버 내로 흐를 때까지 제 1 프로세스 가스와 제 2 프로세스 가스를 분리하여 유지할 수도 있다.
제 2 프로세스 가스는 플로우 경로 또는 복수의 플로우 경로들을 통해 제 2 프로세스 가스 통로 (318A) 내로 흐를 수도 있다. 프로세스 가스 분배 장치 (300) 에서, 제 2 프로세스 가스는 제 2 프로세스 가스 통로 (318A) 내로 샤워헤드 포트 어댑터 (326) 내의 플로우 경로를 통해 흐를 수도 있다. 제 2 프로세스 가스는 제 2 프로세스 가스 통로 (318A) 로부터 제 2 프로세스 가스 통로 (318B) 내로 흐를 수도 있다. 제 2 프로세스 가스 통로들 (318B) 은 샤워헤드 유입부 (302) 의 하단의 중심 위치에서 제 2 샤워헤드 플레넘 (324) 에 유체적으로 연결될 수도 있다. 특정한 구현예들에서, 샤워헤드의 관점으로부터 방사상으로 대칭인 방식으로 샤워헤드에 프로세스 가스를 전달하는 것은 샤워헤드 플레넘의 다양한 방사상 세그먼트들 (segments) 로의 프로세스 가스의 균일한 전달을 도울 수도 있다. 이러한 일 구성에서, 제 2 프로세스 가스 통로 (318B) 의 적어도 일부분은 적어도 2개의 라이저 경로들 사이에 위치될 수도 있다. 따라서, 복수의 라이저 경로들은 라이저 경로들이 대칭 방식으로 제 2 프로세스 가스 통로 (318B) 둘레에 배열되도록 요구될 수도 있다. 이러한 구성은 제 1 프로세스 가스가 라이저 경로들을 통해 방사상으로 대칭인 방식으로 제 1 플레넘에 전달되고 반면에 제 2 프로세스 가스가 또한 샤워헤드의 관점으로부터 방사상으로 대칭인 중심 위치로부터 제 2 플레넘에 전달되기 때문에 프로세스 가스 분배 장치 (300) 에 나타난다.
특정한 다른 구현예들에서, 샤워헤드 유입부 (302) 는 제 2 프로세스 가스를 흘리지 않을 수도 있고, 대신에, 단일의 프로세스 가스가 단일의 플레넘 샤워헤드 내로 흐를 수도 있다. 이러한 구현예들에서, 복수의 라이저 경로들이 요구되지 않을 수도 있고 가능하게는 중심 통로에 대해 유사한 단면의 단일의 큰 라이저 경로가 대신에 사용될 수도 있다.
도 4는 예시된 플로우 경로들과 함께 예시적인 샤워헤드 유입부의 단면도를 도시한다. 도 4의 예시적인 샤워헤드 유입부는 도 3a 및 도 3b의 샤워헤드 유입부 (302) 와 유사할 수도 있다.
도 4의 샤워헤드 유입부 (402) 는 2개의 컴포넌트들: 상부 샤워헤드 유입부 (402A) 및 하부 샤워헤드 유입부 (402B) 로 이루어질 수도 있다. 어셈블될 때, 상부 샤워헤드 유입부 (402A) 와 하부 샤워헤드 유입부 (402B) 는 환형 플레넘 (408) 뿐만 아니라 방사상 플로우 경로들 (412A 및 412B) 을 포함하는, 샤워헤드 유입부 (402) 의 플레넘들 및 특정한 플로우 경로들을 규정하도록 결합할 수도 있다. 2개 이상의 컴포넌트들로 이루어진 샤워헤드 유입부는 샤워헤드 유입부의 제작을 단순화할 수도 있다. 부가적으로, 피스 각각에서 사용된 재료들은 매니폴드 블록이 노출된다고 예상되는 증착 동안 사용된 임의의 전구체, 반응성 종, 반응물질, 퍼지 가스, 및 다른 화학물질들의 화학적 조성에 따라 가변될 수도 있다. 특정한 구현예들에서, 2개의 피스들은 2개의 상이한 재료들일 수도 있다. 예를 들어, 상부 샤워헤드 유입부 (402A) 는 알루미늄일 수도 있지만 하부 샤워헤드 유입부 (402B) 는 텅스텐일 수도 있다. 다른 구현예들에서, 매니폴드 블록은 일 블록, 예를 들어, 주조 컴포넌트일 수도 있거나, 매니폴드 블록을 형성하는 3 이상의 블록들이 있을 수도 있다.
샤워헤드 유입부 (402) 는 프로세스 가스 유입부 (411), 환형 플레넘 (408) (도면의 좌측과 우측 양자에 도시되지만 실제로 상호연결됨), 방사상 플로우 경로들 (412A 및 412B), 상부 중심 통로 (410A) 및 하부 중심 통로 (410B) 를 가진 중심 통로, 및 2개의 라이저 경로들 (414A 및 414B) (부가적인 이러한 라이저 경로들은 보다 고른 방식으로 프로세스 가스를 필요에 따라 분배하도록 포함될 수도 있음) 을 포함할 수도 있다. 부가적으로, RPS 밸브 (422) 는 도 4에 또한 도시된다. 도 4에 도시된 화살표들은 샤워헤드 유입부 (402) 를 통해 흐른 프로세스 가스의 플로우의 다양한 양태들을 나타낸다.
도 3에 대한 기술에서 기술된 바와 같이, 프로세스 가스는 프로세스 가스 유입부 (411) 를 통해 샤워헤드 유입부 (402) 에 진입할 수도 있다. 부가적으로, 퍼지 가스는 환형 플레넘 (408) 내로, 프로세스 가스 유입부 (411) 를 통해 또는 선택적인 개별의 유입부 (미도시) 를 통해 환형 플레넘 (408) 내로 동시에 (또는 별도로) 도입될 수도 있다. 프로세스 가스 유입부 (411) 를 통한 프로세스 가스 및/또는 퍼지 가스의 플로우는 화살표들 (430) 로 제시된다.
이어서 전구체 및/또는 퍼지 가스를 포함할 수도 있는 프로세스 가스는 프로세스 가스 유입부 (411) 로부터 프로세스 가스의 컴포넌트들이 만약에 있다면, 더 혼합될 수도 있는, 환형 플레넘 (408) 내로 흐를 수도 있다. 환형 플레넘 (408) 내의 캐리어 가스와 전구체의 혼합은 원형의 화살표들 (432) 로 제시된다. 환형 플레넘 내의 캐리어 가스와 전구체의 혼합은 확산을 통해, 난류를 통해, 또는 혼합의 다른 방식들을 통해 행해질 수도 있다. 특정한 구현예들에서, 샤워헤드 유입부 (402) 의 플로우 경로들 및 플레넘들을 통한 임의의 전구체, 퍼지 가스, 반응물질, 반응성 종, 또는 다른 프로세스 가스들의 플로우는 고 레이트의 속도일 수도 있다. 이러한 구현예들에서, 상기에 기술된 프로세스 가스들은 약 6 내지 10 Torr의 압력으로 흐를 수도 있다. 환형 플레넘은 고 레이트들의 플로우 속도로 균일한 혼합을 촉진하도록 적절하게 사이징될 수도 있다.
환형 플레넘 (408) 내의 혼합 후에, 이어서 프로세스 가스는 화살표들 (424) 로 제시된 바와 같이, 방사상 플로우 경로들 (412A 및 412B) 을 통해 흐를 수도 있다. 방사상 플로우 경로들은 이어서 프로세스 가스가 방향을 변화시키고 샤워헤드의 방향 (샤워헤드 유입부 (402) 의 유출부를 향해 - 도면에서, 이것은 "하향으로"의 방향임) 으로 흐르기 전에 상부 중심 통로 (410A) 내로 흐를 수도 있도록 "상향으로" 비스듬히 놓일 (도면 페이지의 배향에 대해; 이 각은 치수 "X"로 도시됨) 수도 있다. 이것은 샤워헤드 유입부 (402) 로부터 일반적인 플로우 방향의 반대 방향인 속도 컴포넌트를 발현하는 (develop), 상부 중심 통로 (410A) 내로 흐르는 가스를 발생시킨다. 상부 중심 통로 (410A) 는 중심 통로 내의 데드 레그일 수도 있다. 데드 레그는 기판 프로세싱에서의 사용을 위해 반응성 종의 플로우를 제어하도록 가스 분배 장치 내의 RPS 밸브 (426) 를 포함한 결과일 수도 있다. RPS 밸브 (426) 는 종의 도입을 제어할 수도 있다. RPS 밸브 (426) 를 개방하는 것은 중심 통로로 반응성 종을 도입할 수도 있고, 반면에 RPS 밸브 (426) 를 폐쇄하는 것은 중심 통로로의 반응성 종의 도입을 방지할 수도 있다. RPS 밸브 (426) 가 폐쇄될 때, 데드 레그는 RPS 밸브 (426) 와 방사상 플로우 경로들 (412A 및 412B) 사이의 공간에 생성될 수도 있다.
방사상 플로우 경로들을 "상향으로" 비스듬히 놓는 것은 퍼지 가스가 방향을 반전할 때 상부 중심 통로 (410A) 내의 가스에서 발생하는 (화살표들 (436) 로 도시된) 난류에 기인하여 퍼지 가스를 사용하여 상부 중심 통로 (410A) 의 보다 신속한 퍼지를 도울 수도 있다 (이 동일한 난류는 퍼지 가스와 반응물질 가스(들) 양자가 동시에 흐를 때 시나리오들 동안 캐리어 가스 내에 비말 동반되는 (entrained) 임의의 반응물질들의 보다 고른 혼합을 또한 촉진시킬 수도 있음). 따라서, 퍼지 페이즈 동안, 전구체와 같은 반응물질들의 플로우는 턴 오프될 수도 있고 (프로세스 가스 내에 사용된 캐리어 가스일 수도 있거나 상이한 가스일 수도 있는) 퍼지 가스는 캐리어 가스 플로우에 대해 상기에 논의된 것과 동일한 방식으로 샤워헤드 유입부 (402) 를 통해 흐를 수도 있고, 샤워헤드 유입부 (402) 내로부터 반응물질들을 퍼지한다. 방사상 플로우 경로들의 상향 각은 다양한 증착 프로세스들로부터 상부 중심 통로 (410A) 내에 남은 플라즈마로부터의 모든 나머지 반응물질 또는 반응성 종의 스위핑 (sweep) 을 돕도록 상부 중심 통로 (410A) 내로 상향으로 퍼지 가스를 지향시킬 수도 있다. 샤워헤드 유입부의 다양한 구현예들은 공칭 출구 플로우 방향 축으로부터 10 내지 80 도의 각들 (X) 로 방사상 플로우 경로들을 비스듬히 놓을 수도 있다. 특정한 구현예들에서, 다양한 방사상 플로우 경로들의 각들은 단일의 샤워헤드 유입부 내에서 가변할 수도 있다. 예를 들어, 샤워헤드 유입부는 공칭 출구 플로우 방향 축으로부터 60 도와 45 도 양자로 상향으로 비스듬히 놓인 방사상 플로우 경로들을 가질 수도 있다.
프로세스 가스 및/또는 퍼지 가스가 상부 중심 통로 (410A) 를 통해 흐른 후에, 이어서 프로세스 가스 및/또는 퍼지 가스는 다시 방사상 통로들 (412A 및 412B) 를 지나 하부 중심 통로 (410B) 내로 그리고 이어서 라이저 경로들 (414A 및 414B) 을 통해 샤워헤드 (미도시) 내로 흐를 수도 있다. 샤워헤드를 향하는 프로세스 가스 및/또는 퍼지 가스의 하향 플로우는 화살표들 (438) 로 제시된다.
다시 방사상 플로우 경로들을 참조하면, 도 5a는 샤워헤드 유입부의 예시적인 플로우 경로의 일 구성의 도면을 도시한다. 도 5a는 샤워헤드 유입부 (502), 환형 플레넘 (504), 방사상 플로우 경로들 (506A 내지 506D), 및 중심 통로 (508) 를 도시한다. 도 5a의 관점은 상부 중심 통로로부터 하부 중심 통로를 바로 하향으로 보는 것이다. 도 5a의 화살표들은 샤워헤드 유입부 (502) 내의 프로세스 가스의 플로우들의 예들을 도시한다.
도 5a에, 4개의 방사상 플로우 경로들, 방사상 플로우 경로들 (506A 내지 506D) 이 있다. 다양한 구현예들에서, 방사상 플로우 경로들 (506A 내지 506D) 은 다양한 상이한 기하학적 구조들일 수도 있다. 예를 들어, 방사상 플로우 경로들 (506A 내지 506D) 의 단면은 원형의, 타원형의, 반원형의, 직사각형의, 다각형의, 또는 다른 형상일 수도 있다. 샤워헤드 유입부를 형성하는 복수의 컴포넌트들이 있는 구현예들에서, 방사상 플로우 경로들은 1개, 2개, 또는 복수의 컴포넌트들에 의해 규정될 수도 있다. 예를 들어, 홈들은 방사상 플로우 경로들의 단면을 규정하도록, 2개의 컴포넌트들의 인접하거나 대면하는 표면들에서 절단될 수도 있거나, 단지 일 컴포넌트의 표면에서 절단될 수도 있다. 부가적으로, 방사상 플로우 경로들의 수는 가변할 수도 있다. 특정한 구현예들은 1 내지 20 또는 그 이상의 방사상 플로우 경로들을 포함할 수도 있다.
도 5b는 샤워헤드 유입부의 예시적인 플로우 경로의 또 다른 구성의 도면을 도시한다. 도 5b는 샤워헤드 유입부 (502), 환형 플레넘 (504), 방사상 플로우 경로 (510), 및 중심 통로 (508) 를 도시한다. 도 5b의 화살표들은 샤워헤드 유입부 (502) 내의 프로세스 가스의 플로우들의 예들을 도시한다. 이 예에서 방사상 플로우 경로 (510) 는 중심 통로의 전체 경계 둘레에서 확장하는 단일의 방사상 플로우 경로이다 (반면에 도 5a의 방사상 플로우 경로들은 방사상 대칭을 나타내고, 도 5b의 방사상 플로우 경로는 축 대칭을 나타냄).
방사상 플로우 경로들은 다양한 단면들을 가질 수도 있다. 도 5c는 방사상 플로우 경로들의 3개의 예시적인 단면들을 도시한다. 도 5c는 샤워헤드 유입부의 원형의 파선과 점선 (512) 을 따른 방사상 플로우 경로들의 다양한 예시적인 단면들을 도시한다. 3개의 예시적인 단면들은 평평하게 펼쳐진, 파선과 점선 (512) 의 원주 주위의 예시적인 방사상 플로우 경로 단면들이다. 단면들 (514, 516, 및 518) 에서, 크로스-해치된 (cross-hatched) 영역들은 재료를 가진 영역들을 나타내지만 크로스-해치된 영역들 사이의 개방 공간들은 프로세스 가스를 흘릴 수도 있는 개방 경로들을 나타낸다.
단면 (514) 은 별개의 방사상 플로우 경로들을 가진 단면이다. 대조적으로, 단면 (516) 은 원주를 따라 다양한 위치들에서 인접한 플로우 경로 내에 증가된 플로우 영역을 발생시키는 원주를 따라 분포된 복수의 채널들을 가진 원형의 점선 (512) 의 원주 주위의 인접한 플로우 경로를 가진 단면이다. 최종적으로, 단면 (518) 은 원형의 점선 (512) 의 원주 주위를 따른 균일한 인접한 플로우 경로의 단면이다.
상기에 상세히 기술된 기하학적 구조들에 더하여, 본 발명자는 전구체와 캐리어 가스를 보다 균일하게 혼합할 수도 있는 샤워헤드 유입부들의 다른 구성들을 고안했다. 도 6은 도 3에 도시된 예시적인 샤워헤드 유입부와 상이한 구성을 가진 또 다른 예시적인 샤워헤드 유입부의 도면을 도시한다. 샤워헤드 유입부 (600) 는 상부 샤워헤드 유입부 (602), 하부 샤워헤드 유입부 (604), 전구체 유입부 (608), 환형 플레넘 (610), 방사상 플로우 경로 (612), 및 중심 통로 (606) 를 포함한다.
흥미롭게, 방사상 플로우 경로 (612) 는 도 5b에 기술된 방사상 플로우 경로 (508) 의 구성과 유사하다. 방사상 플로우 경로 (612) 는 상부 샤워헤드 유입부 (602) 와 하부 샤워헤드 유입부 (604) 에 의해 규정될 수도 있고 환형 플레넘 (610) 의 전체 내경으로부터 중심 통로 (606) 내로 프로세스 가스를 흘릴 수도 있는 플로우 경로일 수도 있다. 방사상 플로우 경로 (612) 는 작은 상향 각으로 있을 수도 있고, 예를 들어, 상부 및 하부 샤워헤드 유입부들 (602 및 604) 각각의 2개의 대면하지만, 오프셋되고, 원추형인 표면들 사이에 형성될 수도 있다. 부가적으로, 특정한 다른 구현예들에서, 상부 샤워헤드 유입부 상의 방사상 플로우 경로의 상단을 규정하는 표면은 하부 샤워헤드 유입부 상의 방사상 플로우 경로의 하단을 규정하는 표면의 콘 각 (cone angle) 과 상이한 콘 각을 가질 수도 있다. 이 예에서, 방사상 플로우 경로 (612) 의 상단과 하단을 규정하는 컷 아웃 (cut-out) 은 동일한 각일 수도 있다. 부가적으로, 샤워헤드 유입부 (600) 는 제 1 프로세스 가스만을 흘리도록 구성될 수도 있고, 따라서 샤워헤드 유입부 (600) 는 제 2 프로세스 가스 통로를 포함하지 않는다.
상기에 기술된 샤워헤드 유입부들은 기판 프로세싱 동작들에서 사용될 수도 있다. 도 7은 샤워헤드 유입부를 사용하는 예시적인 기판 프로세싱 시퀀스를 상세히 나타내는 흐름도를 도시한다. 일부 구현예들에서, 제어기는 기판들의 프로세싱을 제어하도록, 시스템의 일부로서 사용될 수도 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수도 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 (예를 들어, 휘발성 또는 비휘발성 메모리에 저장된) 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 사이에 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 7에 기술된 시퀀스는 기판들을 프로세싱하도록 도 3a 및 도 3b에 기술된 샤워헤드 유입부들을 사용할 수도 있다. 시퀀스는 제 1 프로세스 가스 유입부 내로의 제 1 프로세스 가스의 플로우가 시작되는 블록 702에서 시작할 수도 있다. 이어서 제 1 프로세스 가스는 기판에 의한 흡착을 위해 환형 플레넘, 방사상 플로우 경로들, 중심 통로, 라이저 경로들, 및 제 1 프로세스 가스 샤워헤드 플로우 경로들을 통해 기판 프로세싱 챔버 내로 흐를 수도 있다. 블록 702는 도 2에 약술된 바와 같은 도즈 페이즈의 시작에 대응할 수도 있다.
블록 702 후에, 이어서 시퀀스는 블록 704로 진행될 수도 있다. 블록 704에서, 제 1 프로세스 가스 유입부 내로의 제 1 프로세스 가스의 플로우가 정지된다. 블록 704는 도 2에 약술된 바와 같은 도즈 페이즈의 종료에 대응할 수도 있다. 특정한 구현예들에서, 블록 704는 전구체의 플로우를 정지할 수도 있지만, 퍼지 또는 캐리어 가스는 전구체의 샤워헤드 및 샤워헤드 유입부 내에서 플로우 경로들을 퍼지할 뿐만 아니라 프로세스 가스들의 역류 (backwash) 를 방지하도록 제 1 프로세스 가스 유입부 내로의 플로우를 계속할 수도 있다.
블록 704 후에, 이어서 시퀀스는 블록 706으로 진행될 수도 있다. 블록 706에서, 제 2 프로세스 가스 통로 내로의 제 2 프로세스 가스의 플로우가 시작된다. 제 2 프로세스 가스는 제 2 프로세스 가스 통로 및 제 2 프로세스 가스 샤워헤드 플로우 경로들을 통해 기판 프로세싱 챔버 내로 흐를 수도 있다. 제 2 프로세스 가스는 기판에 의해 흡착된 제 1 프로세스 가스와 반응할 수도 있다. 블록 704는 도 2에 약술된 바와 같은 변환 페이즈의 반응물질 가스의 전달의 시작에 대응할 수도 있다.
블록 706 후에, 이어서 시퀀스는 블록 708로 진행될 수도 있다. 블록 708에서, 제 2 프로세스 가스 통로 내로의 제 2 프로세스 가스의 플로우는 정지된다. 블록 708은 도 2에 약술된 바와 같은 변환 페이즈의 반응물질 가스의 전달의 종료에 대응할 수도 있다. 필요하면, 또 다른 퍼지 동작이 제 2 프로세스 가스 플로우가 정지된 후에 수행될 수도 있다.
블록 708 후에, 블록 710에서 반응성 종이 중심 통로 내로 도입될 수도 있다. 반응성 종은 세정 목적들을 위한 것일 수도 있고 샤워헤드 유입부의 라이저 경로들 및 중심 통로를 통해 그리고 샤워헤드를 통해 기판 프로세싱 챔버 내로 흐를 수도 있다. 반응성 종의 플로우는 RPS 밸브에 의해 제어될 수도 있고 반응성 종은 RPS 밸브를 개방함으로써 도입될 수도 있다. 특정한 구현예들은 오직 세정 시퀀스들 동안 샤워헤드 유입부 내로 반응성 종을 도입할 수도 있지만 다른 구현예들은 증착 단계 동안 샤워헤드 유입부 내로 반응성 종을 도입할 수도 있다. 일부 구현예들에서, 제 1 프로세스 가스의 퍼지 또는 캐리어 가스 컴포넌트와 같은, 퍼지 또는 캐리어 가스는, 반응성 종이 도입될 때 계속해서 흐를 수도 있다. 다른 구현예들에서, 퍼지 또는 캐리어 가스는 반응성 종이 도입될 때 흐르지 않을 수도 있다.
블록 710에서, 반응성 종 플로우가 수행된 후에, 이어서 RPS 밸브는 블록 712에서 중심 통로 내로의 반응성 종의 플로우를 차단하도록 (shut off) 폐쇄될 수도 있다. RPS 밸브의 폐쇄 후에, 퍼지 가스는 샤워헤드 유입부 및 샤워헤드 내의 모든 나머지 반응성 종을 퍼지하도록 샤워헤드 유입부를 통해 흐를 수도 있다.
특정한 구현예들에서, 블록들 (702 내지 708) 은 기판들을 프로세싱하도록 복수 단계들로 반복되는 증착 사이클의 일부일 수도 있다. 설정된 수의 증착 사이클들이 수행된 후에, 이어서 블록들 (710 및 712) 은 기판 프로세싱 장치를 세정하도록 수행될 수도 있다. 따라서, 도 7은 블록들 (710 및 712) 이 수행되기 전에 블록들 (702 내지 708) 이 N 사이클들 동안 선택적으로 수행될 수 있다는 것을 나타내도록 파선을 나타낸다.
본 명세서에 기술된 장비는 반도체 프로세싱 툴 내에서 장비의 다양한 다른 피스들, 예를 들어, 반도체 프로세스 챔버와 연결될 수도 있다. 통상적으로, 본 명세서에 기술된 것과 같은 샤워헤드 유입부를 사용하는 반도체 프로세싱 툴은 프로세싱 툴의 다양한 엘리먼트들과 통신적으로 접촉하는 제어기와 연결될 수도 있다. 이러한 제어기는 하나 이상의 프로세서들, 및 반도체 프로세싱 툴뿐만 아니라 임의의 프로세스 가스 소스들을 제어하기 위한 인스트럭션들을 저장하는 메모리를 포함할 수도 있다. 인스트럭션들은 예를 들어, 프로세스 가스들 및/또는 반응성 종의 플로우를 제어할 수도 있는 임의의 밸브들뿐만 아니라 반도체 프로세싱 동안 사용된 다양한 프로세스 가스들 및/또는 반응성 종의 플로우 레이트들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 상기에 논의된 바와 같이, 제어기는 장치가 본 개시를 따른 방법을 수행하기 위해 이러한 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 인스트럭션들을 저장하기 위한 하나 이상의 메모리 디바이스들을 통상적으로 포함할 수도 있다. 본 개시를 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 시스템 제어기에 커플링될 수도 있다.
상기에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작, 뿐만 아니라 건식 에칭, 습식 에칭, 유전체 증착, 원자층 증착/에칭, 및 다른 반도체 제작 프로세스들을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 인에이블되는 다음의 단계들 중 일부 또는 모두를 포함하고, 이 단계들은: (1) 스핀 온 툴 또는 스프레이 온 툴을 사용하여, 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계; (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 레지스트 패턴을 아래에 놓인 막 또는 워크피스에 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 단계를 포함한다. 다른 반도체 제작 프로세스들은 다른 프로세스 단계들을 후속할 수도 있다.
임의의 특정한 기술된 구현예들의 피처들이 서로 호환되지 않게 명시적으로 식별되거나 주변 문맥이 이들이 상호 배타적이고 상보적이고/이거나 지원성 의미로 용이하게 조합가능하지 않다는 것을 암시하지 않는 한, 본 개시의 전체는 이들 상보적인 구현예들의 특정한 피처들이 하나 이상의 종합적이지만, 약간 상이한 기술적 솔루션들을 제공하기 위해 선택적으로 결합될 수 있다는 것이 고려되고 구상된다는 것이 또한 이해될 것이다. 따라서, 상기 기술은 단지 예로서 주어졌고 상세들에 대한 수정들이 본 개시의 범위 내에서 이루어질 수 있다는 것이 더 이해될 것이다.

Claims (21)

  1. 반도체 프로세싱 가스 분배 시스템에 프로세스 가스들을 공급하기 위한 장치에 있어서,
    상기 장치는,
    제 1 방향으로 가스 분배 시스템 내로 가스들을 흘림으로써, 상기 가스 분배 시스템으로 중심 통로 내로 도입된 상기 가스들을 흘리도록 구성된 상기 중심 통로;
    환형 제 1 플레넘;
    상기 제 1 플레넘에 유체적으로 연결된 제 1 유입부; 및
    상기 제 1 플레넘과 상기 중심 통로를 유체적으로 연결하는 적어도 하나의 방사상 플로우 경로로서, 상기 방사상 플로우 경로 각각은 상기 제 1 플레넘으로부터 그리고 상기 중심 통로 내로 상기 제 1 방향에 대해 방향이 반대인 성분을 갖는 방향을 따라 제 1 프로세스 가스를 흘리도록 비스듬히 놓이고 (angle), 상기 제 1 프로세스 가스는 상기 제 1 유입부를 통해 상기 제 1 플레넘에 제공되는, 상기 적어도 하나의 방사상 플로우 경로를 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 중심 통로는 제 1 단부와 제 2 단부를 더 포함하고,
    상기 제 1 단부는 상기 가스 분배 시스템과 연결되도록 구성된 상기 장치의 일부분과 상기 방사상 플로우 경로 사이에 위치되고,
    상기 제 2 단부는 상기 방사상 플로우 경로가 상기 제 2 단부와 상기 제 1 단부 사이에 개재되도록 위치되고,
    상기 제 2 단부는 제 2 프로세스 가스를 위한 제 2 유입부를 포함하고, 상기 제 2 유입부는 상기 제 2 단부로부터 상기 제 1 단부로 상기 제 2 프로세스 가스를 흘리도록 구성되고, 그리고
    상기 중심 통로의 적어도 일부분은 상기 방사상 플로우 경로가 상기 중심 통로에 연결되는 영역과 상기 제 1 단부 사이에 있는, 프로세스 가스들을 공급하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 제 2 단부는 상기 제 2 유입부를 통해 그리고 상기 중심 통로 내로의 상기 제 2 프로세스 가스의 플로우를 조절하도록 구성된 밸브 컴포넌트를 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  4. 제 2 항에 있어서,
    상기 중심 통로는 원통 형상이고 그리고 상기 방사상 플로우 경로가 상기 중심 통로와 이어지는 (join) 영역과 상기 제 2 단부 사이의 상기 중심 통로의 부분은 길이에 있어서 적어도 하나의 중심 통로 직경인, 프로세스 가스들을 공급하기 위한 장치.
  5. 제 2 항에 있어서,
    상기 제 2 프로세스 가스는 반응성 종인, 프로세스 가스들을 공급하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 적어도 하나의 방사상 플로우 경로는 상기 방사상 플로우 경로 각각이 상기 제 1 방향으로부터 100 내지 170 도의 각으로 플로우 방향을 따라 상기 제 1 프로세스 가스를 지향시키도록 비스듬히 놓이는, 프로세스 가스들을 공급하기 위한 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 방사상 플로우 경로는 각각이 길이와 단면 플로우 면적에서 동일한 복수의 방사상 통로들을 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  8. 제 7 항에 있어서,
    상기 복수의 방사상 통로들은 균등하게-이격된 방식으로 상기 중심 통로 둘레에 분포되는, 프로세스 가스들을 공급하기 위한 장치.
  9. 제 8 항에 있어서,
    상기 복수의 방사상 통로들은 상기 중심 통로의 적어도 일부분 내에 상기 제 1 프로세스 가스의 난류 (turbulent flow) 를 생성하는 방식으로 상기 중심 통로 내로 상기 제 1 프로세스 가스를 흘리도록 분포되는, 프로세스 가스들을 공급하기 위한 장치.
  10. 제 9 항에 있어서,
    상기 중심 통로는 제 1 단부와 제 2 단부를 더 포함하고,
    상기 제 1 단부는 상기 가스 분배 시스템과 연결되도록 구성된 상기 장치의 일부분과 상기 방사상 플로우 경로 사이에 위치되고,
    상기 제 2 단부는 상기 방사상 플로우 경로가 상기 제 2 단부와 상기 제 1 단부 사이에 개재되도록 위치되고,
    상기 제 1 프로세스 가스의 상기 난류의 적어도 일부분은 상기 방사상 플로우 경로가 상기 중심 통로에 연결되는 영역과 상기 제 2 단부 사이에서 생성되는, 프로세스 가스들을 공급하기 위한 장치.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 방사상 플로우 경로는,
    복수의 방사상 통로들이 적어도 제 1 방사상 통로와 제 2 방사상 통로를 포함하고; 그리고
    상기 제 1 방사상 통로와 상기 제 2 방사상 통로가 상이한 각들로 비스듬히 놓이도록, 상기 복수의 방사상 통로들을 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 방사상 플로우 경로는 단일의 방사상 통로를 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 중심 통로로부터 유체적으로 분리되고 상기 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로; 및
    상기 보조 통로에 유체적으로 연결된 제 2 유입부를 더 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 가스 분배 시스템을 더 포함하고,
    상기 가스 분배 시스템은 상기 중심 통로에 유체적으로 연결된 가스 분배 샤워헤드인, 프로세스 가스들을 공급하기 위한 장치.
  15. 제 14 항에 있어서,
    상기 중심 통로로부터 유체적으로 분리되고 상기 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로 및 상기 보조 통로에 유체적으로 연결된 제 2 유입부를 더 포함하고,
    상기 가스 분배 샤워헤드는 제 1 분배 플레넘과 제 2 분배 플레넘을 포함하고, 상기 제 1 분배 플레넘과 상기 제 2 분배 플레넘은 상기 가스 분배 샤워헤드 내에서 서로로부터 유체적으로 분리되고,
    상기 중심 통로는 상기 가스 분배 샤워헤드의 상기 제 1 분배 플레넘에 유체적으로 연결되고, 그리고
    상기 보조 통로는 상기 가스 분배 샤워헤드의 상기 제 2 분배 플레넘에 유체적으로 연결되는, 프로세스 가스들을 공급하기 위한 장치.
  16. 제 15 항에 있어서,
    상기 중심 통로와 상기 제 1 분배 플레넘에 유체적으로 연결되고, 상기 보조 통로에서 중심에 있고, 그리고 상기 보조 통로로부터 유체적으로 분리된, 방사상 패턴의 복수의 라이저 (riser) 통로들을 더 포함하는, 프로세스 가스들을 공급하기 위한 장치.
  17. 제 16 항에 있어서,
    상기 보조 통로의 적어도 일부분은 적어도 2개의 라이저 통로들 사이에 있는, 프로세스 가스들을 공급하기 위한 장치.
  18. 반도체 웨이퍼들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    샤워헤드 유입부의 제 1 유입부에 유체적으로 연결되고 상기 제 1 유입부 내로 제 1 프로세스 가스를 흘리도록 구성된 제 1 프로세스 가스 밸브;
    상기 제 1 유입부에 유체적으로 연결되고 상기 제 1 유입부 내로 캐리어 가스를 흘리도록 구성된 캐리어 가스 밸브;
    상기 샤워헤드 유입부의 중심 통로에 유체적으로 연결되고 상기 중심 통로 내로 제 2 프로세스 가스를 흘리도록 구성된 제 2 프로세스 가스 밸브;
    반도체 프로세싱 가스 분배 시스템;
    상기 반도체 프로세싱 가스 분배 시스템에 가스들을 공급하도록 구성된 상기 샤워헤드 유입부로서,
    제 1 방향으로 상기 가스 분배 시스템 내로 상기 가스들을 흘림으로써 상기 가스 분배 시스템으로 중심 통로 내로 도입된 가스들을 흘리도록 구성된 상기 중심 통로,
    환형 제 1 플레넘,
    상기 제 1 플레넘에 유체적으로 연결된 상기 제 1 유입부, 및
    상기 제 1 플레넘과 상기 중심 통로를 유체적으로 연결하는 적어도 하나의 방사상 플로우 경로로서, 상기 방사상 플로우 경로 각각은 상기 제 1 플레넘으로부터 그리고 상기 중심 통로 내로 상기 제 1 방향에 대해 방향이 반대인 성분을 갖는 방향을 따라 상기 제 1 프로세스 가스 및/또는 상기 캐리어 가스를 흘리도록 비스듬히 놓이고, 상기 제 1 프로세스 가스 및/또는 상기 캐리어 가스는 상기 제 1 유입부를 통해 상기 제 1 플레넘에 제공되는, 상기 적어도 하나의 방사상 플로우 경로를 포함하는, 상기 샤워헤드 유입부; 및
    하나 이상의 프로세서들 및 메모리를 가진 제어기를 포함하고,
    상기 하나 이상의 프로세서들, 상기 메모리, 상기 제 1 프로세스 가스 밸브, 및 상기 제 2 프로세스 가스 밸브는 통신 가능하게 연결되고,
    상기 메모리는,
    (i) 상기 캐리어 가스 밸브로 하여금 상기 제 1 유입부 내로 상기 캐리어 가스를 흘리게 하는 프로그램 인스트럭션;
    (ii) 상기 제 1 프로세스 가스 밸브로 하여금 상기 제 1 유입부 내로 상기 제 1 프로세스 가스를 흘리게 하는 프로그램 인스트럭션; 및
    (iii) 상기 프로그램 인스트럭션 (ii) 후에, 상기 제 1 프로세스 가스 밸브로 하여금 상기 제 1 유입부 내로의 상기 제 1 프로세스 가스의 플로우를 정지하게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 프로그램 인스트럭션들을 저장하는, 반도체 웨이퍼들을 프로세싱하기 위한 장치.
  19. 제 18 항에 있어서,
    상기 중심 통로는 제 1 단부와 제 2 단부를 더 포함하고,
    상기 제 1 단부는 상기 가스 분배 시스템과 연결되도록 구성된 상기 장치의 일부분과 상기 방사상 플로우 경로 사이에 위치되고,
    상기 제 2 단부는 상기 방사상 플로우 경로가 상기 제 2 단부와 상기 제 1 단부 사이에 개재되도록 위치되고,
    상기 제 2 단부는 상기 제 2 프로세스 가스 밸브와 연결되도록 구성되고 상기 제 2 프로세스 가스를 위한 제 2 유입부를 포함하고, 상기 제 2 유입부는 상기 제 2 단부로부터 상기 제 1 단부로 상기 제 2 프로세스 가스를 흘리도록 구성되고, 그리고
    상기 중심 통로의 적어도 일부분은 상기 방사상 플로우 경로가 상기 중심 통로에 연결되는 영역과 상기 제 1 단부 사이에 있는, 반도체 웨이퍼들을 프로세싱하기 위한 장치.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 메모리는,
    (iv) 상기 프로그램 인스트럭션 (iii) 후에, 상기 제 2 프로세스 가스 밸브로 하여금 상기 중심 통로 내로 상기 제 2 프로세스 가스를 흘리게 하는 프로그램 인스트럭션; 및
    (v) 상기 프로그램 인스트럭션 (iv) 후에, 상기 제 2 프로세스 가스 밸브로 하여금 상기 중심 통로 내로 상기 제 2 프로세스 가스의 플로우를 정지하게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 추가의 프로그램 인스트럭션들을 저장하는, 반도체 웨이퍼들을 프로세싱하기 위한 장치.
  21. 제 18 항 또는 제 19 항에 있어서,
    제 3 프로세스 가스 밸브를 더 포함하고,
    상기 샤워헤드 유입부는 상기 중심 통로로부터 유체적으로 분리되고 상기 제 1 방향으로 제 3 프로세스 가스를 흘리도록 구성된 보조 통로 및 상기 보조 통로와 상기 제 3 프로세스 가스 밸브에 유체적으로 연결된 제 2 유입부를 더 포함하고,
    상기 제 3 프로세스 가스 밸브는 상기 제 2 유입부로의 상기 제 3 프로세스 가스의 플로우를 조절하도록 구성되고,
    상기 가스 분배 시스템은 제 1 분배 플레넘과 제 2 분배 플레넘을 포함하고, 상기 제 1 분배 플레넘과 상기 제 2 분배 플레넘은 상기 가스 분배 시스템 내에서 서로로부터 유체적으로 분리되고,
    상기 중심 통로는 상기 가스 분배 시스템의 상기 제 1 분배 플레넘에 유체적으로 연결되고,
    상기 보조 통로는 상기 가스 분배 시스템의 상기 제 2 분배 플레넘에 유체적으로 연결되고, 그리고
    상기 메모리는,
    (iv) 상기 프로그램 인스트럭션 (iii) 후에, 상기 제 3 프로세스 가스 밸브로 하여금 상기 제 2 유입부 내로 상기 제 3 프로세스 가스를 흘리게 하는 프로그램 인스트럭션; 및
    (v) 상기 프로그램 인스트럭션 (iv) 후에, 상기 제 3 프로세스 가스 밸브로 하여금 상기 제 2 유입부 내로의 상기 제 3 프로세스 가스의 플로우를 정지하게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 추가의 프로그램 인스트럭션을 저장하는, 반도체 웨이퍼들을 프로세싱하기 위한 장치.
KR1020150169144A 2014-12-10 2015-11-30 효과적인 혼합 및 퍼징을 위한 유입부 KR102484362B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/566,523 US9951421B2 (en) 2014-12-10 2014-12-10 Inlet for effective mixing and purging
US14/566,523 2014-12-10

Publications (2)

Publication Number Publication Date
KR20160070683A KR20160070683A (ko) 2016-06-20
KR102484362B1 true KR102484362B1 (ko) 2023-01-02

Family

ID=56110585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150169144A KR102484362B1 (ko) 2014-12-10 2015-11-30 효과적인 혼합 및 퍼징을 위한 유입부

Country Status (3)

Country Link
US (1) US9951421B2 (ko)
KR (1) KR102484362B1 (ko)
TW (1) TWI689615B (ko)

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
KR20210027268A (ko) * 2018-07-31 2021-03-10 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버를 위한 가스 박스
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11118262B2 (en) * 2018-10-11 2021-09-14 Asm Ip Holding B.V. Substrate processing apparatus having a gas-mixing manifold
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP2022515081A (ja) * 2018-12-20 2022-02-17 アプライド マテリアルズ インコーポレイテッド 処理チャンバの処理空間に改善されたガス流を供給するための方法および装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
TWI768838B (zh) * 2019-04-09 2022-06-21 美商應用材料股份有限公司 半導體處理系統部件
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11862475B2 (en) 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CN112331588A (zh) * 2020-10-26 2021-02-05 北京北方华创微电子装备有限公司 半导体设备中的卡盘组件及半导体工艺设备
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114215984A (zh) * 2021-12-14 2022-03-22 拓荆科技股份有限公司 一种半导体设备及其气体输送结构
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080268171A1 (en) 2005-11-04 2008-10-30 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR100919538B1 (ko) 2005-03-07 2009-10-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버용 가스 배플 및 가스 분배기
KR101804597B1 (ko) 2012-09-28 2017-12-04 도쿄엘렉트론가부시키가이샤 성막 장치

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
TW322602B (ko) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR20030001695A (ko) * 2001-06-26 2003-01-08 삼성전자 주식회사 고밀도 플라즈마 화학기상증착 챔버의 세정장치 및 그 방법
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
EP2545197B1 (en) * 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
KR101338931B1 (ko) * 2012-05-21 2013-12-09 주식회사 유니텍스 유기 기상 증착 장치
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100919538B1 (ko) 2005-03-07 2009-10-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버용 가스 배플 및 가스 분배기
US20080268171A1 (en) 2005-11-04 2008-10-30 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR101804597B1 (ko) 2012-09-28 2017-12-04 도쿄엘렉트론가부시키가이샤 성막 장치

Also Published As

Publication number Publication date
US20160168705A1 (en) 2016-06-16
US9951421B2 (en) 2018-04-24
TW201643268A (zh) 2016-12-16
KR20160070683A (ko) 2016-06-20
TWI689615B (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102294827B1 (ko) 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102626480B1 (ko) 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거
US20150315706A1 (en) Low volume showerhead with porous baffle
KR102556145B1 (ko) 막 균일성 개선을 위한 하드웨어 및 프로세스
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
WO2020112923A1 (en) Throughput improvement with interval conditioning purging
US20220181128A1 (en) Apparatus for cleaning plasma chambers
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant