KR102626480B1 - 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거 - Google Patents

재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거 Download PDF

Info

Publication number
KR102626480B1
KR102626480B1 KR1020220154657A KR20220154657A KR102626480B1 KR 102626480 B1 KR102626480 B1 KR 102626480B1 KR 1020220154657 A KR1020220154657 A KR 1020220154657A KR 20220154657 A KR20220154657 A KR 20220154657A KR 102626480 B1 KR102626480 B1 KR 102626480B1
Authority
KR
South Korea
Prior art keywords
gas channel
gas
valve
inlet
channel
Prior art date
Application number
KR1020220154657A
Other languages
English (en)
Other versions
KR20220159928A (ko
Inventor
카를 리저
상러트 상플렁
샹카 스와미나단
프랭크 파스콸레
끌로에 발다세로니
테드 민셜
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220159928A publication Critical patent/KR20220159928A/ko
Application granted granted Critical
Publication of KR102626480B1 publication Critical patent/KR102626480B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • B08B9/032Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing
    • B08B9/0321Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing using pressurised, pulsating or purging fluid
    • B08B9/0328Cleaning the internal surfaces; Removal of blockages by the mechanical action of a moving fluid, e.g. by flushing using pressurised, pulsating or purging fluid by purging the pipe with a gas or a mixture of gas and liquid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K11/00Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves
    • F16K11/02Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit
    • F16K11/022Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit comprising a deformable member
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/02Construction of housing; Use of materials therefor of lift valves
    • F16K27/0236Diaphragm cut-off apparatus
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/123Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm the seat being formed on the bottom of the fluid line
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • F16K7/17Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat the diaphragm being actuated by fluid pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • F16K7/16Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat the diaphragm being mechanically actuated, e.g. by screw-spindle or cam
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/20Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with a compressible solid closure member
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87788With valve or movable deflector at junction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87877Single inlet with multiple distinctly valved outlets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • Y10T137/87885Sectional block structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87917Flow path with serial valves and/or closures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Fluid Mechanics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Automation & Control Theory (AREA)

Abstract

기판 프로세싱 시스템을 위한 가스 전달 시스템은 제 1 밸브 및 제 2 밸브, 제 1 가스 채널, 및 실린더를 포함한다. 제 1 밸브는 제 1 유입부 및 제 1 유출부를 포함한다. 제 1 유입부는 기판 프로세싱 시스템의 프로세싱 챔버와 유체로 연통한다. 제 2 밸브는 제 2 유입부 및 제 2 유출부를 포함한다. 실린더는 제 1 단부 및 제 2 단부를 갖는 제 2 가스 채널을 규정한다. 실린더는 실린더와 제 1 가스 채널이 집합적으로 플로우 채널을 규정하도록 제 1 가스 채널 내에 적어도 부분적으로 배치된다. 플로우 채널은 제 2 가스 채널의 제 1 단부 및 제 1 유입부와 유체로 연통한다. 제 3 가스 채널은 제 2 가스 채널의 제 2 단부 및 제 2 유입부와 유체로 연통한다.

Description

재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거{VALVE MANIFOLD DEADLEG ELIMINATION VIA REENTRANT FLOW PATH}
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 기판 프로세싱 시스템으로의 가스 전달에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
증착 및/또는 에칭을 수행하기 위한 기판 프로세싱 시스템들은 통상적으로 페데스탈을 갖는 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 프로세싱 동안 페데스탈 상에 배치될 수도 있다. CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 프로세스들에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은 기판 상에 막을 증착하거나 기판을 에칭하기 위해 프로세싱 챔버 내로 도입될 수도 있다. 일부 기판 프로세싱 시스템들에서, RF (radio frequency) 플라즈마는 화학 반응들을 활성화하도록 사용될 수도 있다. 플라즈마를 사용하는 CVD 및 ALD 시스템들은 PECVD (plasma-enhanced CVD) 및 PEALD (plasma-enhanced ALD) 이라고 한다.
거의 모든 증착 프로세스의 일 결과는 원치 않는 증착이 프로세싱 챔버의 내부 표면들 상에서 발생하고 막 잔여물을 생성하는 것이다. 막 잔여물은 시간에 따라 구축되고 기판 프로세싱 동안 프로세싱 챔버 내에서 용해되거나 분리되거나 그렇치 않으면 확산될 수도 있고, 이는 디펙트들을 증가시킨다. 이것이 발생하는 것을 방지하기 위해, 막 잔여물은 후속하는 오염을 방지하기 위해 RPC (remote plasma clean) 가스를 사용하여 주기적으로 제거된다.
이제 도 1을 참조하면, 가스 전달 어셈블리 (10) 는 유입부 및 유출부를 갖는 제 1 가스 채널 (24) 을 규정하는 바디 (21) 를 갖는 엘보우 커넥터 (20) 를 포함하는 것으로 도시된다. 바디 (21) 는 또한 유입부 및 유출부를 갖는 제 2 가스 채널 (25) 을 규정한다. 제 1 가스 채널 (24) 의 유출부는 (접합부 (26) 에서) 제 2 가스 채널 (25) 의 중간 부분에 연결된다. 사용시, 기화된 전구체 가스와 같은 가스, 퍼지 가스 및/또는 다른 가스들이 제 1 가스 채널 (24) 의 유입부로부터 접합부 (26) 로 그리고 접합부 (26) 로부터 제 2 가스 채널 (25) 의 하부 부분 (경로 (27) 로 도시됨) 을 통해 샤워헤드 (미도시) 와 같은 가스 분배 디바이스로 흐른다.
제 2 가스 채널 (25) 의 유입부는 바디 (37) 를 포함하는 RPC 밸브 어셈블리 (36) 에 연결된다. 밸브 부재 (38) 는 바디 (37) 의 밸브 챔버 (39) 내에 배치된다. 세정 동안, RPC 가스는 42에서 커넥터 (50) 를 통해 밸브 챔버 (39) 의 유입부 개구 (54) 로 공급된다. 밸브 부재 (38) 가 개방 위치에 위치되면, RPC 가스는 엘보우 커넥터 (20) 의 제 2 가스 채널 (25) 의 유입부에 연결된 가스 채널 (56) 로 흐르고 이어서 가스 분배 디바이스로 흐른다.
가스가 경로 (27) 를 따라 공급되고 밸브 부재 (38) 가 폐쇄된 위치에 있을 때, 가스 전달 어셈블리 (10) 는 가스 채널 (56) 및 제 2 가스 채널 (25) 의 상부 부분에 위치된 데드-레그 볼륨 (60) 을 갖는다. 데드-레그 볼륨 (60) 은 기화된 전구체 가스를 트랩핑 (trap) 할 수도 있다. 데드-레그 볼륨 내에서 정체된 플로우는 기판 상으로 떨어질 수도 있는 입자들로 응결될 수도 있고, 이는 디펙트들을 증가시킨다.
이제 도 8을 참조하면, 또다른 가스전달 어셈블리 (600) 는 하나 이상의 밸브 어셈블리들 (620-1, 620-2.... 및 620-N) (집합적으로 밸브 어셈블리들 (620)) 및 밸브 매니폴드 (628) 를 포함하는 것으로 도시된다. 밸브 어셈블리들 (620) 은 밸브 매니폴드 (628) 내로 그리고 외부로 유체(들)의 플로우를 제어하도록 구성된다. 이와 관련하여, 밸브 매니폴드 (628) 는 하나 이상의 가스 채널들 (676-1, 676-2....및 676-N) (집합적으로 가스 채널들 (676)), 제 1 유입부, 제 2 유입부 및 제 3 유입부 (678, 680, 682), 및 제 1 유출부 및 제 2 유출부 (684, 685) 를 규정하는 바디 (674) 를 포함한다.
제 1 가스 채널 (676-1) 은 밸브 매니폴드 (628) 의 제 1 유입부 (678) 및 제 2 밸브 어셈블리 (620-2) 로부터 연장하고, 밸브 매니폴드 (628) 의 제 1 유입부 (678) 및 제 2 밸브 어셈블리 (620-2) 와 유체로 연통한다. 제 2 가스 채널 (676-2) 은 제 1 가스 채널 (676-1) 로부터 제 1 밸브 어셈블리 (620-1) 로 연장한다. 제 3 가스 채널 (676-3) 은 제 1 밸브 어셈블리 (620-1) 로부터 밸브 매니폴드 (628) 의 제 1 유출부 (684) 로 연장한다. 제 4 가스 채널 (676-4) 은 제 2 밸브 어셈블리 (620-2) 로부터 밸브 매니폴드 (628) 의 제 2 유출부 (685) 로 연장한다.
가스 전달 어셈블리 (600) 는 방향 전환 모드, 공급 모드, 및 대기 모드와 같은 적어도 3가지 모드들에서 동작한다. 가스 전달 어셈블리 (600) 는 방향 전환 모드가 공급 모드에 선행하고, 공급 모드가 대기 모드에 선행하고, 그리고 대기 모드가 방향 전환 모드에 선행하도록 연속적인 사이클로 동작할 수도 있다. 방향 전환 모드에서, 가스 채널들 (676) 내의 오래된 전구체는 후레시한 전구체로 대체될 수도 있다. 공급 모드에서, 기화된 전구체가 프로세싱 챔버로 공급된다. 대기 모드에서, 기화된 전구체는 공급되지 않고 방향 전환되지 않는다.
기화된 전구체를 공급할 때, 제 1 밸브 어셈블리 (620-1) 는 폐쇄되고 제 2 밸브 어셈블리 (620-2) 는 개방된다. 기화된 전구체 가스는 제 1 가스 채널 (676-1) 을 통해 제 1 유입부 (678) 로부터 제 2 밸브 어셈블리 (620-2) 로 공급된다. 기화된 전구체 가스는 제 2 밸브 어셈블리 (620-2) 및 제 4 가스 채널 (676-4) 을 통해 프로세싱 챔버 또는 기판 프로세싱 시스템의 다른 부분으로 흐른다.
대기 모드 동안, 제 1 밸브 어셈블리 및 제 2 밸브 어셈블리 (620-1, 620-2) 는 제 1 유입부 (678) 로부터의 기화된 전구체의 플로우가 방지되도록 폐쇄된다. 따라서, 대기 모드 동안, 기화된 전구체 가스는 제 1 가스 채널 (676-1) 내에 남는다. 일부 조건들에서, 제 1 가스 채널 (676-1) 내에 정체된 기화된 전구체는 입자들로 응결될 수도 있다. 나중에 프로세싱 챔버로 들어가는 정체된 기화된 전구체는 디펙트들을 유발할 수 있다.
공급 모드에서 기화된 전구체를 프로세싱 챔버로 공급하기 전에, 가스 채널 (676-1) 내의 오래된 기화된 전구체가 후레시한 전구체로 대체되도록 기화된 전구체는 방향 전환되고 폐기된다. 기화된 전구체를 방향 전환할 때, 제 1 밸브 어셈블리 (620-1) 는 개방되고 제 2 밸브 어셈블리 (620-2) 는 폐쇄된다. 기화된 전구체 가스가 제 1 가스 채널 (676-1) 을 통해 제 1 유입부 (678) 로부터 공급될 때, 기화된 전구체 가스는 제 2 가스 채널 (676-2), 제 1 밸브 어셈블리 (620-1), 및 제 3 가스 채널 (676-3) 을 통해 밸브 매니폴드 (628) 외부로 흐른다.
방향 전환 모드가 일부 개선을 제공하는 동안, 오래된 기화된 전구체 전부가 제거되는 것은 아니다. 가스 전달 어셈블리 (600) 는 제 2 가스 채널 (676-2) 으로부터 다운스트림 및 제 2 밸브 어셈블리 (620-2) 로부터 업스트림에 위치된 데드-레그 볼륨 (690) 을 갖는다. 구체적으로, 대기 모드 동안 데드-레그 볼륨 내에 정체되는 기화된 전구체는 방향 전환 모드 동안 제 1 밸브 어셈블리 (620-1) 를 통해 방향 전환되지 않는다. 방향 전환 모드 동안 데드-레그 볼륨 (690) 내에 트랩핑된 기화된 전구체는 공급 모드 동안 제 1 가스 채널 및 제 4 가스 채널 (676-1, 676-4) 로부터 프로세싱 챔버 내로 여전히 흐르고 기판 내에 디펙트들을 생성한다.
기판 프로세싱 시스템을 위한 가스 전달 시스템은 제 1 가스 채널을 포함한다. 실린더는 제 1 단부 및 제 2 단부를 갖는 제 2 가스 채널을 규정한다. 실린더는, 실린더와 제 1 가스 채널이 집합적으로 실린더의 외측 표면과 제 1 가스 채널의 내측 표면 사이에 플로우 채널을 규정하도록, 제 1 가스 채널 내에 적어도 부분적으로 배치된다. 플로우 채널은 제 2 가스 채널의 제 1 단부와 유체로 연통한다. 제 3 가스 채널은 제 2 가스 채널의 제 2 단부와 유체로 연통한다.
다른 특징들에서, 제 1 밸브는 유입부 및 유출부를 갖는다. 제 1 밸브의 유출부는 기판 프로세싱 시스템의 프로세싱 챔버와 유체로 연통한다. 제 2 밸브는 유입부 및 유출부를 갖는다. 제 1 가스 채널은 가스 공급부와 유체로 연통한다. 플로우 채널은 제 1 밸브의 유입부와 유체로 연통한다. 제 3 가스 채널 제 2 밸브의 유입부와 유체로 연통한다.
일부 구성들에서, 가스 공급부는 기화된 전구체의 소스를 포함한다.
일부 구성들에서, 제 2 밸브는 제 1 밸브의 유입부로부터 멀어지게 유체의 플로우를 방향 전환하도록 동작가능하다.
일부 구성들에서, 가스 전달 시스템은 제 1 밸브 및 제 2 밸브를 개폐하도록 구성된 제어기를 포함한다.
일부 구성들에서, 제어기는 제 1 동작 모드에서 제 1 밸브를 폐쇄하고 제 2 밸브를 개방하도록 구성된다.
일부 구성들에서, 제어기는 제 2 동작 모드에서 제 1 밸브를 개방하고 제 2 밸브를 폐쇄하도록 구성된다.
일부 구성들에서, 제어기는 제 3 동작 모드에서 제 1 밸브 및 제 2 밸브를 폐쇄하도록 구성된다.
일부 구성들에서, 제 1 가스 채널은 실린더와 기밀하게 인게이지된 (sealingly engaged) 포트를 포함한다.
일부 구성들에서, 제 1 밸브는 밸브 시트를 포함하고, 제 2 가스 채널의 제 1 단부는 밸브 시트의 업스트림 및 포트의 다운스트림에 배치된다.
일부 구성들에서, 실린더는 제 1 가스 채널 내에 동심원으로 배치된다.
일부 구성들에서, 가스 전달 시스템은 플로우 채널을 가열하도록 구성된 히터를 포함한다.
일부 구성들에서, 실린더의 외측 표면은 제 1 방사상으로 외측으로 연장하는 돌출부 및 제 2 방사상으로 외측으로 연장하는 돌출부를 포함하고, 제 1 방사상으로 외측으로 연장하는 돌출부 및 제 2 방사상으로 외측으로 연장하는 돌출부는 제 1 가스 채널 및 제 2 가스 채널과 유체로 연통하는 갭을 규정한다.
일부 구성들에서, 가스 전달 시스템은 제 1 밸브 및 제 2 밸브가 기화된 전구체가 제 1 밸브 및 제 2 밸브를 통해 흐르는 것을 방지하는 대기 모드에서 동작하도록 구성된 제어기를 포함한다.
일부 구성들에서, 가스 전달 시스템은 제 1 밸브 및 제 2 밸브가 기화된 전구체를 제 2 가스 채널 및 제 3 가스 채널 및 제 2 밸브를 통해 지향시키는 방향 전환 모드에서 동작하도록 구성된 제어기를 포함한다.
일부 구성들에서, 가스 전달 시스템은 제 1 밸브 및 제 2 밸브가 기화된 전구체를 제 1 가스 채널 및 제 1 밸브를 통해 지향시키는 공급 모드에서 동작하도록 구성된 제어기를 포함한다.
일부 구성들에서, 가스 전달 시스템은 가스 공급부로부터 기화된 전구체가 제 1 밸브 및 제 2 밸브를 통해 흐르는 것을 방지하도록 제 1 밸브 및 제 2 밸브를 폐쇄하도록 구성된 제어기를 포함한다.
일부 구성들에서, 가스 전달 시스템은 가스 공급부로부터 기화된 전구체를 제 2 밸브를 통해 방향 전환하도록 제 1 밸브를 폐쇄하고 제 2 밸브를 개방하도록 구성된 제어기를 포함한다.
일부 구성들에서, 가스 전달 시스템은 가스 공급부로부터 기화된 전구체를 제 1 밸브를 통해 공급하도록 제 2 밸브를 폐쇄하고 제 1 밸브를 개방하도록 구성된 제어기를 포함하고, 제 1 밸브는 기화된 전구체를 프로세싱 챔버로 공급하도록 구성된다.
또다른 양태에 따라, 본 개시는 기판 프로세싱 시스템을 위한 밸브 어셈블리를 제공한다. 밸브 어셈블리는, 제 1 플로우 통로, 제 2 플로우 통로, 제 3 플로우 통로, 제 4 플로우 통로 및 밸브 액추에이터를 포함할 수도 있다. 제 1 플로우 통로는 제 1 가스 공급부와 유체로 연통하도록 구성될 수도 있다. 제 2 플로우 통로는 제 2 가스 공급부와 유체로 연통하도록 구성될 수도 있다. 제 3 플로우 통로는 제 2 플로우 통로로부터 제 1 유출부로 연장할 수도 있다. 제 4 플로우 통로는 제 1 플로우 통로와 유체로 연통하고 기판 프로세싱 시스템의 프로세싱 챔버와 유체로 연통하도록 구성될 수도 있다. 밸브 액추에이터는 개방 위치와 폐쇄된 위치 사이에서 이동가능한 다이어프램 (diaphragm) 을 포함할 수도 있다. 제 2 플로우 통로는 폐쇄된 위치의 제 3 플로우 통로와 유체로 연통하고, 그리고 개방 위치의 제 4 플로우 통로와 유체로 연통할 수도 있다.
일부 구성들에서, 제 2 가스 공급부는 기화된 전구체의 소스를 포함한다.
일부 구성들에서, 다이어프램은 폐쇄된 위치의 제 2 플로우 통로와 제 4 플로우 통로 사이의 유체 연통을 방지하도록 동작가능하다.
일부 구성들에서, 밸브 어셈블리는 다이어프램을 개폐하도록 구성된 제어기를 포함한다.
일부 구성들에서, 제어기는 제 1 동작 모드 및 제 2 동작 모드에서 다이어프램을 폐쇄하도록 구성되고, 그리고 제어기는 제 3 동작 모드에서 다이어프램을 개방하도록 구성된다.
일부 구성들에서, 제 2 플로우 통로는 유입부 및 제 2 유출부를 포함하고, 그리고 제 3 플로우 통로는 제 1 유출부로부터 제 2 유출부로 연장한다.
일부 구성들에서, 다이어프램은 제 2 유출부를 기밀하게 인게이지하도록 구성된다.
일부 구성들에서, 다이어프램은, 기화된 전구체의 플로우를 제 2 플로우 통로로부터 폐쇄된 위치의 제 3 플로우 통로로 방향 전환하도록 구성된다.
일부 구성들에서, 다이어프램은 기화된 전구체의 플로우를 제 2 플로우 통로로부터 개방 위치의 제 4 플로우 통로로 공급하도록 구성된다.
일부 구성들에서, 다이어프램은 퍼지가스의 플로우를 제 1 플로우 통로로부터 개방된 위치 및 폐쇄된 위치의 제 4 플로우 통로로 공급하도록 구성된다.
또다른 양태에 따라, 기판 프로세싱 시스템을 위한 밸브 어셈블리가 제공된다. 밸브 어셈블리는 밸브 액추에이터 및 밸브 액추에이터에 커플링된 밸브 바디를 포함할 수도 있다. 밸브 바디는 제 1 단부로부터 제 2 단부로 연장할 수도 있다. 제 1 단부는 제 1 포트, 제 2 포트, 제 3 포트, 및 제 4 포트를 포함할 수도 있다. 제 2 단부는 제 5 포트, 제 6 포트, 및 제 7 포트를 포함할 수도 있다. 제 1 포트는 제 1 플로우 통로를 통해 제 5 포트와 유체로 연통할 수도 있다. 제 2 포트는 제 2 플로우 통로를 통해 제 6 포트와 유체로 연통할 수도 있다. 제 3 포트는 제 3 플로우 통로를 통해 제 6 포트와 유체로 연통할 수도 있다. 제 4 포트는 제 4 플로우 통로를 통해 제 7 포트와 유체로 연통할 수도 있다. 제 4 플로우 통로는 기판 프로세싱 시스템의 프로세싱 챔버와 유체로 연통하도록 구성될 수도 있다.
일부 구성들에서, 밸브 액추에이터는 밸브 바디의 제 2 단부와 기밀하게 인게이지된 다이어프램을 포함한다.
일부 구성들에서, 다이어프램은, 다이어프램 및 밸브 바디의 제 2 단부가 챔버를 규정하도록 제 6 포트를 기밀하게 인게이지하도록 구성된다.
일부 구성들에서, 챔버는 환형 구성을 포함한다.
일부 구성들에서, 제 1 플로우 통로 및 제 4 플로우 통로는 챔버와 유체로 연통하고, 제 2 플로우 통로는 제 3 플로우 통로와 유체로 연통한다.
일부 구성들에서, 제 1 플로우 통로 및 제 4 플로우 통로는 제 2 플로우 통로 및 제 3 플로우 통로로부터 방사상 외측으로 배치된다.
일부 구성들에서, 밸브 액추에이터는, 기화된 전구체의 플로우를 제 2 플로우 통로로부터 폐쇄된 위치의 제 3 플로우 통로로 방향 전환하도록 구성된다.
일부 구성들에서, 밸브 액추에이터는 기화된 전구체의 플로우를 제 2 플로우 통로로부터 개방 위치의 제 4 플로우 통로로 공급하도록 구성된다.
일부 구성들에서, 밸브 액추에이터는 퍼지 가스의 플로우를 제 1 플로우 통로로부터 개방 위치 및 폐쇄된 위치의 제 4 플로우 통로로 공급하도록 구성된다.
또다른 양태에 따라, 본 개시는 기판 프로세싱 시스템을 위한 가스 전달 시스템을 제공한다. 가스 전달 시스템은 제 1 가스 채널, 제 2 가스 채널을 규정하는 실린더, 제 3 가스 채널, 제 4 가스 채널을 포함할 수도 있다. 제 1 가스 채널은 제 1 가스 공급부와 유체로 연통할 수도 있다. 제 2 가스 채널은 유입 단부 및 유출 단부를 포함할 수도 있다. 실린더는, 실린더 및 제 1 가스 채널이 집합적으로 실린더의 외측 표면과 제 1 가스 채널의 내측 표면 사이에 플로우 채널을 규정하도록, 제 1 가스 채널 내에서 적어도 부분적으로 배치될 수도 있다. 제 3 가스 채널은 플로우 채널과 유체로 연통하는 제 1 단부 및 제 2 가스 공급부와 유체로 연통하는 제 2 단부를 포함할 수도 있다. 제 4 가스 채널은 제 1 가스 채널로부터 연장할 수도 있다. 제 4 가스 채널은 제 2 가스 채널의 유출 단부와 유체로 연통할 수도 있다. 제 2 가스 채널의 유입 단부는 제 1 가스 공급부로부터의 제 1 플로우 및 제 2 가스 공급부로부터의 제 2 플로우를 수용하도록 구성될 수도 있다.
일부 구성에서, 제 1 가스 공급부는 리모트 플라즈마 클린 가스의 소스를 포함한다.
일부 구성에서, 제 2 가스 공급부는 퍼지 가스의 소스를 포함한다.
일부 구성에서, 가스 전달 시스템은 제 1 가스 채널과 유체로 연통하는 밸브를 포함할 수도 있다.
일부 구성에서, 밸브는 제 1 가스 공급부로부터의 가스의 플로우의 실린더의 유입 단부로의 진입을 허용하도록 개방 위치에서 동작가능하고, 가스의 플로우의 실린더의 유입 단부로의 진입을 방지하도록 폐쇄된 위치에서 동작가능할 수도 있다.
일부 구성에서, 제 1 가스 채널은 실린더와 기밀하게 인게이지된 포트를 포함한다.
일부 구성에서, 밸브는 밸브 시트를 포함하고, 제 2 가스 채널의 유입부는 밸브 시트의 다운스트림 및 포트의 업스트림에 배치된다.
일부 구성에서, 제 1 가스 채널은 실린더와 기밀하게 인게이지된 포트를 포함한다.
일부 구성에서, 실린더는 제 1 가스 채널 내에 동심원으로 배치된다.
일부 구성에서, 가스 전달 시스템은 플로우 채널을 가열하도록 구성된 히터를 포함할 수도 있다.
일부 구성에서, 실린더의 외측 표면은 제 1 방사상으로 외측으로 연장하는 돌출부 및 제 2 방사상으로 외측으로 연장하는 돌출부를 포함하고, 그리고 제 1 방사상으로 외측으로 연장하는 돌출부 및 제 2 방사상으로 외측으로 연장하는 돌출부는 제 1 가스 채널 및 제 3 가스 채널과 유체로 연통하는 갭을 규정한다.
일부 구성에서, 가스 전달 시스템은 밸브 및 제어기를 포함할 수도 있다. 밸브는 제 1 가스 채널과 유체로 연통할 수도 있다. 제어기는, 리모트 플라즈마 클린 가스가 제 1 가스 공급부로부터 제 2 가스 채널을 통해 흐르는 것을 방지하기 위해 밸브를 폐쇄하고, 그리고 리모트 플라즈마 클린 가스를 제 1 가스 공급부로부터 제 2 가스 채널을 통해 공급하기 위해 밸브를 개방하도록 구성될 수도 있다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 종래 기술에 따른 가스 전달 어셈블리의 단면도이다.
도 2는 본 개시에 따른 가스 전달 어셈블리의 예의 단면도이다.
도 3은 본 개시에 따른 제 1 커넥터의 예의 확대된 단면도이다.
도 4는 본 개시에 따른 도즈 동안 가스 전달 어셈블리를 통한 플로우의 예를 예시한다.
도 5는 본 개시에 따른 리모트 플라즈마 세정 동안 가스 전달 어셈블리를 통한 플로우의 예를 예시한다.
도 6은 본 개시에 따른 복수의 가스 분배 디바이스들로의 가스 전달의 예를 예시하는 개략도이다.
도 7은 본 개시에 따라 ALD, PEALD, CVD 또는 PECVD를 수행하기 위한 기판 프로세싱 챔버의 예의 기능적 블록도이다.
도 8은 종래 기술에 따른 가스 전달 어셈블리의 사시도이다.
도 9는 본 개시에 따른 가스 전달 어셈블리의 예의 단면도이다.
도 10a는 본 개시에 따른 제 1 동작 모드의 실린더를 포함하는 가스 채널의 예의 단면도이다.
도 10b는 본 개시에 따른 제 2 동작 모드의 실린더를 포함하는 가스 채널의 예의 단면도이다.
도 11은 본 개시에 따른 밸브 매니폴드 어셈블리 내의 데드레그 볼륨을 제거하기 위한 방법의 예를 도시하는 흐름도이다.
도 12는 본 개시에 따른 밸브 어셈블리의 사시도이다.
도 13은 도 12의 밸브 어셈블리의 단면도이다.
도 14a는 제 1 동작 모드의 밸브 어셈블리를 도시하는 도 12의 밸브 어셈블리의 단면도이다.
도 14a는 제 2 동작 모드의 밸브 어셈블리를 도시하는 도 12의 밸브 어셈블리의 단면도이다.
도 15는 본 개시에 따른 밸브 매니폴드 어셈블리 내의 데드레그 볼륨을 제거하기 위한 방법의 예를 도시하는 흐름도이다.
도면들에서, 참조 번호들은 유사한/하거나 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2014년 11월 26일 출원된 미국 가 특허 출원 제 62/084,856 호 및 2015년 7월 15일 출원된 미국 가 특허 출원 제 62/192,859 호의 우선권을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시에 따른 가스 전달 어셈블리는 데드-레그 볼륨 내의 전구체 응결을 감소시키거나 제거함으로써 프로세싱 동안 웨이퍼-상 입자들을 감소시키거나 제거한다. 단지 예를 들면, 본 개시는 박막들의 ALD (atomic layer deposition) 를 수반하는 프로세스들에서 사용될 수도 있다. 이 애플리케이션에서, 샤워헤드와 같은 가스 분배 디바이스 위의 데드-레그 볼륨 내에서 전구체 응결이 제거되거나 감소된다. 본 개시는 ALD의 맥락에서 기술되지만, 본 개시에 따른 가스 전달 어셈블리는 CVD, PECVD, PEALD, 또는 다른 타입들의 프로세스들과 같은 다른 기판 프로세싱 애플리케이션들에서 사용될 수 있다.
일부 예들에서, 본 개시는 환형 퍼지 채널을 사용하여 퍼지 가스를 데드-레그 볼륨으로 공급하는 제 1 커넥터를 포함한다. 일부 예들에서, 퍼지 가스들은 아르곤, 질소, 산소, 또는 이들의 혼합물들을 포함할 수도 있다. 다른 타입들의 퍼지 가스가 사용될 수도 있다. 이하에 더 기술될 바와 같이, 퍼지 가스 플로우는 각각 제 1 커넥터 및 환형 퍼지 채널 내로의 전구체 가스 및 리모트 플라즈마 가스 (예컨대 원자 불소) 확산을 제거하기 위해 사용된다.
이제 도 2를 참조하면, 가스 전달 어셈블리 (100) 는 RPC 밸브 어셈블리 (120), 제 1 커넥터 (122), 제 2 커넥터 (124) 를 포함하는 것으로 도시된다. 제 1 커넥터 (122) 는 유입부 (133) 및 유출부 (134) 를 포함하는 제 1 가스 채널 (132) 을 규정하는 제 1 바디 (130) 를 포함한다. 제 2 커넥터 (124) 는 유입부 (139) 및 유출부 (140) 를 포함하는 제 2 가스 채널 (138) 을 규정하는 제 2 바디 (136) 를 포함한다. 제 1 가스 채널 (132) 의 유출부 (134) 는 제 2 가스 채널 (138) 의 유입부 (139) 에 연결된다. 일부 예들에서, 제 1 가스 채널 (132) 은 대체로 "L"-형상이거나 엘보우-형상이다.
제 1 커넥터 (122) 는 제 1 커넥터 (122) 의 유입부 (133) 에 인접한 제 1 가스 채널 (132) 의 일부 둘레에 배치된 환형 퍼지 채널 (144) 을 포함한다. 환형 퍼지 채널 (144) 은 유입부 (133) 근방의 구역으로 퍼지 가스를 공급한다. 일부 예들에서, 실린더 (146) 는 환형 퍼지 채널 (144) 을 규정하도록 제 1 커넥터 (122) 의 유입부 (133) 에 인접한 제 1 가스 채널 (132) 의 내측으로 삽입될 수도 있다. 실린더 (146) 의 일 단부 (147) 는 유입부 (133) 로부터 이격된 위치에서 제 1 가스 채널 (132) 의 내측 표면에 인접한다. 바디 (130) 와 실린더 (146) 의 방사상 외측 표면 사이의 캐비티 (150) 는 환형 퍼지 채널 (144) 을 규정한다.
바디 (130) 는 또한 캐비티 (150) 에 연결된 제 3 가스 채널 (154) 을 규정한다. 피팅 또는 밸브 (156) 가 제 3 가스 채널 (154) 을 퍼지 가스 소스에 연결하도록 사용될 수도 있다. 퍼지 가스는 제 3 가스 채널 (154) 및 환형 퍼지 채널 (144) 로 공급된다. 퍼지 가스는 환형 퍼지 채널 (144) 을 통해 유입부 (133) 근방의 구역으로 흐른다. 퍼지 가스는 제 1 가스 채널 (132) 을 통해 제 2 가스 채널 (138) 로 흐른다. 퍼지 가스는 리모트 플라즈마 세정 동안 (RPC 가스가 RPC 밸브에 의해 공급되는 동안) 공급된다. 일부 예들에서, 퍼지 가스는 기화된 전구체 가스를 사용하여 도즈 동안 공급된다.
일부 예들에서, 히터 (160) 는 미리 결정된 최소 온도로 환형 퍼지 채널 (144) 부근의 구역 내의 온도를 유지하도록 사용된다. 보다 구체적으로, 히터 (160) 는 바디 (130) 에 연결될 수도 있고 전구체 가스의 응결 온도 이상의 온도로 바디 (적어도 데드-레그 볼륨을 포함하는 부분) 를 가열하도록 사용될 수도 있다. 일부 예들에서, 온도는 대략 65 ℃ 이상까지 미리 결정된 온도로 유지되지만, 온도는 사용된 전구체 가스의 타입 및 응결 온도에 따라 변할 것이다.
이제 도 3을 참조하면, 제 1 커넥터 (122) 의 예가 도시된다. 실린더 (146) 는 실린더의 일 단부에 위치된 방사상 돌출부 (160) 를 포함할 수도 있다. 방사상 돌출부 (160) 는 가스 시일 (gas seal) 을 제공하도록 실린더 (146) 의 외측 직경 둘레로 완전히 또는 부분적으로 연장할 수도 있다. 2 이상의 방사상 돌추부들 (162) 은 유입부 (133) 에 인접한 실린더 (146) 의 반대편 단부에 위치될 수도 있다. 2 이상의 방사상 돌출부들 (162) 사이의 위치들은 가스로 하여금 환형 가스 채널 (144) 로부터 유입부 (133) 에 인접한 구역으로 흐르게 하도록 개방될 수도 있다. 퍼지 가스는 데드-레그 볼륨을 제거하기 위해 도즈 및/또는 리모트 플라즈마 세정 동안 환형 가스 채널 (144) 을 통해, 유입부 (133) 내로 그리고 제 1 가스 채널 및 제 2 가스 채널 (132 및 138) 을 통해 외부로, 각각 지향된다.
이제 도 4 및 도 5를 참조하면, 가스 전달 시스템의 동작이 도시된다. 도 4에서, 전구체의 전달 동안 동작이 도시된다. 기화된 전구체 농도는 A로 라벨링된 영역들에서 높고 환형 가스 채널 (144) 내에서 퍼지 가스의 플로우로 인해 C로 라벨링된 영역들에서 낮거나 존재하지 않는다. "B"로 라벨링된 작은 전이 영역 (매우 낮은 농도와 높은 농도 사이의 전이) 은 최소화되고 A로 라벨링된 영역과 C로 라벨링된 영역 사이에 위치된다.
도 5에서, 리모트 플라즈마 세정 동안 동작이 도시된다. 리모트 플라즈마 세정 (RPC) 가스 농도 (예컨대 원자 불소) 는 D로 라벨링된 영역들에서 매우 높고 F로 라벨링된 영역들에서 매우 낮다. E로 라벨링된 전이 구역들은 최소화되고 D로 라벨링된 영역과 F로 라벨링된 영역들 사이에 위치된다. 퍼지 가스는 환형 가스 채널 (144) 내에서 흐른다.
상기 기술된 가스 전달 어셈블리는 전구체 가스 및 원자 불소와 같은 RPC 가스 양자의 역 확산을 방지한다. 일부 예들에서, 동작 플로우 레이트는 RPC 가스에 대한 퍼지 가스 희석의 영향을 최소화하기 위해 퍼지 가스의 플로우를 최소로 유지하도록 컴퓨터 모델링을 사용하여 최적화될 수도 있다. 본 명세서에서 사용된 바와 같이 퍼지 가스를 사용할 때, 웨이퍼-상 입자 문제들은 완화되고 개선된 입자 성능이 100 초과의 RPC 세정 시간들 동안 유지될 수도 있다.
이제 도 6을 참조하면, 샤워헤드들과 같은 복수의 가스 분배 디바이스들을 포함하는 시스템을 위한 엘보우 커넥터와 히터를 연결하기 위한 개략도의 예가 도시된다.
이제 도 7을 참조하면, ALD, CVD, PEALD 또는 PECVD와 같은, 기판 프로세싱을 수행하기 위한 기판 프로세싱 챔버 (500) 의 예가 도시된다. 기판 프로세싱 챔버 (500) 는, 기판 프로세싱 챔버 (500) 의 다른 컴포넌트들을 둘러싸고 (사용된다면) RF 플라즈마를 담고 있는 프로세싱 챔버 (502) 를 포함한다. 기판 프로세싱 챔버 (500) 는 상부 전극 (504) 및 하부 전극 (507) 을 포함하는 페데스탈 (506) 을 포함한다. 동작 동안, 기판 (508) 은 상부 전극 (504) 과 하부 전극 (507) 사이의 페데스탈 (506) 상에 배열된다.
단지 예를 들면, 상부 전극 (504) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (509) 를 포함할 수도 있다. 샤워헤드 (509) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템부를 포함할 수도 있다. 베이스부는 일반적으로 실린더형이고 프로세싱 챔버의 상단 표면으로부터 이격된 위치에서 스템부의 반대편 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드의 베이스부의 기판-대면 (facing) 표면은 복수의 홀들을 포함하고, 이를 통해 프로세스 가스 또는 퍼지 가스가 흐른다. 대안적으로, 상부 전극 (504) 은 도전 플레이트를 포함할 수도 있고 프로세스 가스들은 또다른 방식으로 도입될 수도 있다. 하부 전극 (507) 은 비도전성 페데스탈 내에 배치될 수도 있다. 대안적으로, 페데스탈 (506) 은 하부 전극 (507) 으로서 작용하는 도전 플레이트를 포함하는 정전척을 포함할 수도 있다.
RF 생성 시스템 (510) 은 RF 전압을 생성하고 상부 전극 (504) 및 하부 전극 (507) 중 하나로 출력한다. 상부 전극 (504) 및 하부 전극 (507) 중 다른 하나는 DC 접지될 수도 있고, 또는 AC 접지될 수도 있고, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (510) 은 매칭 및 분배 네트워크 (512) 에 의해 상부 전극 (504) 또는 하부 전극 (507) 으로 공급되는 RF 전압을 생성하는 RF 전압 생성기 (511) 를 포함할 수도 있다.
가스 전달 시스템 (530) 은 하나 이상의 가스 소스들 (532-1, 532-2, ..., 및 532-N) (집합적으로 가스 소스들 (532)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 일부 예들에서, 기화된 전구체 가스가 또한 사용될 수도 있다. 가스 소스들 (532) 은 밸브들 (534-1, 534-2, ..., 및 534-N) (집합적으로 밸브들 (534)) 및 질량 유량 제어기들 (536-1, 536-2, ..., 및 536-N) (집합적으로 질량 유량 제어기들 (536)) 을 통해 매니폴드 (540) 에 연결된다. 매니폴드 (540) 의 출력은 프로세싱 챔버 (502) 로 공급된다. 단지 예를 들면, 매니폴드 (540) 의 출력은 전구체 가스 및 리모트 플라즈마 전달 시스템 (100) 을 통해 샤워헤드 (509) 로 공급될 수도 있다.
히터 (542) 는 페데스탈 (506) 내에 배치된 히터 코일 (미도시) 에 연결될 수도 있다. 히터 (542) 는 페데스탈 (506) 및 기판 (508) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (550) 및 펌프 (552) 는 프로세싱 챔버 (502) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 제어기 (560) 는 기판 프로세싱 챔버 (500) 의 컴포넌트들을 제어하도록 사용될 수도 있다.
리모트 플라즈마 생성기 (580) 는 동작 동안 전구체 가스 및 리모트 플라즈마 전달 시스템 (100) 으로 리모트 플라즈마 세정 (RPC) 가스를 공급하도록 사용될 수도 있다. 제어기 (560) 는 또한 가스 전달 어셈블리 (100) 내에서 히터 (160) 를 제어하도록 그리고 RPC 가스, 퍼지 가스 및 기화된 전구체의 공급을 제어하도록 사용될 수도 있다.
이제 도 9를 참조하면, 본 개시의 원리에 따른 또다른 가스 전달 어셈블리 (700) 가 예시된다. 가스 전달 어셈블리 (700) 는 하나 이상의 밸브 어셈블리들 (720-1, 720-2....및 720-N) (집합적으로 밸브 어셈블리들 (720)), 제어기 (미도시), 밸브 매니폴드 (728), 및 도관 또는 실린더 (790) 를 포함한다. 일부 구성들에서, 가스 전달 어셈블리 (700) 는 제 1 밸브 어셈블리 (720-1), 제 2 밸브 어셈블리 (720-2), 제 3 밸브 어셈블리 (720-3), 및 제 4 밸브 어셈블리 (720-4) 를 포함한다. 본 개시에 따라 가스 전달 어셈블리 (700) 는 4개보다 많거나 보다 적은 밸브 어셈블리들 (720) 을 포함할 수도 있다는 것이 이해될 것이다. 밸브 어셈블리들 (720) 은 공압으로 액추에이팅되거나 전자적으로 액추에이팅된 다이어프램을 갖는 임의의 상업적으로 입수가능한 2-포트 또는 3-포트 밸브 어셈블리를 포함할 수도 있다.
이하에 보다 상세히 설명될 바와 같이, 밸브 어셈블리들 (720) 은 밸브 매니폴드 (728) 와 선택적으로 유체로 연통하도록 구성된다. 이와 관련하여, 도 9에 예시된 바와 같이, 제어기 (예를 들어, 도 7의 제어기 (560)) 는 가스 전달 어셈블리 (700) 를 통해 하나 이상의 유체들의 흐름을 제어하기 위해 필요에 따라 밸브 어셈블리들 (720-N) 을 선택적으로 개폐할 수도 있다. 특히, 제어기는 가스 전달 어셈블리 (700) 를 방향 전환 동작 모드, 공급 동작 모드 및 대기 동작 모드에서 동작시키도록 제 1 밸브 어셈블리, 제 2 밸브 어셈블리, 제 3 밸브 어셈블리, 및/또는 제 4 밸브 어셈블리 (720-1, 720-2, 720-3, 720-4) 를 선택적으로 개방 및/또는 폐쇄할 수도 있다.
제 1 밸브 어셈블리 (720-1) 는 밸브 바디 (730) 및 밸브 액추에이터 (732) 를 포함한다. 밸브 바디 (730) 는 유입부 (734) 및 유출부 (736) 를 포함한다. 밸브 액추에이터 (732) 는 밸브 바디 (730) 를 통한 유체의 플로우를 제어하도록 구성된다. 특히, 밸브 액추에이터 (732) 가 제 1 상태 또는 개방 상태에 있을 때, 밸브 바디 (730) 의 유입부 (734) 는 밸브 바디 (730) 의 유출부 (736) 와 유체로 연통한다. 밸브 액추에이터 (732) 가 제 2 상태 또는 폐쇄된 상태에 있을 때, 밸브 바디 (730) 의 유입부 (734) 는 밸브 바디 (730) 의 유출부 (736) 와 유체로 연통하는 것이 방지된다. 예를 들어, 제 1 밸브 어셈블리 (720-1) 는 다이어프램 (미도시) 을 포함할 수도 있고, 폐쇄된 상태에서, 다이어프램은 유입부 (734) 가 유출부 (736) 와 유체로 연통하는 것을 방지한다. 일부 구성들에서, 제 1 밸브 어셈블리 (720-1) 는 프로세싱 챔버 (예를 들어, 프로세싱 챔버 (502)) 로부터 멀어지게 유체 (예를 들어, 기화된 전구체 가스) 의 플로우를 방향 전환하도록 구성된다. 따라서, 제 1 밸브 어셈블리 (720-1) 는 본 명세서에서 방향 전환 밸브 어셈블리 (720-1) 로서 참조될 수도 있다.
제 2 밸브 어셈블리 (720-2) 는 밸브 바디 (740) 및 밸브 액추에이터 (742) 를 포함한다. 밸브 바디 (740) 는 제 1 포트 또는 유입부 (744), 제 2 포트 또는 유입부 (미도시), 및 제 3 포트 또는 유출부 (미도시) 를 포함한다. 밸브 액추에이터 (742) 는 밸브 바디 (740) 를 통해 유체의 플로우를 제어하도록 구성된다. 특히, 밸브 액추에이터 (742) 가 제 1 상태 또는 개방 상태에 있을 때, 밸브 바디 (740) 의 제 1 유입부 (744) 는 밸브 바디 (740) 의 유출부와 유체로 연통한다. 밸브 액추에이터 (742) 가 제 2 상태 또는 폐쇄된 상태에 있을 때, 밸브 바디 (740) 의 제 1 유입부 (744) 는 밸브 바디 (740) 의 유출부와 유체로 연통하는 것이 방지된다. 예를 들어, 제 2 밸브 어셈블리 (720-2) 는 다이어프램 (746) 을 포함할 수도 있고, 폐쇄된 상태에서, 다이어프램 (746) 은 제 1 유입부 (744) 및/또는 제 2 유입부가 유출부와 유체로 연통하는 것을 방지한다. 이와 관련하여, 제 1 유입부 (744) 는 밸브 시트 (748) 를 규정할 수도 있고, 폐쇄된 상태에서, 다이어프램 (746) 은 밸브 시트 (748) 를 기밀하게 인게이지한다. 일부 구성들에서, 제 2 밸브 어셈블리 (720-2) 는 프로세싱 챔버 (예를 들어, 프로세싱 챔버 (502)) 로의 유체 (예를 들어, 기화된 전구체 가스) 의 플로우를 제어하도록 구성된다. 따라서, 제 2 밸브 어셈블리 (720-2) 는 본 명세서에서 플로우 밸브 어셈블리 (720-2) 로서 참조될 수도 있다.
밸브 매니폴드 (728) 는 하나 이상의 가스 채널들 (776-1, 776-2....및 776-N) (집합적으로 가스 채널들 (776)), 제 1 유입부, 제 2 유입부 및 제 3 유입부 (778, 780, 782), 제 1 유출부 (784), 및 제 2 유출부 (예를 들어, 도 8의 제 2 유출부 (685)) 를 규정하는 바디 (774) 를 포함한다. 밸브 매니폴드 (728) 의 제 1 유출부 (784) 및 제 2 유출부는 가스 채널들 (776) 을 통해 제 1 유입부, 제 2 유입부, 및 제 3 유입부 (778, 780, 782) 및 하나 이상의 밸브 어셈블리들 (720) 에 유체로 커플링된다. 이와 관련하여, 밸브 어셈블리들 (720) 은 제 1 유입부, 제 2 유입부, 및 제 3 유입부 (778, 780, 782) 로부터 가스 채널들 (776) 을 통한 제 1 유출부 및 제 2 유출부로의 유체의 플로우를 선택적으로 제어하도록 구성된다.
제 1 가스 채널 (776-1) 은 밸브 매니폴드 (728) 의 제 1 유입부 (778) 및 제 2 밸브 어셈블리 (720-2) 의 제 1 유입부 (744) 로부터 연장하고 유체로 연통한다. 제 1 가스 채널 (776-1) 은 포트 또는 어퍼처 (794) 를 포함한다. 일부 예들에서, 제 1 가스 채널 (776-1) 은 제 1 가스 채널이 대체로 "L"-형상 구성을 규정하도록 엘보우 (796) 를 포함한다.
도 9 내지 도 10b를 참조하면, 실린더 (790) 는 대체로 제 1 단부 (802) 로부터 제 2 단부 (804) 로 연장하는 중공 캐비티를 포함한다. 일부 구성들에서, 대체로 실린더 (790) 의 중공 캐비티가 제 1 단부 (802) 로부터 제 2 단부 (804) 로 연장하는 플로우 통로 또는 가스 채널 (806) 을 규정하도록 제 1 단부 (802) 는 유체 유입부를 규정하고 제 2 단부 (804) 는 유체 유출부를 규정한다. 어셈블된 구성에서, 실린더 (790) 의 제 1 단부 (802) 는 제 1 가스 채널 (776-1) 내에 배치되고 제 1 가스 채널 (776-1) 과 유체로 연통하도록 구성된다. 실린더 (790) 의 제 2 단부 (804) 는 제 2 가스 채널 (776-2) 과 유체로 연통하도록 구성된다. 특히, 일부 구성들에서, 실린더 (790) 의 제 1 단부 (802) 는 밸브 바디 (740) 내에 배치되고, 그리고 실린더 (790) 의 제 2 단부는 제 2 가스 채널 (776-2) 내에 배치되거나 그렇지 않으면 제 2 가스 채널 (776-2) 와 유체로 연통한다. 이와 관련하여, 제 1 단부 (802) 및 밸브 시트 (748) 가 축방향으로 연장하는 공간 또는 보이드 (808) 를 규정하도록 실린더 (790) 의 제 1 단부 (802) 는 유입부 (744) 및/또는 밸브 바디 (740) 의 밸브 시트 (748) 로부터 오프셋된다. 특히, 실린더 (790) 의 제 1 단부 (802) 는 밸브 시트의 업스트림에 있다.
도 10a 및 도 10b에 예시된 바와 같이, 실린더 (790) 가 어퍼처 (794) 를 통해 연장하도록 실린더 (790) 의 적어도 일부는 제 1 가스 채널 (776-1) 내에 배치된다. 이와 관련하여, 실린더 (790) 는 어퍼처 (794) 내에 기밀하게 배치될 수도 있다. 도 10a에 예시된 바와 같이, 실린더 (790) 의 외측 표면 (809) 은 제 1 가스 채널 (776-1) 의 적어도 일부 및/또는 유입부 (744) 의 단면 치수 (D2) 미만인 단면 치수 (D1) 을 규정한다. 따라서, 어셈블된 구성에서, 실린더 (790) 및 제 1 가스 채널 (776-1) 및/또는 유입부 (744) 는 그 사이에 환형 플로우 채널 (810) 을 규정한다.
일부 구성들에서, 실린더 (790) 와 제 1 가스 채널 (776-1) 중 적어도 하나는 단면 치수들 (D1, D2) 이 각각 실린더 (790) 및/또는 제 1 가스 채널 (776-1) 의 직경을 규정하도록 원형 단면을 포함한다. 실린더 (790) 는, 환형 플로우 채널 (810) 이 실린더 (790) 를 중심으로 대칭적으로 배치되도록 동심원으로 배치될 수도 있다. 실린더 (790) 는 본 명세서에서 원형 실린더로서 대체로 도시되고 기술되지만, 실린더 (790) 의 단면은 본 개시의 범위 내에서 다른 형상들을 규정할 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구성들에서, 실린더 (790) 는 본 개시의 범위 내에서 타원형, 삼각형, 직사각형, 또는 다른 다각형을 규정할 수도 있다.
제 2 가스 채널 (776-2) 은 실린더 (790) 의 제 2 단부 (804) 로부터 제 1 밸브 어셈블리 (720-1) 의 유입부 (734) 로 연장한다. 일부 구성들에서, 제 2 가스 채널 (776-2) 은 어퍼처 (794) 로부터 제 1 밸브 어셈블리 (720-1) 의 유입부 (734) 로 연장한다. 제 3 가스 채널 (776-3) 은 제 1 밸브 어셈블리 (720-1) 의 유출부 (736) 로부터 밸브 매니폴드 (728) 의 제 1 유출부 (784) 로 연장한다. 제 4 가스 채널 (미도시) 은 제 2 밸브 어셈블리 (720-2) 의 유출부로부터 밸브 매니폴드 (728) 의 제 2 유출부로 연장한다.
가스 전달 어셈블리 (700) 를 동작시키는 방법은 도 9 내지 도 11을 참조하여 이제 기술될 것이다. 방법은 방향 전환 모드, 공급 모드, 및 대기 모드를 포함하는, 적어도 3가지 동작 모드들의 연속적인 사이클을 포함할 수도 있다. 이와 관련하여, 방향 전환 모드는 공급 모드에 선행할 수도 있고, 공급 모드는 대기 모드에 선행할 수도 있고, 그리고 대기 모드는 방향 전환 모드에 선행할 수도 있다. 방향 전환 모드에서, 기화된 전구체는 가스 채널들 (776) 내에서 오래된 전구체를 대체하도록 사용될 수도 있다. 공급 모드에서, 기화된 전구체는 프로세싱 챔버로 공급된다. 대기 모드에서, 기화된 전구체는 공급되지 않고 방향 전환되지 않는다.
도 11에 도시된 바와 같이, 밸브들을 동작시키기 위한 방법이 820에서 시작한다. 822에서, 제 1 밸브 어셈블리 및 제 2 밸브 어셈블리 (720-1, 720-2) 는 제 1 가스 채널 (776-1) 을 통한 플로우가 방지되도록 폐쇄된다. 이와 관련하여, 822는 동작의 대기 모드에 대응할 수도 있다. 밸브들 (720-1, 720-2) 이 충분한 양의 시간 동안 대기 모드에 남아 있으면, 기화된 전구체 가스는 밸브 매니폴드 (728) 의 제 1 가스 채널 (776-1) 및/또는 제 2 밸브 어셈블리 (720-2) 의 제 1 유입부 (744) 내에서 정체될 것이다.
824에서, 방법은 기화된 전구체가 기판 프로세싱 챔버로 공급될 필요가 있는지 여부를 결정한다. 824가 부정 (false) 이면, 방법은 822로 돌아간다. 824가 참 (true) 이면, 방법은 제 1 밸브 어셈블리 및 제 2 밸브 어셈블리 (720-1, 720-2) 가 미리 결정된 기간 동안 동작의 방향 전환 모드에 위치되는 826으로 계속된다.
특히, 826에서, 제 1 밸브 어셈블리 (720-1) 는 개방되고 제 2 밸브 어셈블리 (720-2) 는 폐쇄된다. 이와 관련하여, 다이어프램 (746) 은 밸브 시트 (748) 에 인접하거나 그렇지 않으면 밸브 시트 (748) 를 기밀하게 인게이지 한다. 따라서, 동작의 방향 전환 모드 동안, 환형 플로우 채널 (810) 은 제 1 가스 채널 (776-1) 로부터 실린더 (790) 의 제 1 단부 (802) 로 유체를 공급한다. 예를 들어, 유체는 환형 플로우 채널 (810) 을 통해 제 1 방향으로 흐를 수도 있다. 밸브 시트에서, 유체는 가스 채널 (806) 을 통해 흐르도록 다이어프램 (746) 에 의해 재지향된다. 예를 들어, 유체는 제 1 방향에 반대되는 제 2 방향으로 가스 채널 (806) 을 통해 흐를 수도 있다. 가스 채널 (806) 은 환형 플로우 채널 (810) 로부터 제 2 가스 채널 (776-2) 로 유체를 공급한다. 제 2 가스 채널 (776-2) 은 가스 채널 (806) 로부터 제 3 가스 채널 (776-3) 을 통해 기판 프로세싱 시스템의 내측, 또는 외부의 다양한 위치들로 지향될 수 있는 제 1 밸브 어셈블리 (720-1) 로 유체를 공급한다. 따라서, 동작의 방향 전환 모드 동안, 실린더 (790) 및 환형 플로우 채널 (810) 및 가스 채널 (806) 을 통해 제 1 방향 및 제 2 방향으로 흐르는 유체는 각각 유체가 트랩핑되는 것 그렇지 않으면 제 1 가스 채널 (776-1) 내에 정체되는 것을 방지한다. 특히, 실린더 (790) 및 환형 플로우 채널 (810) 및 가스 채널 (806) 을 통해 제 1 방향 및 제 2 방향으로 흐르는 유체는 어퍼처 (794) 및 제 2 플로우 채널 (776-2) 의 다운스트림 및 제 2 밸브 어셈블리 (720-2) 의 업스트림에서 유체가 정체되는 것을 방지한다.
미리 결정된 기간 후에, 제 1 어셈블리 및 제 2 밸브 어셈블리 (720-1, 720-2) 는 828에서 동작의 공급 모드에 위치된다. 특히, 제 1 밸브 어셈블리 (720-1) 는 폐쇄되고 제 2 밸브 어셈블리 (720-2) 는 개방된다. 이와 관련하여, 다이어프램 (746) 은 밸브 시트 (748) 로부터 이격된다. 따라서, 동작의 공급 모드 동안, 환형 플로우 채널 (810) 은 제 1 가스 채널 (776-1) 로부터 제 2 밸브 어셈블리 (720-2) 의 유입부 (744) 로 유체를 공급한다. 제 2 밸브 어셈블리 (720-2) 로부터, 유체는 프로세싱 챔버 (502) (도 7) 와 같은 기판 프로세싱 시스템 내의 다양한 위치들로 밸브 매니폴드 (728) 의 또다른 가스 채널 (예를 들어, 도 8의 제 4 가스 채널 (676-4)) 을 통해 지향될 수 있다.
830에서, 방법은 기판 프로세싱 챔버로의 기화된 전구체의 공급을 종료할 지 여부를 결정한다. 830이 부정이면, 방법은 제 1 어셈블리 및 제 2 밸브 어셈블리 (720-1, 720-2) 가 동작의 공급 모드로 남아 있는 828로 돌아간다. 830이 참이면, 방법은 제 1 어셈블리 및 제 2 밸브 어셈블리 (720-1, 720-2) 가 동작의 대기 모드에 위치된 822로 돌아간다.
이제 도 12 내지 도 14b를 참조하면, 본 개시의 원리에 따른 또다른 가스 전달 어셈블리 (900) 가 예시된다. 가스 전달 어셈블리 (900) 는 밸브 어셈블리 (920) 및 밸브 매니폴드 (928) 를 포함한다. 밸브 어셈블리 (920) 는 밸브 바디 (930) 및 밸브 바디 (930) 에 커플링된 밸브 액추에이터 (932) 를 포함한다. 밸브 바디 (930) 는 밸브 바디 (930) 의 제 1 단부 (942) 로부터 밸브 바디 (930) 의 제 2 단부 (944) 로 연장하는 제 1 플로우 통로, 제 2 플로우 통로, 제 3 플로우 통로, 및 제 4 플로우 통로 (934, 936, 938, 940) 를 규정한다.
제 1 플로우 통로 (934) 는 밸브 바디 (930) 의 제 1 단부 (942) 의 제 1 포트 (946) 로부터 밸브 바디 (930) 의 제 2 단부 (944) 의 제 2 포트 (948) 로 연장한다. 제 2 플로우 통로 (936) 는 밸브 바디 (930) 의 제 1 단부 (942) 의 제 3 포트 (950) 로부터 밸브 바디 (930) 의 제 2 단부 (944) 의 제 4 포트 (952) 로 연장한다. 제 3 플로우 통로 (938) 는 밸브 바디 (930) 의 제 2 단부 (944) 의 제 4 포트 (952) 로부터 밸브 바디 (930) 의 제 1 단부 (942) 의 제 5 포트 (954) 로 연장한다. 제 4 플로우 통로 (940) 는 밸브 바디 (930) 의 제 1 단부 (942) 의 제 6 포트 (956) 로부터 밸브 바디 (930) 의 제 2 단부 (944) 의 제 7 포트 (958) 로 연장한다.
도 14a 및 도 14b에 예시된 바와 같이, 제 4 포트 (952) 는 제 1 포트, 제 2 포트, 제 3 포트, 제 5 포트, 제 6 포트, 및 제 7 포트 (946, 948, 950, 954, 956, 958) 로부터 방사상으로 내측으로 위치된다. 이와 관련하여, 제 4 포트 (952) 는 밸브 바디 (930) 의 제 2 단부 (944) 에 대해 중심에 위치될 수도 있다. 제 2 포트 및 제 6 포트 (948, 956) 는 제 1 포트 및 제 7 포트 (946, 958) 로부터 방사상으로 내측으로 위치되고, 그리고 제 3 포트 및 제 5 포트 (950, 954) 는 제 2 포트 및 제 6 포트 (948, 956) 로부터 방사상으로 내측으로 위치된다. 따라서, 일부 구성들에서, 제 2 플로우 통로 및 제 3 플로우 통로 (936, 938) 는 대체로 제 1 플로우 통로 및 제 4 플로우 통로 (934, 940) 로부터 방사상으로 내측으로 위치된 V-형상 배열을 규정한다. 특히, 제 1 플로우 통로, 제 2 플로우 통로, 제 3 플로우 통로, 및 제 4 플로우 통로 (934, 936, 938, 940) 각각은 제 1 플로우 통로, 제 2 플로우 통로, 제 3 플로우 통로, 및 제 4 플로우 통로 각각에 평행한 방향으로 연장할 수도 있다.
제 1 플로우 통로 (934) 는, 예를 들어 퍼지 가스 소스 (미도시) 와 같은 제 1 유체 소스와 유체로 연통한다. 제 2 플로우 통로 (936) 는 예를 들어 기화된 전구체 가스 소스와 같은 제 2 유체 소스와 유체로 연통한다. 제 3 플로우 통로 (938) 는 또다른 밸브 어셈블리 (예를 들어, 도 9의 방향 전환 밸브 어셈블리 (720-1)) 와 같은 가스 전달 어셈블리 (900) 의 또다른 부분과 유체로 연통한다. 제 4 플로우 통로는 기판 프로세싱 시스템 (예를 들어, 도 7의 프로세싱 챔버 (502)) 의 또다른 부분과 유체로 연통한다.
이하에 보다 상세히 설명될 바와 같이, 동작의 공급 모드에서, 밸브 액추에이터 (932) 는 제 1 플로우 통로 및 제 2 플로우 통로 (934, 936) 로부터 제 4 플로우 통로 (940) 로의 제 1 유체 및 제 2 유체의 플로우를 제어하도록 구성된다. 동작의 방향 전환 모드에서, 밸브 액추에이터 (932) 는 제 1 플로우 통로 (934) 로부터 제 4 플로우 통로 (940) 로의 제 1 유체의 플로우 및 제 2 플로우 통로 (936) 로부터 제 3 플로우 통로 (938) 로의 제 2 유체의 플로우를 제어하도록 구성된다. 특히, 밸브 액추에이터 (932) 가 제 1 상태 또는 개방 상태에 있을 때, 제 1 플로우 통로 및 제 2 플로우 통로 (934, 936) 는 제 4 플로우 통로 (940) 와 유체로 연통한다. 밸브 액추에이터 (932) 가 제 2 상태 또는 폐쇄된 상태에 있을 때, 제 1 플로우 통로 (934) 는 제 4 플로우 통로 (940) 와 유체로 연통하고, 그리고 제 2 플로우 통로 및 제 3 플로우 통로 (936, 938) 와 유체로 연통하는 것이 방지된다. 유사하게, 밸브 액추에이터 (932) 가 제 2 상태 또는 폐쇄된 상태에 있을 때, 제 2 플로우 통로 (936) 는 제 3 플로우 통로 (938) 와 유체로 연통하고, 그리고 제 1 플로우 통로 및 제 4 플로우 통로 (934, 940) 와 유체로 연통하는 것이 방지된다.
밸브 액추에이터 (932) 는 다이어프램 (960) 및 액추에이션 부재 (962) 를 포함한다. 다이어프램 (960) 은 밸브 바디 (930) 의 제 2 단부 (944) 에 배치되고, 그리고 내측 부분 (960a), 내측 부분 (960a) 으로부터 방사상으로 외측에 배치된 중간 부분 (960b), 및 중간 부분 (960b) 으로부터 방사상 외측으로 배치된 외측 부분 (960c) 을 포함한다. 외측 부분 (960c) 은 다이어프램의 둘레 에지 (964) 를 규정할 수도 있다. 일부 구성들에서, 다이어프램 (960) 은 둘레 에지 (964) 가 대체로 원형을 규정하도록, 대체로 원형 형상을 포함할 수도 있다. 어셈블된 구성에서, 외측 부분 (960c) 은 밸브 바디 (930) 의 제 2 단부 (944) 와 기밀하게 인게이지된다. 특히, 외측 부분 (960c) 은 제 2 포트, 제 4 포트, 및 제 6 포트 (948, 952, 956) 로부터 방사상으로 외측 위치에서 밸브 바디 (930) 의 제 2 단부 (944) 와 기밀 인게이지될 수도 있다. 중간 부분 (960b) 은 제 2 포트 및 제 6 포트 (948, 956) 와 방사상으로 정렬된다. 내측 부분 (960a) 은 제 4 포트 (952) 와 방사상으로 정렬된다.
도 12를 참조하면, 밸브 매니폴드 (928) 는 제 5 플로우 통로, 제 6 플로우 통로, 제 7 플로우 통로 및 제 8 플로우 통로 (966, 968, 970, 972) 를 포함한다. 제 5 플로우 통로 (966) 는 제 1 플로우 통로 (934) 와 유체로 연통한다. 제 6 플로우 통로 (968) 는 제 2 플로우 통로 (936) 와 유체로 연통한다. 제 7 플로우 통로 (970) 는 제 3 플로우 통로 (938) 와 유체로 연통한다. 제 8 플로우 통로 (972) 는 제 4 플로우 통로 (940) 와 유체로 연통한다.
가스 전달 어셈블리 (900) 를 동작시키는 방법은 이제 도 12, 도 14a, 도 14b, 및 도 15를 참조하여 기술될 것이다. 방법은 방향 전환 모드, 공급 모드, 및 대기 모드를 포함하는 동작의 적어도 3가지 모드들의 연속적인 사이클을 포함할 수도 있다. 이와 관련하여, 방향 전환 모드는 공급 모드에 선행할 수도 있고, 공급 모드는 대기 모드에 선행할 수도 있고, 그리고 대기 모드는 방향 전환 모드에 선행할 수도 있다. 방향 전환 모드에서, 기화된 전구체는 제 2 플로우 통로 및/또는 제 3 플로우 통로 (936, 938) 에서 오래된 전구체를 대체하도록 사용될 수도 있다. 공급 모드에서, 기화된 전구체는 프로세싱 챔버로 공급된다. 대기 모드에서, 기화된 전구체는 공급되지 않고 방향 전환되지 않는다.
도 15에 도시된 바와 같이, 방법은 980에서 시작한다. 982에서, 밸브 어셈블리 (920) 는 폐쇄된 상태에 있다 (도 14b). 예를 들어, 액추에이션 부재 (962) 는 제 4 포트 (952) 를 폐쇄하도록 공압적으로 또는 전자적으로 제어될 수도 있다. 이와 관련하여, 다이어프램 (960) 은 밸브 바디 (930) 의 제 2 단부 (944) 에 인접하거나 그렇지 않으면 밸브 바디 (930) 의 제 2 단부 (944) 를 기밀하게 인게이지한다. 다이어프램 (960) 의 내측 부분 (960a) 은 제 2 플로우 통로 및 제 3 플로우 통로 (936, 938) 가 제 4 플로우 통로 (940) 와 유체로 연통하는 것을 방지하도록 밸브 바디 (930) 의 제 2 단부 (944) 를 기밀하게 인게이지할 수도 있다. 동작의 대기 모드에서, 다이어프램 (960) 의 중간 부분 (960b) 및 밸브 바디 (930) 의 제 2 단부 (944) 는 환형 구성을 갖는 챔버 (976) 를 규정한다. 따라서, 대기 모드 동안, 기화된 전구체 가스는 밸브 바디 (930) 의 제 2 플로우 통로 (936) 내에서 정체될 것이다.
984에서, 방법은 기화된 전구체가 기판 프로세싱 챔버로 공급될 필요가 있는지 여부를 결정한다. 984가 부정이면, 방법은 982로 돌아간다. 984가 참이면, 방법은 986에서 방향 전환 모드로 진행하고 밸브 어셈블리 (920) 는 폐쇄된 상태로 남는다.
방향 전환 모드 동안, 제 1 플로우 통로 (934) 는 제 1 유체 (예를 들어, 퍼지 가스) 를 챔버 (976) 로 공급하고, 그리고 챔버 (976) 는 제 1 유체를 제 4 플로우 통로 (940) 로 공급한다. 제 2 플로우 통로 (936) 는 제 2 유체 (예를 들어, 기화된 전구체 가스) 를 제 3 플로우 통로 (938) 로 공급하고, 제 4 플로우 통로 (940) 로부터 분리된다. 제 4 플로우 통로 (940) 는 제 1 유체를 프로세싱 챔버와 같은, 기판 프로세싱 시스템 내의 다양한 위치들로 공급할 수도 있다. 제 3 플로우 통로 (938) 는 기판 프로세싱 시스템 (예를 들어, 도 9의 방향 전환 밸브 어셈블리 (720-1)) 내측의 다양한 위치들로 제 2 유체를 공급하거나 그렇지 않으면 외부로 방향 전환한다. 이와 관련하여, 동작의 방향 전환 모드 동안, 제 2 플로우 통로 (936) 에 의해 공급된 유체는 제 3 플로우 통로 (938) 로부터 정체된 기화된 전구체를 제거한다.
방법은 동작의 공급 모드에서 밸브 어셈블리 (920) 가 개방되는 (도 14a) 988로 계속된다. 예를 들어, 액추에이션 부재는 제 4 포트 (952) 를 개방하도록 공압적으로 또는 전자적으로 제어될 수도 있다. 이와 관련하여, 다이어프램 (960) 의 내측 부분 및 중간 부분 (960a, 960b) 은 밸브 바디 (930) 의 제 2 단부 (944) 로부터 이격된다. 따라서, 동작의 공급 모드 동안, 제 1 플로우 통로, 제 2 플로우 통로, 제 3 플로우 통로, 제 4 플로우 통로, 제 5 플로우 통로, 제 6 플로우 통로, 제 7 플로우 통로, 및 제 8 플로우 통로 (934, 936, 938, 940, 966, 968, 970, 972) 는 챔버 (976) 와 유체로 연통한다. 제 1 플로우 통로 및/또는 제 2 플로우 통로 (934, 936) 는 챔버 (976) 및 제 4 플로우 통로 (940) 로 유체를 공급할 수도 있다. 제 4 플로우 통로 (940) 로부터, 유체는 프로세싱 챔버 (502) (도 7) 와 같은, 기판 프로세싱 시스템 내의 다양한 위치들로 지향될 수 있다.
990에서, 방법은 기판 프로세싱 챔버로의 기화된 전구체의 공급을 종료할 지 여부를 결정한다. 990이 부정이면, 방법은 밸브 어셈블리 (920) 가 동작의 공급 모드로 남아 있는 988로 돌아간다. 990이 참이면, 방법은 밸브 어셈블리 (920) 가 동작의 대기 모드에 위치된 982로 돌아간다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (14)

  1. 기판 프로세싱 시스템의 가스 전달 어셈블리를 위한 커넥터에 있어서,
    바디;
    상기 바디 내에 규정된 제 1 가스 채널로서, 상기 제 1 가스 채널은 유입부 및 유출부를 포함하고, 상기 유입부는 제 2 가스 채널의 유입부에 연결되도록 구성되는, 상기 제 1 가스 채널;
    상기 제 1 가스 채널의 상기 유입부에 인접하게 상기 제 1 가스 채널 내부에 배치된 실린더로서, 환형 퍼지 채널이 상기 바디와 상기 실린더의 방사상 외측 표면 사이의 캐비티 내에 규정되는, 상기 실린더; 및
    상기 바디 내에 규정된 제 3 가스 채널로서, 상기 제 3 가스 채널은 상기 캐비티를 통해 상기 제 1 가스 채널의 상기 유입부로 퍼지 가스를 공급하도록 상기 캐비티에 연결되는, 상기 제 3 가스 채널을 포함하는, 커넥터.
  2. 제 1 항에 있어서,
    상기 제 1 가스 채널은 "L"-형상인, 커넥터.
  3. 제 1 항에 있어서,
    상기 실린더의 제 1 단부는 상기 제 1 가스 채널의 상기 유입부로부터 이격된 위치에서 상기 제 1 가스 채널의 내측 표면에 인접하는, 커넥터.
  4. 제 1 항에 있어서,
    상기 제 3 가스 채널을 퍼지 가스 소스에 커플링하도록 구성된 피팅을 더 포함하는, 커넥터.
  5. 제 1 항에 있어서,
    상기 바디에 연결된 히터를 더 포함하는, 커넥터.
  6. 제 1 항에 있어서,
    상기 실린더는 상기 방사상 외측 표면으로부터 상기 바디로 연장하는 방사상 돌출부를 포함하는, 커넥터.
  7. 제 6 항에 있어서,
    상기 실린더는 상기 방사상 외측 표면으로부터 상기 바디로 연장하는 2 개 이상의 상기 방사상 돌출부들을 포함하는, 커넥터.
  8. 제 1 항에 기재된 커넥터를 포함하고, 그리고
    상기 제 1 가스 채널의 상기 유출부에 대응하는 상기 바디의 단부에 연결된 제 2 커넥터를 더 포함하고, 상기 제 2 커넥터는 제 2 바디를 포함하고 그리고 상기 제 2 가스 채널은 상기 제 2 바디 내에 규정되는, 가스 전달 어셈블리.
  9. 제 8 항에 있어서,
    상기 제 1 가스 채널의 상기 유입부에 연결된 밸브 어셈블리를 더 포함하는, 가스 전달 어셈블리.
  10. 제 9 항에 있어서,
    상기 밸브 어셈블리는 상기 제 1 가스 채널의 상기 유입부로, 상기 제 1 가스 채널을 통해, 그리고 상기 제 2 가스 채널 내로 리모트 플라즈마 세정 가스들을 공급하도록 구성된 리모트 플라즈마 세정 밸브 어셈블리인, 가스 전달 어셈블리.
  11. 제 10 항에 있어서,
    상기 제 3 가스 채널 및 상기 밸브 어셈블리 각각은 상기 제 1 가스 채널의 상기 유입부와 유체로 연통하는, 가스 전달 어셈블리.
  12. 제 11 항에 있어서,
    상기 제 3 가스 채널은 상기 제 1 가스 채널의 상기 유입부 반대편의 상기 실린더의 단부에서 상기 캐비티에 연결되는, 가스 전달 어셈블리.
  13. 제 12 항에 있어서,
    상기 실린더 및 상기 제 1 가스 채널은 상기 제 3 가스 채널로부터, 상기 캐비티 내로, 그리고 상기 제 1 가스 채널의 상기 유입부를 통한 플로우 채널을 집합적으로 규정하는, 가스 전달 어셈블리.
  14. 제 13 항에 있어서,
    상기 제 2 커넥터의 유출부와 상기 기판 프로세싱 시스템의 샤워헤드 사이에 연결된 제 1 밸브를 더 포함하는, 가스 전달 어셈블리.
KR1020220154657A 2014-11-26 2022-11-17 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거 KR102626480B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462084856P 2014-11-26 2014-11-26
US62/084,856 2014-11-26
US201562192859P 2015-07-15 2015-07-15
US62/192,859 2015-07-15
US14/805,807 2015-07-22
US14/805,807 US9920844B2 (en) 2014-11-26 2015-07-22 Valve manifold deadleg elimination via reentrant flow path
KR1020150165667A KR102469751B1 (ko) 2014-11-26 2015-11-25 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150165667A Division KR102469751B1 (ko) 2014-11-26 2015-11-25 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거

Publications (2)

Publication Number Publication Date
KR20220159928A KR20220159928A (ko) 2022-12-05
KR102626480B1 true KR102626480B1 (ko) 2024-01-17

Family

ID=56010123

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150165667A KR102469751B1 (ko) 2014-11-26 2015-11-25 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거
KR1020220154657A KR102626480B1 (ko) 2014-11-26 2022-11-17 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150165667A KR102469751B1 (ko) 2014-11-26 2015-11-25 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거

Country Status (4)

Country Link
US (1) US9920844B2 (ko)
KR (2) KR102469751B1 (ko)
CN (1) CN105624646B (ko)
TW (1) TWI713477B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
SG11201908711VA (en) 2017-05-02 2019-10-30 Picosun Oy Ald apparatus, method and valve
CN107779843B (zh) * 2017-12-11 2019-10-08 湖南顶立科技有限公司 一种化学气相沉积炉
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
CN109238642B (zh) * 2018-09-21 2021-01-12 大连理工大学 一种应用于流动安全实验循环系统的盲管装置
CN111101115B (zh) * 2018-10-25 2022-03-22 北京北方华创微电子装备有限公司 气路切换装置及其控制方法、半导体加工设备
TWI678494B (zh) * 2018-11-19 2019-12-01 廣運機械工程股份有限公司 盲插水路分歧裝置
CN112613134B (zh) * 2020-12-09 2022-05-13 浙江大学 一种基于涡流分布的阀体结构优化方法
KR20230150309A (ko) * 2021-03-03 2023-10-30 아이커 시스템즈, 인크. 매니폴드 조립체를 포함하는 유체 유동 제어 시스템
WO2023215199A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Gas supply line arrangements

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040016460A1 (en) * 1998-12-24 2004-01-29 Nl Technologies, Ltd. Dip tube valve assembly
KR100442786B1 (ko) * 1996-08-21 2004-08-04 피셔콘트롤스인터내쇼날엘엘씨 탄성 중합체적 엘리먼트 밸브
JP2005051205A (ja) * 2003-06-11 2005-02-24 Asm Internatl Nv ガス供給システム、弁アセンブリ、および弁アセンブリを操作することによる反応物質パルス形成方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2584436A (en) * 1946-04-15 1952-02-05 Denburgh As Van Valve device
US2758877A (en) * 1954-12-06 1956-08-14 Robert H Gleason Apparatus for dispensing materials
US4243070A (en) * 1978-08-16 1981-01-06 Jackson Samuel G Variable back pressure valve
US4232063A (en) 1978-11-14 1980-11-04 Applied Materials, Inc. Chemical vapor deposition reactor and process
US4315479A (en) 1980-06-27 1982-02-16 Atomel Corporation Silicon wafer steam oxidizing apparatus
JPS62136871A (ja) 1985-12-11 1987-06-19 Canon Inc 光センサ−、その製造方法及びその製造装置
US4798166A (en) 1985-12-20 1989-01-17 Canon Kabushiki Kaisha Apparatus for continuously preparing a light receiving element for use in photoelectromotive force member or image-reading photosensor
JPH0651906B2 (ja) 1985-12-25 1994-07-06 キヤノン株式会社 堆積膜形成法
US5391232A (en) 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4660598A (en) * 1986-01-13 1987-04-28 Spraying Systems Co. Diaphragm-type antidrip valve
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4793283A (en) 1987-12-10 1988-12-27 Sarkozy Robert F Apparatus for chemical vapor deposition with clean effluent and improved product yield
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP3328416B2 (ja) 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
US5928427A (en) 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
FR2749924B1 (fr) * 1996-06-18 1998-08-21 Air Liquide Dispositif pour fournir a un appareil l'un quelconque de plusieurs gaz
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
JPH10321584A (ja) 1997-05-22 1998-12-04 Mitsubishi Electric Corp 乾燥装置および乾燥方法
US6167323A (en) 1997-08-12 2000-12-26 Tokyo Electron Limited Method and system for controlling gas system
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
CN100339629C (zh) * 2002-10-21 2007-09-26 喜开理株式会社 集成气阀
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR20050040969A (ko) 2003-10-29 2005-05-04 삼성전자주식회사 확산 시스템
US20050092245A1 (en) 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
JP4502189B2 (ja) 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
JP4553245B2 (ja) * 2004-09-30 2010-09-29 東京エレクトロン株式会社 気化器、成膜装置及び成膜方法
JP4742762B2 (ja) 2005-09-12 2011-08-10 株式会社フジキン 流体制御装置
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
DE102007063363B4 (de) 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP2009267345A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8210203B2 (en) * 2009-02-26 2012-07-03 Masco Corporation Of Indiana Aspirator for a shower fitting
JP5270476B2 (ja) 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
JP5243519B2 (ja) 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
JP5604289B2 (ja) 2010-12-22 2014-10-08 東京エレクトロン株式会社 成膜装置
JP5236755B2 (ja) 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8945317B2 (en) * 2011-12-07 2015-02-03 Lam Research Corporation System and method for cleaning gas injectors
CN202387382U (zh) * 2011-12-16 2012-08-22 中微半导体设备(上海)有限公司 一种混生气体生成设备
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
JP6078335B2 (ja) 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
KR101625001B1 (ko) 2013-05-14 2016-05-27 주식회사 아비즈알 진공증착장치 용 원료가스 분사노즐
US9530627B2 (en) 2013-09-26 2016-12-27 Applied Materials, Inc. Method for cleaning titanium alloy deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US9631276B2 (en) 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100442786B1 (ko) * 1996-08-21 2004-08-04 피셔콘트롤스인터내쇼날엘엘씨 탄성 중합체적 엘리먼트 밸브
US20040016460A1 (en) * 1998-12-24 2004-01-29 Nl Technologies, Ltd. Dip tube valve assembly
JP2005051205A (ja) * 2003-06-11 2005-02-24 Asm Internatl Nv ガス供給システム、弁アセンブリ、および弁アセンブリを操作することによる反応物質パルス形成方法

Also Published As

Publication number Publication date
CN105624646A (zh) 2016-06-01
KR102469751B1 (ko) 2022-11-21
KR20220159928A (ko) 2022-12-05
TW201631429A (zh) 2016-09-01
TWI713477B (zh) 2020-12-21
US9920844B2 (en) 2018-03-20
CN105624646B (zh) 2018-09-11
KR20160063274A (ko) 2016-06-03
US20160147234A1 (en) 2016-05-26

Similar Documents

Publication Publication Date Title
KR102626480B1 (ko) 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
US10604841B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
KR102333806B1 (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US11959172B2 (en) Substrate processing systems including gas delivery system with reduced dead legs
US20230374661A1 (en) Showerhead with integral divert flow path
US20220181128A1 (en) Apparatus for cleaning plasma chambers

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant