KR102535931B1 - 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들 - Google Patents

기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들 Download PDF

Info

Publication number
KR102535931B1
KR102535931B1 KR1020220030029A KR20220030029A KR102535931B1 KR 102535931 B1 KR102535931 B1 KR 102535931B1 KR 1020220030029 A KR1020220030029 A KR 1020220030029A KR 20220030029 A KR20220030029 A KR 20220030029A KR 102535931 B1 KR102535931 B1 KR 102535931B1
Authority
KR
South Korea
Prior art keywords
showerhead
processing chamber
collar
gas
base portion
Prior art date
Application number
KR1020220030029A
Other languages
English (en)
Other versions
KR20220034099A (ko
Inventor
리처드 필립스
라이언 블라퀴에레
샹카 스와미나단
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220034099A publication Critical patent/KR20220034099A/ko
Application granted granted Critical
Publication of KR102535931B1 publication Critical patent/KR102535931B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)

Abstract

기판 프로세싱 시스템의 프로세싱 챔버는 상부 표면, 측벽들, 및 하단 표면; 프로세싱 챔버의 상부 표면에 연결되고 상부 표면으로부터 하향으로 연장하는 샤워헤드; 및 프로세싱 챔버의 상부 표면 및 측벽들에 인접하게 배치된 뒤집힌 원추형 표면을 포함한다.

Description

기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들{COLLAR, CONICAL SHOWERHEADS AND/OR TOP PLATES FOR REDUCING RECIRCULATION IN A SUBSTRATE PROCESSING SYSTEM}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들을 포함한 기판 프로세싱 시스템들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에 막을 증착, 에칭 또는 달리 처리하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 샤워헤드와 같은 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 열 또는 RF (radio frequency) 플라즈마가 화학 반응들을 활성화시키도록 일부 프로세스들 동안 사용될 수도 있다.
프로세싱 챔버는 통상적으로 상부 표면과 하부 표면 및 측벽들을 포함한다. 샤워헤드는 통상적으로 가스 플레넘을 규정하는 실린더형 베이스 부분을 포함한다. 대면 플레이트는 가스 플레넘의 일 측에 배치되고 그리고 복수의 이격된 쓰루 홀들을 포함한다. 샤워헤드는 일 단부에서 프로세싱 챔버의 상부 표면에 그리고 반대편의 단부에서 실린더형 베이스의 중심에 연결되는 중공형 스템 부분을 더 포함한다. 샤워헤드의 스템 부분은 프로세스 가스를 실린더형 베이스의 가스 플레넘으로 전달한다. 가스는 대면 플레이트의 이격된 쓰루 홀들을 통해 흐르고 그리고 샤워헤드 아래에 위치된 기판 지지부 상에 배치된 기판에 대해 균일하게 분산된다.
스템 부분 주위에 위치된 칼라는 샹들리에 스타일 샤워헤드들을 가진 인접한 프로세싱 스테이션들을 격리하도록 특정한 가스를 전달하기 위해 사용될 수도 있다. 칼라는 또한 프로세싱 챔버의 상부 표면에 스템 부분을 연결하도록 사용될 수도 있다. 칼라는 프로세싱 동안 프로세싱 챔버의 상부 표면과 실린더형 베이스 부분 사이에 2차 퍼지 가스를 전달하는 하나 이상의 가스 슬릿들을 포함할 수도 있다. 갭은 프로세싱 챔버의 측벽들과 샤워헤드의 실린더형 베이스 부분의 방사상으로 외측 에지들 사이에 규정된다. 2차 퍼지 가스는 갭 및 칼라 상의 슬릿들을 통해 흐르고 그리고 이어서 배기 포트를 통해 배기된다. 샤워헤드의 대칭인 구성은 2차 퍼지 가스의 재순환을 유발할 수도 있다. 입자들은 프로세싱 동안 샤워헤드 위의 재순환하는 가스에 의해 트랩핑될 수도 있고 그리고 디펙트들을 유발할 수도 있다.
기판 프로세싱 시스템은 프로세싱 챔버와 대면 플레이트, 스템 부분 및 실린더형 베이스 부분을 포함한 샤워헤드를 포함한다. 칼라는 프로세싱 챔버의 상단 표면에 샤워헤드를 연결한다. 칼라는 2차 퍼지 가스를 수용하기 위한 가스 채널 및 가스 채널로부터 2차 퍼지 가스를 방사상으로 외향 방향 및 하향 방향으로 지향시키기 위한 복수의 가스 슬릿들을 규정한다. 원추형 표면은 실린더형 베이스에 인접하게 그리고 샤워헤드의 스템 부분 주위에 배치된다. 뒤집힌 원추형 표면은 프로세싱 챔버의 측벽들 및 상단 표면에 인접하게 배치된다. 원추형 표면 및 뒤집힌 원추형 표면은 복수의 가스 슬릿들로부터 실린더형 베이스 부분의 방사상으로 외측 부분과 프로세싱 챔버의 측벽들 사이에 규정된 갭으로 기울어진 가스 채널을 규정한다.
다른 특징들에서, 가스 채널은 일정한 폭을 갖고 그리고 복수의 가스 슬릿들로부터 흐르는 2차 퍼지 가스의 방향에 평행한 플로우 경로를 규정한다. 원추형 표면은 중공형이고 그리고 샤워헤드의 스템 부분 및 베이스 부분 중 적어도 하나에 부착된다. 원추형 표면은 속이 채워져 있고 (solid) 그리고 샤워헤드의 스템 부분 및 베이스 부분 중 적어도 하나에 부착된다.
다른 특징들에서, 원추형 표면은 샤워헤드의 스템 부분 및 베이스 부분 중 적어도 하나와 통합된다. 뒤집힌 원추형 표면은 중공형이고 그리고 프로세싱 챔버의 상단 표면 및 측벽들 중 적어도 하나에 부착된다. 뒤집힌 원추형 표면은 속이 채워져 있고 그리고 프로세싱 챔버의 상단 표면 및 측벽들 중 적어도 하나에 부착된다.
다른 특징들에서, 뒤집힌 원추형 표면은 프로세싱 챔버의 상단 표면 및 측벽들 중 적어도 하나와 통합된다. 원추형 표면은 스템 부분을 수용하기 위한 중심 개구부를 포함한다. 복수의 가스 슬릿들은 칼라를 따라 방사상 방향 및 축 방향으로 이격된다.
기판 프로세싱 시스템은 프로세싱 챔버, 및 대면 플레이트, 스템 부분 및 실린더형 베이스 부분을 포함한 샤워헤드를 포함한다. 칼라는 프로세싱 챔버의 상단 표면에 샤워헤드를 연결한다. 칼라는 가스 채널을 규정하고 그리고 방사상으로 내측 표면, 방사상으로 외측 표면 및 복수의 가스 슬릿들을 포함한다. 2차 퍼지 가스는 가스 채널로부터 가스 슬릿들을 통해 방사상으로 외향 방향으로 흐른다. 실린더형 베이스 부분으로 축 거리가 감소하기 때문에 방사상으로 내측 표면은 단조적으로 증가하는 내경을 규정한다.
다른 특징들에서, 칼라의 방사상으로 내측 표면 및 샤워헤드의 스템 부분은 그들 사이에 가스 채널을 규정한다. 원추형 표면은 프로세싱 챔버의 상단 표면에 인접하게 배치되고, 뒤집힌 원추형 표면은 가스 슬릿들로부터 흐르는 2차 퍼지 가스를 하향 방향 및 외향 방향으로 재지향시킨다.
다른 특징들에서, 스페이서는 스템 부분에 대해 칼라의 위치를 유지하도록 스템 부분 주위에 배치된다. 스페이서는 실린더형 베이스 부분에 인접하게 배치된 환형 베이스 부분, 및 칼라의 방사상으로 내측 표면을 바이어싱하도록 상향으로 연장하는 복수의 암들을 포함한다.
다른 특징들에서, 복수의 가스 슬릿들은 칼라를 따라 방사상 방향 및 축 방향으로 이격된다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 기판 프로세싱 시스템의 예의 측단면도이다.
도 3은 본 개시에 따른 기판 프로세싱 시스템의 예의 측단면도이다.
도 4는 본 개시에 따른 기판 프로세싱 시스템의 또 다른 예의 측단면도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
본 개시에 따른 칼라들, 원추형 샤워헤드들 및/또는 상단 플레이트들은 디펙트-트랩핑 재순환 구역들을 최소화하도록 프로세싱 챔버의 상단 표면과 샤워헤드 사이에 라미나형 (laminar) 가스 플로우를 생성하기 위해 사용된다. 일부 예들에서, 칼라들, 원추형 샤워헤드들, 및/또는 상단 플레이트들은 ALD (atomic layer deposition) 반응기들 내에서 대칭인 상단 플레이트들과 함께 사용되지만, 다른 타입들의 반응기들이 사용될 수도 있다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (10) 은 상부 표면 및 하부 표면 및 측벽들을 가진 프로세싱 챔버 (12) 를 포함한다. 일부 예들에서, 기판 프로세싱 시스템 (10) 은 ALD를 수행하지만, 다른 타입들의 기판 프로세싱 시스템들 및/또는 다른 프로세스들 예컨대, CVD (chemical vapor deposition), 에칭, 등이 수행될 수 있다. 샤워헤드 (14) 와 같은 가스 분배 디바이스는 프로세싱 챔버 (12) 의 내부에 배치된다. 정전 척, 페데스탈 또는 다른 기판 지지부와 같은 기판 지지부 (16) 는 샤워헤드 (14) 아래에 배치된다. 기판 (18) 은 프로세싱 동안 기판 지지부 (16) 상에 배치된다.
기판 프로세싱 시스템 (10) 은 하나 이상의 가스 소스들 (22-1, 22-2, ... 및 22-N) (집합적으로 가스 소스들 (22)) 을 포함한 가스 전달 시스템 (20) 을 더 포함하고, 여기서 N은 0보다 큰 정수이다. 하나 이상의 밸브들 (24-1, 24-2, ... 및 24-N) 및 MFC들 (mass flow controllers) (26-1, 26-2, ... 및 26-N) 은 가스 소스들 (22) 로부터 매니폴드 (30) 로의 가스의 플로우 및 가스 플로우 레이트들을 제어하도록 사용될 수도 있다. 매니폴드 (30) 의 출력부는 샤워헤드 (14) 와 유체로 연통한다.
제어기 (40) 및/또는 온도 제어기 (42) 는 기판 지지부 (16) 의 온도를 제어하도록 사용될 수도 있다. 제어기 (40) 및/또는 온도 제어기 (42) 는 가열 및/또는 냉각을 수행할 수도 있다. 기판 지지부 (16) 는 기판 지지부 (16) 의 하나 이상의 존들의 가열 및/또는 냉각을 제어하는 하나 이상의 저항성 가열기들, 유체 채널들, TED들 (thermoelectric devices) 또는 다른 디바이스들을 포함할 수도 있다. 하나 이상의 센서들 (41) 예컨대, 온도 및/또는 압력 센서들은 기판 (18), 기판 지지부 (16), 또는 프로세싱 챔버 (12) 의 내부 표면 또는 외부 표면의 온도 및/또는 압력 값들을 센싱하도록 사용될 수도 있다. 제어기 (40) 는 센서들 (41) 의 출력값들을 수신하고 그리고 출력값들에 기초하여 프로세스 동작 파라미터들을 제어한다. 제어기 (40) 는 또한 프로세스 동안 미리 결정된 인터벌들로 프로세스 및/또는 퍼지 가스들을 공급하도록 가스 전달 시스템 (20) 을 제어한다.
제어기 (40) 는 선택적으로 플라즈마 생성기 (46) 로 하여금 프로세싱 챔버 (12) 내에서 플라즈마를 생성하게 하고 그리고 플라즈마를 소화시키게 한다. 제어기 (40) 는 프로세싱 챔버 (12) 내의 압력을 제어하고 그리고/또는 프로세싱 챔버 (12) 로부터 반응물질들을 제거하도록 선택 가능한 밸브 (50) 및 펌프 (52) 를 제어한다. 일부 예들에서, 펌프 (52) 는 터보분자 펌프를 포함할 수도 있다.
플라즈마 생성기 (46) 는 RF 전력을 공급하는 RF 소스 (60) 및 RF 소스 (60) 의 임피던스와 플라즈마 생성기 (46) 의 출력값을 매칭하는 매칭 네트워크 (64) 를 포함한다. 일부 예들에서, 플라즈마 생성기 (46) 는 RF 전력을 샤워헤드 (14) 로 출력하고 그리고 기판 지지부 (16) 의 전극 (미도시) 은 접지된다. 다른 예들에서, 샤워헤드 (14) 가 접지되고 그리고 RF 전력이 기판 지지부 (16) 의 전극에 출력된다. 제어기 (40) 는 플라즈마 생성기 (46) 와 통신하고 그리고 플라즈마의 스트라이킹 및 소화를 포함한 플라즈마 생성기 (46) 의 동작을 제어한다. 이하에 더 기술될 바와 같이, 퍼지 가스 소스 (80) 및 밸브 (82) 는 2차 퍼지 가스를 칼라 (84) 로 선택적으로 공급하도록 제어기 (40) 에 의해 사용될 수도 있다.
이제 도 2를 참조하면, 기판 프로세싱 시스템 (100) 은 상부 표면 (112), 측벽들 (114) 및 하단 표면 (116) 을 가진 프로세싱 챔버 (110) 를 포함한다. 칼라 (122) 는 베이스 부분 (124) 및 베이스 부분 (124) 으로부터 하향으로 연장하는 스템 부분 (126) 을 포함한다. 칼라 (122) 는 가스 채널 (128) 을 규정한다. 일부 예들에서, 가스 채널은 칼라 (122) 와 샤워헤드의 스템 부분 사이에 규정된다. 다른 예들에서, 칼라 (122) 는 가스 채널을 단독으로 규정한다. 일부 예들에서, 가스 채널 (128) 은 환형-형상이다. 유입부 (129) 는 2차 퍼지 가스와 같은 가스 소스를 가스 채널 (128) 에 연결하도록 사용될 수도 있다. 스템 부분 (126) 은 가스를 가스 채널 (128) 로부터 프로세싱 챔버 (110) 의 상부 표면 (112) 에 대해 평행한 방향으로 방사상으로 외향으로 지향시키는 하나 이상의 가스 슬릿들 (130) 을 규정한다.
샤워헤드 (144) 는 실린더형 베이스 부분 (146) 및 스템 부분 (152) 을 포함한다. 실린더형 베이스 부분 (146) 은 측벽들 (114) 에 대한 갭을 규정하도록 스템 부분 (152) 으로부터 방사상으로 외향으로 연장한다. 실린더형 베이스 부분 (146) 은 가스 플레넘 (156) 을 더 규정한다. 스템 부분 (152) 은 실린더형 베이스 부분 (146) 의 가스 플레넘 (156) 과 유체로 연통하는 실린더형 가스 채널 (157) 을 규정하는 중공형 실린더형 형상을 가질 수도 있다. 가스 분산 플레이트 (158) 는 스템 부분 (152) 으로부터 실린더형 베이스 부분 (146) 으로 흐르는 가스를 분산시키도록 사용될 수도 있다. 샤워헤드 (144) 는 복수의 이격된 쓰루 홀들 (162) 을 규정하는 대면 플레이트 (160) 를 더 포함할 수도 있다. 대면 플레이트 (160) 는 대면 플레이트 (160) 아래의 기판 지지부 (168) 상에 위치된 기판 (166) 에 대해 상대적으로 균일한 방식으로 프로세스 가스를 분산시킨다.
동작 동안, 프로세스 가스는 스템 부분 (152) 의 가스 채널 (157) 을 통해 실린더형 베이스 부분 (146) 의 가스 플레넘 (156) 내로 공급된다. 가스는 가스 플레넘 (156) 으로부터 대면 플레이트 (160) 의 이격된 쓰루 홀들 (162) 을 통해 흐른다. 프로세스의 일부 부분들 동안, 2차 퍼지 가스는 칼라 (122) 의 가스 채널 (128) 로 공급될 수도 있다. 2차 퍼지 가스의 일부는 169에서 보이는 바와 같이 가스 채널 (128) 및 가스 슬릿들 (130) 을 통해 흐른다. 가스 채널 (128) 내의 2차 퍼지 가스의 나머지는 실린더형 베이스 부분 (146) 을 향하여 하향으로 흐르고 그리고 170에서 보이는 바와 같이 방사상으로 외향으로 흐른다.
실린더형 베이스 부분 (146) 과 프로세싱 챔버 (110) 의 상부 표면 (112) 사이에 위치된 2차 퍼지 가스는 174에서 보이는 바와 같이 실린더형 베이스 부분 (146) 과 측벽들 (114) 사이의 갭을 통해 흐른다. 2차 퍼지 가스의 적어도 일부는 172에서 보이는 바와 같이 재순환된다. 상기에 기술된 바와 같이, 재순환하는 2차 퍼지 가스는 디펙트들을 유발할 수도 있는 입자들을 트랩핑할 수도 있다.
재순환을 방지하도록 2차 퍼지 가스의 속도 또는 플로우를 조절하는 것은 어렵다. Peclet 수 초과의 가스 플로우는 샤워헤드의 후면으로 다시 확산하는 샤워헤드로부터의 가스들을 방지한다 (2차 퍼지 가스의 기능임). 이 효과 없이, 입자들은 후면에 도달할 것이다 (재순환이 있거나 없거나).
이제 도 3을 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (200) 은 상부 표면 (212), 측벽들 (214) 및 하단 표면 (216) 을 가진 프로세싱 챔버 (210) 를 포함한다. 특정한 플라즈마 프로세싱 챔버가 도시되지만, 다른 프로세싱 챔버들이 사용될 수도 있다. 칼라 (222) 는 베이스 부분 (224) 및 스템 부분 (226) 을 포함한다. 칼라 (222) 는 방사상으로 외측 표면 및 방사상으로 내측 표면을 포함한다. 방사상으로 내측 표면은 가스 채널 (228) 을 규정한다. 일부 예들에서, 가스 채널 (228) 은 환형 형상이다. 유입부 (229) 는 2차 퍼지 가스와 같은 가스 소스를 가스 채널 (228) 에 연결하도록 사용될 수도 있다. 이하에 더 기술될 바와 같이, 스템 부분 (226) 은 가스를 가스 채널 (228) 로부터 프로세싱 챔버 (210) 의 상부 표면 (212) 에 평행한 선에 대해 하향 각으로 지향시키는 하나 이상의 가스 슬릿들 (230) 을 규정한다. 단지 예를 들면, 가스 슬릿들 (230) 은 칼라 (222) 주위에 방사상 방향으로 이격된다. 단지 예를 들면, 가스 슬릿들 (230) 은 칼라 (222) 를 따라 축 방향으로 이격된다.
샤워헤드 (244) 는 실린더형 베이스 부분 (246) 및 스템 부분 (252) 을 포함한다. 실린더형 베이스 부분 (246) 은 가스 플레넘 (256) 을 규정한다. 스템 부분 (252) 은 실린더형 베이스 부분 (246) 의 가스 플레넘 (256) 과 유체로 연통하는 실린더형 가스 채널 (257) 을 규정하는 중공형 실린더형 형상을 가질 수도 있다. 가스 분산 플레이트 (258) 는 스템 부분 (252) 으로부터 실린더형 베이스 부분 (246) 로 흐르는 가스를 분산시키도록 사용될 수도 있다. 샤워헤드 (244) 는 복수의 이격된 쓰루 홀들 (262) 을 규정하는 대면 플레이트 (260) 를 더 포함할 수도 있다. 대면 플레이트 (260) 는 기판 지지부 (268) 상의 대면 플레이트 (260) 아래에 위치된 기판 (266) 에 대해 상대적으로 균일한 방식으로 가스를 분산시킨다.
원추형 표면 (274) 은 스템 부분 (252) 및 실린더형 베이스 부분 (246) 의 일부분을 따라 배치된다. 단지 예를 들면, 원추형 표면 (274) 은 중공형이거나 (도시된 바와 같음) 속이 채워져 있을 수 있다. 단지 예를 들면, 원추형 표면 (274) 은 원추형 표면 (274) 에 부착되는 샤워헤드 (244) 또는 분리된 표면과 통합될 수도 있다 (도시된 바와 같음). 원추형 표면 (274) 은 칼라 (222) 의 스템 부분 (252) 을 수용하기 위한 중심 개구부 (276) 를 포함한다. 원추형 표면 (274) 은 또한 실린더형 베이스 부분 (246) 의 상부 표면에 인접하게 배치되고 그리고/또는 실린더형 베이스 부분 (246) 의 상부 표면에 연결되는 방사상으로 외측 에지 (278) 를 포함한다.
뒤집힌 원추형 표면 (282) 은 프로세싱 챔버 (210) 의 상부 표면 (212) 및/또는 측벽들 (214) 에 인접하게 배치되고 그리고/또는 프로세싱 챔버 (210) 의 상부 표면 (212) 및/또는 측벽들 (214) 에 연결된다. 단지 예를 들면, 패스너들 (284) 이 사용될 수도 있다. 단지 예를 들면, 뒤집힌 원추형 표면 (282) 은 중공형이거나 속이 채워져 있을 수도 있다. 단지 예를 들면, 뒤집힌 원추형 표면 (282) 은 뒤집힌 원추형 표면 (282) 에 부착되는 상단 표면 (212) 및/또는 측벽들 (214) 또는 분리된 표면과 통합될 수도 있다 (도시된 바와 같음). 원추형 표면 (274) 및 뒤집힌 원추형 표면 (282) 은 플로우 채널 (290) 을 규정하는 대면 표면들 (286 및 288) 을 각각 포함한다. 일부 예들에서, 플로우 채널 (290) 의 대면 표면들 (286 및 288) 은 일반적으로 일정한 갭을 규정하고 그리고 일반적으로 평행하다. 다른 예들에서, 대면 표면들 (286 및 288) 에 의해 규정된 플로우 채널 (290) 의 각은 가스 슬릿들 (230) 로부터 흐르는 2차 퍼지 가스에 의해 규정된 각과 대략적으로 동일하다.
동작 동안, 프로세스 가스는 스템 부분 (252) 의 실린더형 가스 채널 (257) 을 통해 실린더형 베이스 부분 (246) 의 가스 플레넘 (256) 내로 공급된다. 가스는 가스 플레넘 (256) 으로부터 이격된 쓰루 홀들 (262) 을 통해 흐른다.
프로세스의 일부 부분들 동안, 2차 퍼지 가스는 칼라 (222) 의 가스 채널 (228) 로 공급될 수도 있다. 269에서 보이는 바와 같이 2차 퍼지 가스는 가스 채널 (228) 및 가스 슬릿들 (230) 을 통해 흐른다. 292에서 보이는 바와 같이 플로우 채널 (290) 내의 2차 퍼지 가스는 실린더형 베이스 부분 (246) 과 측벽들 (214) 사이의 갭을 통과한다. 보이는 바와 같이, 도 3의 배치는 2차 퍼지 가스의 실질적으로 라미나형 플로우를 보장하고, 이는 재순환 및 디펙트들을 감소시킨다.
일부 예들에서, 원추형 표면 (274) 은 실린더형 베이스 부분 (246) 의 방사상으로 외측 에지로부터 샤워헤드 (244) 의 스템 부분 (252) 의 방사상으로 외측 에지로 연장한다. 다른 예들에서, 원추형 표면 (274) 은 실린더형 베이스 부분 (246) 의 방사상으로 외측 에지 근방의 지점으로부터 샤워헤드 (244) 의 스템 부분 (252) 의 방사상으로 외측 에지로 연장한다. 즉, 갭은 실린더형 베이스 부분 (246) 에 인접하게 생성될 수도 있다. 다른 예들에서, 원추형 표면 (274) 은 실린더형 베이스 부분 (246) 의 방사상으로 외측 에지로부터 샤워헤드 (244) 의 스템 부분 (252) 의 방사상으로 외측 에지 근방의 지점으로 연장한다. 즉, 갭은 샤워헤드 (244) 에 인접하게 생성될 수도 있다. 여전히 다른 예들에서, 갭들은 원추형 표면 (274) 의 양측들에 생성된다.
일부 예들에서, 뒤집힌 원추형 표면 (282) 은 측벽들 (214) 로부터 칼라 (222) 의 스템 부분 (226) 의 방사상으로 외측 에지로 연장한다. 일부 예들에서, 뒤집힌 원추형 표면 (282) 은 측벽들 (214) 근방의 지점으로부터 칼라 (222) 의 스템 부분 (226) 의 방사상으로 외측 에지로 연장한다. 즉, 갭은 뒤집힌 원추형 표면 (282) 과 측벽들 (214) 사이에 생성될 수도 있다. 일부 예들에서, 뒤집힌 원추형 표면 (282) 은 측벽들 (214) 로부터 칼라 (222) 의 스템 부분 (226) 의 방사상으로 외측 에지 근방의 지점으로 연장한다. 즉, 갭은 뒤집힌 원추형 표면 (282) 과 칼라 (222) 사이에 생성될 수도 있다. 여전히 다른 예들에서, 갭들은 뒤집힌 원추형 표면 (282) 의 양측들에 생성된다.
이제 도 4를 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (300) 은 상부 표면 (312), 측벽들 (314) 및 하단 표면 (316) 을 가진 프로세싱 챔버 (310) 를 포함한다. 특정한 플라즈마 프로세싱 챔버가 도시되지만, 다른 프로세싱 챔버들이 사용될 수도 있다.
칼라 (322) 는 베이스 부분 (324) 및 스템 부분 (326) 을 포함한다. 칼라 (322) 는 방사상으로 외측 표면 및 방사상으로 내측 표면을 포함한다. 칼라 (322) 는 가스 채널 (328) 을 규정한다. 일부 예들에서, 가스 채널 (328) 은 환형 형상이다. 유입부 (329) 는 퍼지 가스와 같은 가스 소스를 환형 가스 채널 (328) 에 연결하도록 사용될 수도 있다. 스템 부분 (326) 은 2차 퍼지 가스를 칼라 (322) 를 통해 가스 채널 (328) 로부터 프로세싱 챔버 (310) 내로 지향시키는 스템 부분 (326) 주위에 배치되는 하나 이상의 가스 슬릿들 (330-1, 330-2, ..., 330-S) (집합적으로 가스 슬릿들 (330)) 을 규정한다. 칼라 (322) 는 또한 가스 채널 (328) 로의 하부 개구부를 규정할 수도 있다. 사용된다면, 2차 퍼지 가스는 또한 칼라 (322) 의 하부 개구부를 통해 흐른다.
샤워헤드 (344) 는 실린더형 베이스 부분 (346) 및 스템 부분 (352) 을 포함한다. 실린더형 베이스 부분 (346) 은 가스 플레넘 (356) 을 규정한다. 스템 부분 (352) 은 실린더형 베이스 부분 (346) 의 가스 플레넘 (356) 과 유체로 연통하는 가스 채널 (357) 을 규정하는 중공형 실린더형 형상을 가질 수도 있다. 가스 분산 플레이트 (358) 는 스템 부분 (352) 으로부터 실린더형 베이스 부분 (346) 으로 흐르는 가스를 분산시키도록 사용될 수도 있다. 샤워헤드 (344) 는 복수의 이격된 쓰루 홀들 (362) 을 규정한 대면 플레이트 (360) 를 더 포함할 수도 있다. 대면 플레이트 (360) 는 기판 지지부 (368) 상의 대면 플레이트 (360) 아래에 위치된 기판 (366) 에 대해 상대적으로 균일한 방식으로 가스를 분산시킨다.
일부 예들에서, 칼라 (322) 의 스템 부분 (326) 상의 가스 슬릿들 (330) 은 가스를 가스 채널 (328) 로부터 프로세싱 챔버 (310) 의 상부 표면 (312) 에 대해 일반적으로 평행한 방향으로 지향시킨다. 일부 예들에서, 실린더형 베이스 부분 (346) 으로 수직 거리가 감소하기 때문에 칼라 (322) 의 스템 부분 (326) 의 내측 표면 (331) 은 단조적으로 증가하는 직경을 갖는다. 내측 표면 (331) 은 칼라 (322) 와 스템 부분 (352) 사이의 단조적으로 증가하는 갭을 규정한다. 가스 슬릿들 (330) 중 인접한 가스 슬릿들, 칼라 (322) 의 스템 부분 (326) 및 샤워헤드 (344) 의 스템 부분 (352) 사이에 경계가 있는 구역들 (332-1, 332-2, ... 332-S) 은 일반적으로 사다리꼴 단면을 가진 환형 형상이다. 일부 예들에서, 사다리꼴 단면의 방사상으로 내측 각들은 대략적으로 직각들이고 그리고 구역들 (332) 의 방사상으로 외측 표면들은 상단으로부터 하단으로 단조적으로 증가하는 직경을 갖는다.
뒤집힌 원추형 표면 (382) 은 프로세싱 챔버 (310) 의 상부 표면 (312) 에 인접하게 배치되고 그리고/또는 프로세싱 챔버 (310) 의 상부 표면 (312) 에 연결된다. 단지 예를 들면, 패스너들 (384) 이 사용될 수도 있다. 뒤집힌 원추형 표면 (382) 은 수평 가스 플로우를 하향 방향으로 재지향시키는 기울어진 표면 (386) 을 포함한다. 일부 예들에서, 기울어진 표면들 (386) 은 가스 슬릿들 (330) 로부터의 플로우에 대해 예각으로 배치된다. 일부 예들에서, 기울어진 표면들 (386) 은 가스 슬릿들 (330) 로부터의 플로우에 대해 30° 내지 60°의 각으로 배치된다. 일부 예들에서, 기울어진 표면들 (386) 은 가스 슬릿들 (330) 로부터의 플로우에 대해 40° 내지 50°의 각으로 배치된다. 일부 예들에서, 뒤집힌 원추형 표면 (382) 의 방사상으로 내측 에지는 칼라 (322) 로부터 이격된다. 일부 예들에서, 뒤집힌 원추형 표면 (382) 의 방사상으로 외측 에지의 하단 부분은 실린더형 베이스 부분 (346) 의 상부 표면에 또는 실린더형 베이스 부분 (346) 의 상부 표면 위에 위치된다.
스페이서 (370) 는 샤워헤드 (344) 의 스템 부분 (352) 에 대해 칼라 (322) 의 위치를 유지하도록 제공될 수도 있다. 스페이서 (370) 는 스템 부분 (352) 주위에 배치되고 실린더형 베이스 부분 (346) 상에 놓인 환형 베이스 부분 (371) 을 포함할 수도 있다. 스페이서 (370) 는 환형 베이스 부분 (371) 으로부터 상향으로 돌출한 2 개 이상의 암들 (372) 을 더 포함한다. 암들 (372) 의 상부 단부는 스템 부분 (326) 의 내측 표면을 상향 방향 및 외향 방향으로 바이어싱한다.
동작 동안, 프로세스 가스는 스템 부분 (352) 의 가스 채널 (357) 을 통해 실린더형 베이스 부분 (346) 의 가스 플레넘 (356) 내로 공급된다. 가스는 가스 플레넘 (356) 으로부터 이격된 쓰루 홀들 (362) 을 통해 흐른다.
프로세스의 일부 부분들 동안, 2차 퍼지 가스는 칼라 (322) 의 가스 채널 (328) 로 공급될 수도 있다. 369에서 보이는 바와 같이 2차 퍼지 가스는 가스 채널 (328) 및 가스 슬릿들 (330) 을 통해 흐른다. 가스 플로우 369는 샤워헤드 (344) 와 측벽들 (314) 사이의 갭 (387) 을 향하여 뒤집힌 원추형 표면 (382) 의 기울어진 표면들 (386) 에 의해 일반적으로 하향으로 재지향된다. 2차 퍼지 가스의 다른 부분들은 스템 부분 (326) 의 하단에서 하향으로 그리고 측벽들 (314) 을 향하여 외향으로 흐른다. 이해될 수 있는 바와 같이, 뒤집힌 원추형 표면 (382) 과 칼라 (322) 의 조합은 샤워헤드 (344) 위의 영역 내의 재순환을 감소시킨다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 리모트 액세스를 인에이블할 수도 있다. 일부 예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (18)

  1. 기판 프로세싱 시스템의 프로세싱 챔버에 있어서,
    상부 표면, 측벽들, 및 하단 표면;
    상기 프로세싱 챔버의 상기 상부 표면에 연결되고 상기 상부 표면으로부터 하향으로 연장하는 샤워헤드로서, 상기 샤워헤드는 스템 부분 및 베이스 부분을 포함하고, 상기 베이스 부분과 상기 상부 표면 사이의 상기 샤워헤드 위의 상기 프로세싱 챔버 내에 볼륨이 규정되는, 상기 샤워헤드;
    상기 샤워헤드를 상기 프로세싱 챔버의 상기 상부 표면에 연결하는 칼라로서, 상기 칼라는 상기 칼라의 방사상 내측 표면과 상기 샤워헤드의 상기 스템 부분 사이에 가스 채널을 규정하고, 그리고 상기 칼라는 상기 가스 채널로부터 상기 샤워헤드 위의 상기 볼륨으로 퍼지 가스들을 지향시키도록 구성된 하나 이상의 슬릿들을 포함하는, 상기 칼라; 및
    상기 프로세싱 챔버의 상기 상부 표면 및 상기 측벽들 아래에 그리고 상기 프로세싱 챔버의 상기 상부 표면 및 상기 측벽들에 인접하게 배치된 뒤집힌 원추형 표면을 포함하고, 상기 뒤집힌 원추형 표면은 상기 샤워헤드 위의 상기 프로세싱 챔버 내의 상기 볼륨에 공급된, 상기 칼라의 상기 하나 이상의 슬릿들로부터의 가스 플로우를 (i) 수평 방향으로부터 하향 방향으로 그리고 (ii) 상기 베이스 부분의 방사상으로 외측 부분과 상기 프로세싱 챔버의 상기 측벽들 사이의 갭 내로 재지향시키도록 배치된 기울어진 표면을 포함하는, 프로세싱 챔버.
  2. 제 1 항에 있어서,
    상기 베이스 부분은 가스 플레넘을 규정하고 그리고 상기 스템 부분은 상기 가스 플레넘과 유체로 연통하는 가스 채널을 규정하는, 프로세싱 챔버.
  3. 제 1 항에 있어서,
    상기 샤워헤드는 상기 프로세싱 챔버 내로 가스를 분산시키도록 구성된 대면 플레이트를 포함하는, 프로세싱 챔버.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 방사상으로 내측 표면은 상기 프로세싱 챔버의 상기 상부 표면으로부터 수직 거리가 증가함에 따라 증가하는 직경을 갖는, 프로세싱 챔버.
  6. 제 1 항에 있어서,
    상기 하나 이상의 슬릿들은 상기 수평 방향으로 상기 퍼지 가스들을 지향시키는, 프로세싱 챔버.
  7. 제 5 항에 있어서,
    상기 기울어진 표면은 상기 수평 방향에 대해 예각으로 배치되는, 프로세싱 챔버.
  8. 제 7 항에 있어서,
    상기 기울어진 표면은 상기 수평 방향에 대해 30° 내지 60°의 각도로 배치되는, 프로세싱 챔버.
  9. 제 7 항에 있어서,
    상기 기울어진 표면은 상기 수평 방향에 대해 40° 내지 50°의 각도로 배치되는, 프로세싱 챔버.
  10. 제 1 항에 있어서,
    상기 뒤집힌 원추형 표면의 방사상으로 내측 에지는 상기 칼라로부터 이격되는, 프로세싱 챔버.
  11. 제 1 항에 있어서,
    상기 샤워헤드의 상기 스템 부분에 대해 상기 칼라의 위치를 유지하도록 구성된 스페이서를 더 포함하는, 프로세싱 챔버.
  12. 제 11 항에 있어서,
    상기 스페이서는 상기 샤워헤드의 상기 베이스 부분 상에 그리고 상기 샤워헤드의 상기 스템 부분 둘레에 배치된 환형 베이스 부분을 포함하는, 프로세싱 챔버.
  13. 제 12 항에 있어서,
    상기 스페이서는 상기 환형 베이스 부분으로부터 상향으로 돌출하는 2 개 이상의 암들을 포함하고, 상기 2 개 이상의 암들의 상부 단부들은 상향 방향 및 외향 방향으로 상기 칼라를 바이어싱하는, 프로세싱 챔버.
  14. 제 1 항에 있어서,
    상기 뒤집힌 원추형 표면을 상기 프로세싱 챔버의 상기 상부 표면에 연결하는 패스너들을 더 포함하는, 프로세싱 챔버.
  15. 제 1 항에 있어서,
    상기 베이스 부분에 인접하고 상기 샤워헤드의 상기 스템 부분 둘레에 배치된 원추형 표면을 더 포함하고, 상기 원추형 표면 및 상기 뒤집힌 원추형 표면은 상기 샤워헤드의 상기 스템 부분으로부터 방사상으로 외향으로 연장하는 기울어진 가스 채널을 규정하는, 프로세싱 챔버.
  16. 기판 프로세싱 시스템의 프로세싱 챔버를 위한 샤워헤드 어셈블리에 있어서,
    상기 프로세싱 챔버의 상부 표면에 연결되고 이로부터 하향으로 연장하도록 구성된 샤워헤드로서, 상기 샤워헤드는 스템 부분 및 베이스 부분을 포함하고, 상기 베이스 부분과 상기 상부 표면 사이의 상기 샤워헤드 위의 상기 프로세싱 챔버 내에 볼륨이 규정되는, 상기 샤워헤드;
    상기 베이스 부분에 인접하고 상기 샤워헤드의 스템 부분 둘레에 배치된 원추형 표면;
    상기 프로세싱 챔버의 상기 상부 표면에 상기 샤워헤드를 연결하도록 구성된 칼라로서, 상기 칼라는 상기 칼라의 방사상으로 내측 표면과 상기 샤워헤드의 상기 스템 부분 사이에 가스 채널을 규정하고, 그리고 상기 칼라는 상기 칼라 내에 형성된 상기 가스 채널로부터 그리고 상기 원추형 표면과 뒤집힌 원추형 표면 사이에 규정된 기울어진 가스 채널 내로 퍼지 가스들을 지향시키도록 구성된 하나 이상의 슬릿들을 포함하는, 상기 칼라; 및
    상기 프로세싱 챔버의 측벽들에 인접하게 그리고 상기 상부 표면 아래에 그리고 상기 상부 표면에 인접하게 배치되도록 구성된 뒤집힌 원추형 표면을 포함하고, 상기 원추형 표면 및 상기 뒤집힌 원추형 표면은, 상기 칼라의 상기 하나 이상의 슬릿들로부터의 가스 플로우를 재지향시키도록, 상기 샤워헤드 위의 상기 프로세싱 챔버 내의 상기 볼륨에 공급된 가스 플로우를 재지향시키도록 상기 샤워헤드의 상기 스템 부분으로부터 방사상 외향으로 그리고 하향으로 연장하는 기울어진 가스 채널을 규정하는, 샤워헤드 어셈블리.
  17. 삭제
  18. 제 16 항에 기재된 샤워헤드 어셈블리를 포함하는 프로세싱 챔버.
KR1020220030029A 2016-07-11 2022-03-10 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들 KR102535931B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/207,035 US10403474B2 (en) 2016-07-11 2016-07-11 Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US15/207,035 2016-07-11
KR1020170081742A KR102374558B1 (ko) 2016-07-11 2017-06-28 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170081742A Division KR102374558B1 (ko) 2016-07-11 2017-06-28 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들

Publications (2)

Publication Number Publication Date
KR20220034099A KR20220034099A (ko) 2022-03-17
KR102535931B1 true KR102535931B1 (ko) 2023-05-26

Family

ID=60911046

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170081742A KR102374558B1 (ko) 2016-07-11 2017-06-28 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR1020220030029A KR102535931B1 (ko) 2016-07-11 2022-03-10 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170081742A KR102374558B1 (ko) 2016-07-11 2017-06-28 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들

Country Status (6)

Country Link
US (3) US10403474B2 (ko)
JP (2) JP7058953B2 (ko)
KR (2) KR102374558B1 (ko)
CN (2) CN107610996B (ko)
SG (1) SG10201705320PA (ko)
TW (1) TW201812081A (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
KR102518372B1 (ko) * 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
WO2023183009A1 (en) * 2022-03-25 2023-09-28 Lam Research Corporation Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
WO2024076477A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Showerhead for diffusion bonded, multi-zone gas dispersion
CN117059466A (zh) * 2023-10-13 2023-11-14 江苏邑文微电子科技有限公司 半导体沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224775A (ja) 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2013225684A (ja) 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
EP1240366B1 (en) * 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP3883918B2 (ja) * 2002-07-15 2007-02-21 日本エー・エス・エム株式会社 枚葉式cvd装置及び枚葉式cvd装置を用いた薄膜形成方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US20080141509A1 (en) 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
KR101060664B1 (ko) 2007-08-07 2011-08-31 에프에스아이 인터내쇼날 인크. 하나 이상의 처리유체로 전자소자를 처리하는 장비의 배리어 판 및 벤튜리 시스템의 세정방법 및 관련 장치
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
KR101028408B1 (ko) * 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101603176B1 (ko) * 2010-02-12 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 가스 유동 개선들
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법
JP6011074B2 (ja) * 2012-01-20 2016-10-19 富士通株式会社 電子装置の製造方法及び電子装置の製造装置
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10008367B2 (en) * 2013-06-26 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Gas diffuser unit, process chamber and wafer processing method
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
TWI524388B (zh) 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5764228B1 (ja) 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224775A (ja) 2008-02-20 2009-10-01 Tokyo Electron Ltd ガス供給装置、成膜装置及び成膜方法
JP2013225684A (ja) 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法

Also Published As

Publication number Publication date
US10840061B2 (en) 2020-11-17
CN113658844A (zh) 2021-11-16
US20220230849A1 (en) 2022-07-21
US20190385817A1 (en) 2019-12-19
US10403474B2 (en) 2019-09-03
CN107610996B (zh) 2021-07-13
US20180012733A1 (en) 2018-01-11
KR20220034099A (ko) 2022-03-17
JP7058953B2 (ja) 2022-04-25
KR102374558B1 (ko) 2022-03-14
CN107610996A (zh) 2018-01-19
CN113658844B (zh) 2024-05-28
JP2018011056A (ja) 2018-01-18
KR20180006845A (ko) 2018-01-19
JP2022095877A (ja) 2022-06-28
SG10201705320PA (en) 2018-02-27
JP7395644B2 (ja) 2023-12-11
TW201812081A (zh) 2018-04-01

Similar Documents

Publication Publication Date Title
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
KR20190087608A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US20160093472A1 (en) Gas distribution device with actively cooled grid
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
KR20230073144A (ko) 트루 라디칼 (true radical) 프로세싱을 위한 리모트 플라즈마 아키텍처

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant