KR102088596B1 - Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스 - Google Patents

Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스 Download PDF

Info

Publication number
KR102088596B1
KR102088596B1 KR1020190082119A KR20190082119A KR102088596B1 KR 102088596 B1 KR102088596 B1 KR 102088596B1 KR 1020190082119 A KR1020190082119 A KR 1020190082119A KR 20190082119 A KR20190082119 A KR 20190082119A KR 102088596 B1 KR102088596 B1 KR 102088596B1
Authority
KR
South Korea
Prior art keywords
source
output
signal
switch
generator
Prior art date
Application number
KR1020190082119A
Other languages
English (en)
Other versions
KR20200006010A (ko
Inventor
엘러 와이. 주코
카를 프레드릭 리저
화탄 치우
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200006010A publication Critical patent/KR20200006010A/ko
Priority to KR1020200027730A priority Critical patent/KR102261127B1/ko
Application granted granted Critical
Publication of KR102088596B1 publication Critical patent/KR102088596B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

다중-신호 RF (radio frequency) 소스는 RF 소스; 및 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치를 포함한다. 스위치는 제 1 출력부 및 제 2 출력부 중 하나에 입력부를 선택적으로 연결하도록 구성된다. 다중-신호 RF 소스의 제 1 출력부와 연통하는 RF 생성기가 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된다. 다중-신호 RF 소스의 제 2 출력부와 연통하는 리모트 플라즈마 생성기가 프로세싱 챔버로 리모트 플라즈마를 공급하도록 구성된다.

Description

RF 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 RF 신호 소스{RADIO FREQUENCY (RF) SIGNAL SOURCE SUPPLYING RF PLASMA GENERATOR AND REMOTE PLASMA GENERATOR}
관련 출원들의 교차 참조
본 출원은 2018년 7월 9일 출원된 미국 특허 가출원 번호 제 62/695,693 호의 이익을 주장한다. 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 RF 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 RF 신호 소스에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들이 반도체 웨이퍼들과 같은 기판들의 에칭, 증착, 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은, 이로 제한되는 것은 아니지만, CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition) 프로세스, ALD (atomic layer deposition), ALE (atomic layer etch), PEALD (plasma enhanced atomic layer deposition), 및/또는 다른 에칭, 증착 및 세정 프로세스들을 포함한다.
프로세싱 동안, 기판이 기판 프로세싱 시스템의 프로세싱 챔버 내 페데스탈, ESC (electrostatic chuck), 등과 같은 기판 지지부 상에 배치된다. 프로세스 가스 혼합물이 기판을 처리하기 위해 프로세싱 챔버 내로 도입된다. 일부 예들에서, 프로세싱 챔버 내에서 화학 반응들을 향상시키도록 플라즈마가 스트라이킹될 수도 있다.
미리 결정된 인터벌 또는 미리 결정된 수의 기판들의 프로세싱 후, 프로세싱 챔버는 세정될 수도 있다. 일부 프로세싱 챔버들은 프로세싱 챔버의 내부 표면들 상에 막 또는 다른 물질들의 구축물 (buildup) 을 제거하도록 외부에서 플라즈마를 생성하고 프로세싱 챔버에 플라즈마를 공급하는 리모트 플라즈마 생성기를 포함한다.
다중-신호 RF (radio frequency) 소스는 RF 소스; 및 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치를 포함한다. 스위치는 제 1 출력부 및 제 2 출력부 중 하나에 입력부를 선택적으로 연결하도록 구성된다. 다중-신호 RF 소스의 제 1 출력부와 연통하는 RF 생성기가 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된다. 다중-신호 RF 소스의 제 2 출력부와 연통하는 리모트 플라즈마 생성기가 프로세싱 챔버로 리모트 플라즈마를 공급하도록 구성된다.
다른 특징들에서, 다중-신호 RF 소스는 제 1 출력부에 연결되고 제 2 출력부에 연결되지 않은 필터를 더 포함한다. RF 생성기는 필터에 연결된다.
다른 특징들에서, RF 소스는 주기적인 신호를 공급한다. 필터는 RF 소스의 출력의 기본 주파수를 통과시키는 저역 통과 필터를 포함한다. 필터는 RF 소스의 출력의 적어도 하나의 고조파를 통과시키고 RF 소스의 출력의 또 다른 고조파를 차단하도록 대역 통과 필터를 포함한다.
다른 특징들에서, 제어기가 RF 생성기, 리모트 플라즈마 생성기 및 스위치를 제어하도록 구성된다. 제어기는 제 1 상태 및 제 2 상태 중 하나로 스위치의 상태를 설정하고; 제 1 스위치가 제 1 상태에 있을 때 스위치로 하여금 RF 소스를 제 1 출력부에 연결하고 RF 소스를 제 2 출력부에 연결하지 않게 하고, 그리고 제 1 스위치가 제 2 상태에 있을 때 스위치로 하여금 RF 소스를 제 2 출력부에 연결하고 RF 소스를 제 1 출력부에 연결하지 않게 하도록 구성된다.
기판 프로세싱 시스템에서 기판들을 프로세싱하기 위해 RF 전력을 공급하는 방법 은 RF 소스 및 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치를 제공하는 단계; 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된, RF 생성기를 다중-신호 RF 소스의 제 1 출력부에 연결하는 단계; 프로세싱 챔버를 세정하기 위해 리모트 플라즈마를 공급하도록 구성된 리모트 플라즈마 생성기를 다중-신호 RF 소스의 제 2 출력부에 연결하는 단계; 및 스위치를 사용하여 입력부를 제 1 출력부 및 제 2 출력부 중 하나에 선택적으로 연결하는 단계를 포함한다.
다른 특징들에서, 방법은 제 1 가스 혼합물을 프로세싱 챔버에 공급하는 단계; 및 제 2 가스 혼합물을 리모트 플라즈마 생성기에 공급하는 단계를 포함한다. 방법은 스위치의 제 1 출력부와 RF 생성기 사이에 필터를 배치하는 단계를 포함한다.
방법은 RF 소스를 사용하여 주기적인 신호를 공급하는 단계를 포함한다. 방법은, 필터를 사용하여, RF 소스의 출력부의 기본 주파수를 통과시키는 단계를 포함한다. 방법은 필터를 사용하여, RF 소스의 출력의 적어도 하나의 고조파를 통과시키고 RF 소스의 출력의 또 다른 고조파를 차단하는 단계를 포함한다.
다른 특징들에서, 방법은 제 1 상태 및 제 2 상태 중 하나로 스위치의 상태를 설정하는 단계; 제 1 스위치가 제 1 상태에 있을 때 RF 소스를 제 1 출력부로 연결하고 RF 소스를 제 2 출력부에 연결하지 않는 단계; 및 제 1 스위치가 제 2 상태에 있을 때 RF 소스를 제 2 출력부에 연결하고 RF 소스를 제 1 출력부에 연결하지 않는 단계를 포함한다.
기판 프로세싱 시스템에서 기판들을 프로세싱하기 위해 RF 전력을 공급하는 방법은 RF 소스를 제공하는 단계; RF 소스로부터 리모트 플라즈마 생성기로 전력을 공급하지 않는 동안, 프로세싱 챔버의 컴포넌트에 RF 전력을 공급하도록 구성된 RF 생성기로 RF 소스로부터 전력을 선택적으로 공급하는 단계; 및 RF 소스로부터 RF 생성기로 전력을 공급하지 않는 동안, 리모트 플라즈마를 프로세싱 챔버로 공급하도록 구성된 리모트 플라즈마 생성기로 RF 소스로부터 전력을 선택적으로 공급하는 단계를 포함한다.
다른 특징들에서, 방법은 제 1 가스 혼합물을 프로세싱 챔버에 공급하는 단계; 및 제 2 가스 혼합물을 리모트 플라즈마 생성기에 공급하는 단계를 포함한다. 방법은 RF 소스를 사용하여 주기적인 신호를 공급하는 단계를 포함한다. 방법은 RF 소스의 출력의 기본 주파수를 RF 생성기로 통과시키는 단계를 포함한다. 방법은 RF 생성기로 RF 소스의 출력의 또 다른 고조파를 차단하는 동안, RF 소스의 출력의 적어도 하나의 고조파를 RF 생성기로 통과시키는 단계를 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 RF 생성기 및 리모트 플라즈마 생성기를 포함하는 기판 프로세싱 시스템의 일 예의 기능적 블록도이다.
도 2는 본 개시에 따른, RF 생성기 및 RF 소스를 공유하는 리모트 플라즈마 생성기를 포함하는 기판 프로세싱 시스템의 일 예의 기능적 블록도이다.
도 3은 도 2의 RF 소스를 동작시키는 방법의 일 예의 플로우차트이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
기판 프로세싱 시스템들의 상이한 컴포넌트들이 RF 신호들을 공급하기 위해 RF 신호 소스들을 필요로할 수도 있다. 때때로 컴포넌트들은 기판 프로세싱 시스템의 동작 동안 동일한 시간에 동작되지 않는다. 예를 들어, 기판 처리 동안 플라즈마를 생성하는 RF 생성기 및 챔버 세정을 위해 리모트 플라즈마를 공급하는 리모트 플라즈마 생성기는 통상적으로 동시에 동작하지 않는다.
시스템 비용을 저감시키기 위해, 본 개시에 따른 RF 소스는 제 1 시간 기간들 동안 기판 프로세싱 시스템의 제 1 컴포넌트에 제 1 RF 신호 그리고 제 2 시간 기간들 동안 기판 프로세싱 시스템의 제 2 컴포넌트로 제 2 RF 신호를 공급한다 (제 1 시간 기간들 및 제 2 시간 기간들은 오버랩하지 않음). 즉, RF 소스는 시간 다중이다 (time multiplexed). 그 결과, 단 하나의 RF 소스가 기판 프로세싱 시스템의 2 이상의 컴포넌트들을 공급하기 위해 필요하고 적어도 하나의 RF 소스와 연관된 비용이 제거될 수 있다.
일부 예들에서, 제 1 RF 신호 및 제 2 RF 신호는 동일한 주파수를 갖지만 상이한 타입들의 파형들이다. 다른 예들에서, 제 1 RF 신호 및 제 2 RF 신호는 동일하다. 여전히 다른 예들에서, 제 1 RF 신호 및 제 2 RF 신호는 상이한 주파수들을 갖고 상이한 타입들의 파형들이다.
일부 예들에서, 기판 프로세싱 시스템의 제 1 컴포넌트는 리모트 플라즈마 생성기 (또한 리모트 플라즈마 소스라고 함) 를 포함하고 기판 프로세싱 시스템의 제 2 컴포넌트는 RF 생성기를 포함한다. 일부 예들에서, 제 1 RF 신호는 주기적인 신호이고 제 2 RF 신호는 사인형 신호이다. 주기적인 신호들의 비제한적인 예들은 구형파 신호들, 톱니파 신호들, 삼각파 신호들, 사인형 신호들, 등을 포함한다.
제 1 RF 신호를 공급할 때, RF 소스의 스위치가 주기적인 신호를 리모트 플라즈마 생성기로 공급한다. 제 2 RF 신호를 공급할 때, RF 소스의 스위치는 주기적인 신호를 필터에 공급한다. 일부 예들에서, 필터는 사인형 신호를 생성하도록 주기적인 신호의 기본 주파수를 필터링하기 위해 저역 통과 필터를 포함한다. 대안적으로, 대역 통과 필터가 주기적인 신호와 상이한 주파수의 사인형 신호를 생성하기 위해 주기적인 신호의 또 다른 고조파 (예컨대, 제 3, 제 5 또는 다른 고조파) 를 필터링하도록 사용될 수 있다. 필터에 의해 출력되는 사인형 신호가 RF 생성기로 공급된다.
다른 예들에서, 필터들은 생략되고 다른 신호 프로세싱이 수행될 수도 있다. 예를 들어, 인버전 (inversion), 정류 (rectification), 등과 같은 포스트 프로세싱이 수행될 수 있다.
이제 도 1을 참조하면, RF 플라즈마를 사용한 에칭, 증착 또는 다른 처리와 같은 기판 처리를 수행하기 위한 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 시스템 (100) 은 상부 전극 (104) 및 ESC (electrostatic chuck) 와 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스 및 세정 가스를 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 실린더형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에 스템 부분의 반대편 단부로부터 외향으로 방사상으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
베이스 플레이트 (110) 는 세라믹 멀티-존 히팅 플레이트에 대응할 수도 있는, 히팅 플레이트 (112) 를 지지한다. 기판 지지부 (106) 는 하부 전극으로서 역할을 하는, 베이스 플레이트 (110) 를 포함한다. 내열층 (114) 이 히팅 플레이트 (112) 와 베이스 플레이트 (110) 사이에 배치될 수도 있다. 베이스 플레이트 (110) 는 베이스 플레이트 (110) 를 통해 냉각제를 흘리기 위해 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성기 (120) 가 RF 전력을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스 플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 하부 전극 중 다른 하나는 DC 접지될 수도 있거나, AC 접지될 수도 있거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성기 (120) 는 RF 전력을 공급하는 RF 소스 (122) 및 상부 전극 (104) 또는 베이스 플레이트 (110) 의 임피던스에 매칭시키기 위한 매칭 및 분배 네트워크 (124) 를 포함한다. 일부 예들에서, RF 소스 (122) 는 제 1 미리 결정된 주파수의 사인형 신호를 공급한다.
제 1 가스 전달 시스템 (135) 은 하나 이상의 반응성 가스들, 불활성 가스들 또는 다른 타입들의 가스들을 공급하는 하나 이상의 가스 소스들을 포함한다. 일부 예들에서, 반응성 가스는 할로겐 가스 종을 포함한다. 제 1 가스 전달 시스템 (135) 은 리모트 플라즈마 생성기 (138) 와 유체로 연통하는 혼합 매니폴드 (137) 로 하나 이상의 주 밸브들, MFC (mass flow controllers) 및/또는 보조 밸브들 (모두 미도시) 에 의해 연결된 하나 이상의 가스 소스들을 포함한다. 일부 예들에서, 리모트 플라즈마 생성기 (138) 는 마이크로파-기반 리모트 플라즈마 생성기, 플라즈마 튜브 또는 다른 타입의 리모트 플라즈마 생성기를 포함한다.
RF 소스 (139) 가 RF 신호를 리모트 플라즈마 생성기 (138) 로 공급한다. 일부 예들에서, RF 소스 (139) 는 제 1 미리 결정된 주파수와 동일하거나 상이할 수 있는, 제 2 미리 결정된 주파수의 주기적인 신호를 공급한다. 리모트 플라즈마 생성기 (138) 는 프로세싱 챔버 (102) 로 선택적으로 공급되는 리모트 플라즈마를 생성한다.
제 2 가스 전달 시스템 (141) 이 기판 처리 동안 (예를 들어, 챔버 세정 전) 사용하기 위해 그리고/또는 프로세싱 챔버를 퍼지하기 위해 캐리어 가스들, 가스 전구체들 및/또는 퍼지 가스들과 같은 다른 가스들 또는 가스 혼합물들을 전달하기 위해 하나 이상의 주 밸브들, MFC (mass flow controllers) 및/또는 보조 밸브들 (미도시) 에 의해 연결된 하나 이상의 가스 소스들을 포함할 수도 있다. 일부 예들에서, 제 1 가스 전달 시스템 (135) 및 제 2 가스 전달 시스템 (141) 은 각각 결합된다.
온도 제어기 (142) 가 히팅 플레이트 (112) 의 복수의 TCE들 (thermal control elements) (144) 에 연결될 수도 있다. 온도 제어기 (142) 가 기판 지지부 (106) 및 기판 (108) 의 온도를 조정하도록 복수의 TCE들 (144) 을 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 냉각제 채널들 (116) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 의 온도를 제어하기 위해 냉각제 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 는 압력을 제어하고 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 는 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 별도의 제어기들로서 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다.
이제 도 2를 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (200) 이 2 이상의 모드들에서 동작하는 다중-신호 RF 소스 (210) 를 포함한다. 다중-신호 RF 소스 (210) 는 제 1 RF 신호를 기판 프로세싱 시스템의 제 1 컴포넌트로 공급하도록 제 1 모드에서 동작한다. 다중-신호 RF 소스 (210) 는 기판 프로세싱 시스템의 제 2 컴포넌트로 제 2 RF 신호를 공급하도록 제 2 모드에서 동작한다. 예를 들어, 다중-신호 RF 소스 (210) 는 챔버 세정 동안 (기판들이 통상적으로 존재하지 않을 때) 프로세싱 챔버로 전달되는 리모트 플라즈마를 생성하도록 제 1 모드의 리모트 플라즈마 생성기로 RF 전력을 공급한다. 제 2 모드에서, 다중-신호 RF 소스 (210) 는 기판 처리 동안 프로세싱 챔버 내에서 플라즈마를 생성하도록 RF 생성기로 RF 전력을 공급한다.
일부 예들에서, 다중-신호 RF 소스 (210) 는 50 W 내지 20 kW의 범위의 RF 전력을 갖는 주기적인 신호를 공급하지만, 다른 전력 레벨들이 사용될 수 있다. 일부 예들에서, RF 생성기 (210) 에 의한 주기적인 출력은 360 kHz 내지 440 kHz의 주파수 범위이지만, 다른 주파수 범위들이 사용될 수 있다. 일부 예들에서, RF 생성기 (210) 는 유사한 전력 레벨들 및 주파수 범위들의 사인형 신호를 공급한다.
일부 예들에서, 다중-신호 RF 소스 (210) 는 미리 결정된 주파수 및 미리 결정된 진폭의 주기적인 신호를 공급하는 가변 RF 소스 (220) 를 포함한다. 가변 RF 소스 (220) 는 제 1 모드에서 동작할 때 제 1 상태로 설정되는 스위치 (224) 로 주기적인 신호를 출력한다. 스위치 (224) 는 제 2 모드에서 동작할 때 제 2 상태로 포지셔닝될 수 있다. 스위치 (224) 가 제 1 상태일 때, 주기적인 신호는 스위치 (224) 의 제 1 출력부를 통해 리모트 플라즈마 생성기 (138) 로 출력된다. 제 2 상태에서 동작할 때, 스위치의 제 2 출력부는 매칭 및 분배 네트워크 (124) 와 통신하는 출력부를 갖는 필터 (228) 로 입력된다.
일부 예들에서, 필터 (228) 는 사인형 신호의 목표된 주파수에 대응하는 기본 주파수를 통과시키는 저역 통과 필터이다. 다른 예들에서, 필터 (228) 는 (다른 고조파들을 차단하는 동안) 주기적인 하나 이상의 고조파들을 필터링하기 위한 대역 통과 필터를 포함한다. 즉, 필터 (228) 는 목표된 주파수 대역 밖의 주파수들의 신호 전력을 거절한다. 필터 (228) 는 사인형 신호인 필터링된 출력부 신호를 생성한다. 사인형 신호는 매칭 및 분배 네트워크 (124) 로 출력된다.
이제 도 3을 참조하면, RF 소스를 동작시키기 위한 방법 (300) 이 도시된다. 310에서, 방법은 프로세싱 챔버 내에서 플라즈마를 생성하도록 RF 전력을 공급하기 위한 요청이 있는지 여부를 결정한다. 310이 참이면, 스위치 (224) 는 314에서 제 2 상태로 구성된다. 318에서, RF 소스는 목표된 주파수 및 전력 레벨의 주기적인 신호를 생성한다. 일부 예들에서, 주기적인 신호는 구형파이지만, 톱니형 파형들, 사인형 파형들, 삼각 파형들, 등과 같은 다른 주기적인 신호들이 사용될 수 있다. 일부 예들에서, 주기적인 신호는 포지티브 및 네거티브 반 사이클들 동안 동일한 형상을 가질 수도 있고 또는 포지티브 및 네거티브 반 사이클들 동안 상이한 형상을 가질 수도 있다.
322에서, 필터가 거짓 신호들 및/또는 하나 이상의 고조파들을 제거하도록 사용될 수도 있다. 일부 예들에서, 저역 통과 필터가 사인형 신호의 목표된 주파수에 대응하는 기본 주파수 (또는 또 다른 고조파) 를 통과시키도록 사용되는 한편, 보다 높은 주파수들의 다른 신호 콘텐트는 차단한다. 다른 예들에서, 대역 통과 필터가 사용된다. 326에서, 필터링된 신호는 프로세싱 챔버 내에서 RF 플라즈마를 생성하도록 RF 생성기로 출력된다. 일부 예들에서, 구형파 신호가 필터링되고 필터링된 신호는 사인형이다.
310이 거짓이면, 방법은 330에서 계속되고 리모트 플라즈마가 공급되어야 하는지 여부를 결정한다. 330이 참이면, 방법은 332에서 제 1 상태의 스위치를 구성한다. 336에서, RF 소스는 목표된 주파수 및 전력 레벨의 주기적인 신호를 생성한다. 340에서, 주기적인 신호는 리모트 플라즈마 생성기로 출력된다. 여전히 다른 예들에서, 리모트 플라즈마 및 RF 플라즈마 모두에 대한 주기적인 신호에 대해 필터링이 수행된다.
특정한 예가 상기 제공되었지만, 본 개시는 다른 상황들에서 사용될 수도 있다. 보다 구체적으로, (플라즈마 소스들을 프로세싱하도록 정상적으로 연결되는) 하나 이상의 전력 공급부들이 상호 배타적인 조건들 하에서 하나 이상의 대안적인 플라즈마 소스들에 대안적으로 연결된다. 전력 공급부들은 DC (direct current), AC (alternating current), RF, 또는 보다 높은 주파수일 수도 있다. 대안적인 소스에 연결된 신호는 디바이스 출력부의 업스트림에서 위상적으로 (topologically) 추출될 수도 있고 또는 대안적인 플라즈마 소스로의 연결 전에 (대안적인 파형들을 생성하도록) 포스트 프로세싱될 수도 있다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 웨이퍼의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. RF (radio frequency) 소스; 및
    상기 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치로서, 상기 스위치는 상기 제 1 출력부 및 상기 제 2 출력부 중 하나에 상기 입력부를 선택적으로 연결하도록 구성되는, 상기 스위치;
    다중-신호 RF 소스의 제 1 출력부와 연통하고 플라즈마를 생성하도록 구성된 RF 생성기; 및
    다중-신호 RF 소스의 제 2 출력부와 연통하고 리모트 플라즈마를 공급하도록 구성된 리모트 플라즈마 생성기를 포함하는, 다중-신호 RF 소스.
  2. 제 1 항에 있어서,
    상기 다중-신호 RF 소스는 상기 제 1 출력부에 연결되고 상기 제 2 출력부에 연결되지 않은 필터를 더 포함하고; 그리고
    상기 RF 생성기는 상기 필터에 연결되는, 다중-신호 RF 소스.
  3. 제 2 항에 있어서,
    상기 RF 소스는 주기적인 신호를 공급하는, 다중-신호 RF 소스.
  4. 제 2 항에 있어서,
    상기 필터는 상기 RF 소스의 상기 출력의 기본 주파수를 통과시키는, 다중-신호 RF 소스.
  5. 제 2 항에 있어서,
    상기 필터는 상기 RF 소스의 상기 출력의 적어도 하나의 고조파를 통과시키고 상기 RF 소스의 상기 출력의 또 다른 고조파를 차단하는, 다중-신호 RF 소스.
  6. 제 1 항에 있어서,
    상기 RF 생성기, 상기 리모트 플라즈마 생성기 및 상기 스위치를 제어하도록 구성된 제어기를 더 포함하는, 다중-신호 RF 소스.
  7. 제 6 항에 있어서,
    상기 제어기는,
    제 1 상태 및 제 2 상태 중 하나로 상기 스위치의 상태를 설정하고;
    상기 제 1 스위치가 상기 제 1 상태에 있을 때 상기 스위치로 하여금 상기 RF 소스를 상기 제 1 출력부에 연결하고 상기 RF 소스를 상기 제 2 출력부에 연결하지 않게 하고, 그리고
    상기 제 1 스위치가 상기 제 2 상태에 있을 때 상기 스위치로 하여금 상기 RF 소스를 상기 제 2 출력부에 연결하고 상기 RF 소스를 상기 제 1 출력부에 연결하지 않게 하도록 구성되는, 다중-신호 RF 소스.
  8. 제 1 항에 기재된 다중-신호 RF 소스;
    프로세싱 챔버; 및
    제 1 가스 혼합물을 상기 프로세싱 챔버로 그리고 제 2 가스 혼합물을 상기 리모트 플라즈마 생성기로 선택적으로 공급하도록 구성된 가스 전달 시스템을 포함하는, 기판 프로세싱 시스템.
  9. RF 소스 및 상기 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치를 제공하는 단계;
    프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된, RF 생성기를 다중-신호 RF 소스의 상기 제 1 출력부에 연결하는 단계;
    상기 프로세싱 챔버를 세정하기 위해 리모트 플라즈마를 공급하도록 구성된 리모트 플라즈마 생성기를 상기 다중-신호 RF 소스의 상기 제 2 출력부에 연결하는 단계; 및
    상기 스위치를 사용하여 상기 입력부를 상기 제 1 출력부 및 상기 제 2 출력부 중 하나에 선택적으로 연결하는 단계를 포함하는, RF 전력을 공급하는 방법.
  10. 제 9 항에 있어서,
    제 1 가스 혼합물을 상기 프로세싱 챔버에 공급하는 단계; 및
    제 2 가스 혼합물을 상기 리모트 플라즈마 생성기에 공급하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  11. 제 9 항에 있어서,
    상기 스위치의 상기 제 1 출력부와 상기 RF 생성기 사이에 필터를 배치하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  12. 제 11 항에 있어서,
    상기 RF 소스를 사용하여 주기적인 신호를 공급하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  13. 제 12 항에 있어서,
    상기 필터를 사용하여, 상기 RF 소스의 상기 출력의 기본 주파수를 통과시키는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  14. 제 12 항에 있어서,
    상기 필터를 사용하여, 상기 RF 소스의 상기 출력의 적어도 하나의 고조파를 통과시키고 상기 RF 소스의 상기 출력의 또 다른 고조파를 차단하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  15. 제 9 항에 있어서,
    제 1 상태 및 제 2 상태 중 하나로 상기 스위치의 상태를 설정하는 단계;
    상기 제 1 스위치가 상기 제 1 상태에 있을 때 상기 RF 소스를 상기 제 1 출력부로 연결하고 상기 RF 소스를 상기 제 2 출력부에 연결하지 않는 단계; 및
    상기 제 1 스위치가 상기 제 2 상태에 있을 때 상기 RF 소스를 상기 제 2 출력부에 연결하고 상기 RF 소스를 상기 제 1 출력부에 연결하지 않는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  16. 기판 프로세싱 시스템에서 기판들을 프로세싱하기 위해 RF 전력을 공급하는 방법,
    RF 소스, 및 상기 RF 소스의 출력부와 연통하는 입력부, 제 1 출력부 및 제 2 출력부를 포함하는 스위치를 제공하는 단계로서, 상기 스위치는 상기 제 1 출력부 및 상기 제 2 출력부 중 하나에 상기 입력부를 선택적으로 연결하도록 구성되는, 상기 제공하는 단계;
    상기 RF 소스로부터 리모트 플라즈마 생성기로 전력을 공급하지 않는 동안, 다중-신호 RF 소스의 제 1 출력부와 연통하고 프로세싱 챔버의 컴포넌트에 RF 전력을 공급하도록 구성된 RF 생성기로 상기 RF 소스로부터 전력을 선택적으로 공급하는 단계; 및
    상기 RF 소스로부터 상기 RF 생성기로 전력을 공급하지 않는 동안, 상기 다중-신호 RF 소스의 제 2 출력부와 연통하고 리모트 플라즈마를 프로세싱 챔버로 공급하도록 구성된 상기 리모트 플라즈마 생성기로 상기 RF 소스로부터 전력을 선택적으로 공급하는 단계를 포함하는, RF 전력을 공급하는 방법.
  17. 제 16 항에 있어서,
    제 1 가스 혼합물을 상기 프로세싱 챔버에 공급하는 단계; 및
    제 2 가스 혼합물을 상기 리모트 플라즈마 생성기에 공급하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  18. 제 16 항에 있어서,
    상기 RF 소스를 사용하여 주기적인 신호를 공급하는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  19. 제 18 항에 있어서,
    상기 RF 소스의 상기 출력부의 기본 주파수를 상기 RF 생성기로 통과시키는 단계를 더 포함하는, RF 전력을 공급하는 방법.
  20. 제 18 항에 있어서,
    상기 RF 생성기로 상기 RF 소스의 상기 출력부의 또 다른 고조파를 차단하는 동안, 상기 RF 소스의 상기 출력부의 적어도 하나의 고조파를 상기 RF 생성기로 통과시키는 단계를 더 포함하는, RF 전력을 공급하는 방법.
KR1020190082119A 2018-07-09 2019-07-08 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스 KR102088596B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200027730A KR102261127B1 (ko) 2018-07-09 2020-03-05 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862695693P 2018-07-09 2018-07-09
US62/695,693 2018-07-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200027730A Division KR102261127B1 (ko) 2018-07-09 2020-03-05 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스

Publications (2)

Publication Number Publication Date
KR20200006010A KR20200006010A (ko) 2020-01-17
KR102088596B1 true KR102088596B1 (ko) 2020-06-01

Family

ID=69142962

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190082119A KR102088596B1 (ko) 2018-07-09 2019-07-08 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
KR1020200027730A KR102261127B1 (ko) 2018-07-09 2020-03-05 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020200027730A KR102261127B1 (ko) 2018-07-09 2020-03-05 Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스

Country Status (4)

Country Link
US (1) US11557460B2 (ko)
KR (2) KR102088596B1 (ko)
CN (1) CN112424905A (ko)
WO (1) WO2020014113A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114928932A (zh) * 2022-06-16 2022-08-19 深圳市恒运昌真空技术有限公司 复合式等离子体源系统与分体式远程等离子体设备

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4714166B2 (ja) * 2006-08-31 2011-06-29 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
CN101287327B (zh) * 2007-04-13 2011-07-20 中微半导体设备(上海)有限公司 射频功率源系统及使用该射频功率源系统的等离子体反应腔室
KR20090056475A (ko) * 2007-11-30 2009-06-03 삼성전자주식회사 플라즈마 처리장치
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
KR20100066994A (ko) * 2008-12-10 2010-06-18 주식회사 더블유엔아이 리모트 플라즈마 장치 및 그를 채용한 플라즈마 처리 장치
US20100276391A1 (en) 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US9673069B2 (en) * 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Also Published As

Publication number Publication date
KR102261127B1 (ko) 2021-06-04
US11557460B2 (en) 2023-01-17
TW202018757A (zh) 2020-05-16
KR20200006010A (ko) 2020-01-17
KR20200027941A (ko) 2020-03-13
US20210257188A1 (en) 2021-08-19
CN112424905A (zh) 2021-02-26
WO2020014113A1 (en) 2020-01-16

Similar Documents

Publication Publication Date Title
JP7395644B2 (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR20160031420A (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR20170082989A (ko) 복수의 가스 주입 지점들 및 듀얼 주입기를 포함한 기판 프로세싱 챔버
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR20180006307A (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR20180046867A (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US10725485B2 (en) System and method for calculating substrate support temperature
KR20180016300A (ko) 부분적으로 그물 형상이고 부분적으로 거의 그물 형상인 실리콘 카바이드 cvd
TWI838387B (zh) 功率供應系統、基板處理系統、及供應功率的方法
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
US10764966B2 (en) Laminated heater with different heater trace materials
KR20220024568A (ko) 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어
KR20220127895A (ko) 트렌치 프로파일 최적화를 위한 멀티 존 가스 분배 플레이트
KR20210127259A (ko) 플라즈마 챔버들을 세정하기 위한 장치
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent