KR20210127259A - 플라즈마 챔버들을 세정하기 위한 장치 - Google Patents

플라즈마 챔버들을 세정하기 위한 장치 Download PDF

Info

Publication number
KR20210127259A
KR20210127259A KR1020217032148A KR20217032148A KR20210127259A KR 20210127259 A KR20210127259 A KR 20210127259A KR 1020217032148 A KR1020217032148 A KR 1020217032148A KR 20217032148 A KR20217032148 A KR 20217032148A KR 20210127259 A KR20210127259 A KR 20210127259A
Authority
KR
South Korea
Prior art keywords
processing chamber
showerhead
gas
cleaning
collar
Prior art date
Application number
KR1020217032148A
Other languages
English (en)
Inventor
아드리엔 라부아
풀킷 아가왈
프랭크 로렌 파스콸레
프루쇼탐 쿠마
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210127259A publication Critical patent/KR20210127259A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

프로세싱 챔버를 세정하기 위한 시스템들 및 방법들은 프로세싱 챔버를 세정하기 위해 샤워헤드 스템을 둘러싸는 칼라를 통해 프로세싱 챔버 내로 사전 활성화된 세정 가스를 공급하는 것을 포함한다. 다른 실시 예들에서, 세정 가스는 칼라로 공급되고, RF 전력은 프로세싱 챔버를 세정하기 위해 프로세싱 챔버 내에 플라즈마를 생성하도록 샤워헤드 또는 페데스탈로 공급된다. 또 다른 실시 예들에서, 불활성 가스가 칼라로 공급되고, 사전 활성화된 세정 가스는 샤워헤드 스템으로 공급되고, 그리고 RF 전력은 프로세싱 챔버를 세정하기 위해 프로세싱 챔버 내에서 플라즈마를 생성하도록 샤워헤드 또는 페데스탈로 공급된다.

Description

플라즈마 챔버들을 세정하기 위한 장치
본 개시는 일반적으로 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 샤워헤드 위의 2 차 퍼지 칼라들 (purge collars) 을 통해 세정 가스를 도입함으로써 플라즈마 챔버들을 세정하는 것에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상의 막의 증착 또는 에칭과 같은 기판 처리를 수행하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 내부에 배치된 (페데스탈, 플레이트, 등과 같은) 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 기판은 처리 동안 기판 지지부 상에 배치된다. 샤워헤드와 같은 가스 확산 디바이스가 필요에 따라 프로세스 가스들 및 퍼지 가스들을 전달하고 분배하도록 프로세싱 챔버 내에 배치될 수도 있다.
관련 출원들에 대한 교차 참조
본 개시는 2019년 3월 11일에 출원된 미국 특허 출원 번호 제 62/816,820 호의 PCT 국제 출원이다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라 (collar), 가스 소스, 플라즈마 생성기, 및 제어기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 소스는 세정 가스를 공급한다. 플라즈마 생성기는 프로세싱 챔버의 외부에 있고, 가스 소스로부터 세정 가스를 수용하고, 그리고 플라즈마를 생성한다. 제어기는 프로세싱 챔버 내에서 프로세싱되지 않는 기판에 응답하여 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단한다. 제어기는 샤워헤드 둘레 및 프로세싱 챔버 내의 영역들을 세정하기 위해 플라즈마를 칼라로 그리고 슬롯들을 통해 프로세싱 챔버 내로 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스는 기판의 프로세싱 동안 공급된 퍼지 가스와 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 제어기는 미리 결정된 시간 기간 동안 플라즈마를 공급하도록 구성된다.
다른 특징들에서, 프로세싱 챔버의 세정 전에 그리고 후속하여, 제어기는 칼라로의 플라즈마 공급을 중단하도록 그리고 기판을 프로세싱하기 위해 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 소스, RF 생성기, 및 제어기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 소스는 세정 가스를 공급한다. RF 생성기는 RF 전력을 공급한다. 제어기는 프로세싱 챔버 내에서 프로세싱되지 않는 기판에 응답하여 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단한다. 제어기는 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 세정 가스를 공급한다. 제어기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 플라즈마를 생성하도록 샤워헤드에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스는 기판의 프로세싱 동안 공급된 퍼지 가스와 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 제어기는 미리 결정된 시간 기간 동안 RF 전력을 샤워헤드에 공급하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 페데스탈을 순차적으로 접지하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 페데스탈을 접지하도록 구성된다.
다른 특징들에서, 프로세싱 챔버의 세정 전에 그리고 후속하여, 제어기는 칼라로의 세정 가스 공급을 중단하도록, 그리고 기판을 프로세싱하기 위해 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 소스들, 플라즈마 생성기, RF 생성기, 및 제어기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 소스들은 세정 가스 및 불활성 가스를 공급한다. 플라즈마 생성기는 프로세싱 챔버의 외부에 있고, 세정 가스를 수용하고, 그리고 제 1 플라즈마를 생성한다. RF 생성기는 RF 전력을 공급한다. 제어기는 프로세싱 챔버 내에서 프로세싱되지 않는 기판에 응답하여 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단한다. 제어기는 제 1 플라즈마를 샤워헤드의 스템 부분으로 그리고 샤워헤드의 헤드 부분의 복수의 쓰루 홀들을 통해 프로세싱 챔버 내로 공급한다. 제어기는 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 불활성 가스를 공급한다. 제어기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 제 2 플라즈마를 생성하도록 샤워헤드에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스 및 불활성 가스는 기판의 프로세싱 동안 공급된 프로세스 가스 및 퍼지 가스와 각각 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 불활성 가스는 아르곤 (Ar), 분자 질소 (N2), 및 헬륨 (He) 으로 구성된 그룹으로부터 선택된다.
또 다른 특징에서, 제어기는 미리 결정된 시간 기간 동안 샤워헤드의 스템 부분 및 칼라로 제 1 플라즈마 및 불활성 가스를 각각 공급하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 페데스탈을 순차적으로 접지하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 페데스탈을 접지하도록 구성된다.
다른 특징들에서, 프로세싱 챔버의 세정 전에 그리고 후속하여, 제어기는 제 1 플라즈마 및 불활성 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단하도록, 그리고 기판을 프로세싱하기 위해 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 소스, RF 생성기, 및 제어기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 소스는 세정 가스를 공급한다. RF 생성기는 RF 전력을 공급한다. 제어기는 프로세싱 챔버 내에서 프로세싱되지 않는 기판에 응답하여 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단한다. 제어기는 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 세정 가스를 공급한다. 제어기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 플라즈마를 생성하도록 페데스탈에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스는 기판의 프로세싱 동안 공급된 퍼지 가스와 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 제어기는 미리 결정된 시간 기간 동안 RF 전력을 페데스탈에 공급하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 샤워헤드를 순차적으로 접지하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 샤워헤드를 접지하도록 구성된다.
다른 특징들에서, 프로세싱 챔버의 세정 전에 그리고 후속하여, 제어기는 칼라로의 세정 가스 공급을 중단하도록, 그리고 기판을 프로세싱하기 위해 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 소스들, 플라즈마 생성기, RF 생성기, 및 제어기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 소스들은 세정 가스 및 불활성 가스를 공급한다. 플라즈마 생성기는 프로세싱 챔버의 외부에 있고, 세정 가스를 수용하고, 그리고 제 1 플라즈마를 생성한다. RF 생성기는 RF 전력을 공급한다. 제어기는 프로세싱 챔버 내에서 프로세싱되지 않는 기판에 응답하여 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단한다. 제어기는 제 1 플라즈마를 샤워헤드의 스템 부분으로 그리고 샤워헤드의 헤드 부분의 복수의 쓰루 홀들을 통해 프로세싱 챔버 내로 공급한다. 제어기는 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 불활성 가스를 공급한다. 제어기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 제 2 플라즈마를 생성하도록 페데스탈에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스 및 불활성 가스는 기판의 프로세싱 동안 공급된 프로세스 가스 및 퍼지 가스와 각각 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 불활성 가스는 아르곤 (Ar), 분자 질소 (N2), 및 헬륨 (He) 으로 구성된 그룹으로부터 선택된다.
또 다른 특징에서, 제어기는 미리 결정된 시간 기간 동안 샤워헤드의 스템 부분 및 칼라로 제 1 플라즈마 및 불활성 가스를 각각 공급하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 샤워헤드를 순차적으로 접지하도록 구성된다.
또 다른 특징에서, 제어기는 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 및 샤워헤드를 접지하도록 구성된다.
다른 특징들에서, 프로세싱 챔버의 세정 전에 그리고 후속하여, 제어기는 제 1 플라즈마 및 불활성 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하는 것을 중단하도록, 그리고 기판을 프로세싱하기 위해 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 공급 시스템, 및 플라즈마 생성기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 공급 시스템은 기판의 프로세싱 동안 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급한다. 가스 공급 시스템은 프로세싱 챔버의 세정 동안 세정 가스를 공급한다. 플라즈마 생성기는 프로세싱 챔버의 외부에 있고, 가스 공급 시스템으로부터 세정 가스를 수용하고, 플라즈마를 생성하고, 그리고 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 플라즈마를 칼라로 그리고 슬롯들을 통해 프로세싱 챔버 내로 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스는 기판의 프로세싱 동안 공급된 퍼지 가스와 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 플라즈마 생성기는 미리 결정된 시간 기간 동안 플라즈마를 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 공급 시스템, 및 RF 생성기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 공급 시스템은 기판의 프로세싱 동안 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급한다. 가스 공급 시스템은 프로세싱 챔버의 세정 동안 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 세정 가스를 공급한다. RF 생성기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 플라즈마를 생성하도록 샤워헤드 또는 페데스탈에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스는 기판의 프로세싱 동안 공급된 퍼지 가스와 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, RF 생성기는 미리 결정된 시간 기간 동안 RF 전력을 샤워헤드 또는 페데스탈에 공급하도록 구성된다.
또 다른 특징들에서, 프로세싱 챔버를 세정하기 위한 시스템이 샤워헤드, 칼라, 가스 공급 시스템, 플라즈마 생성기, 및 RF 생성기를 포함한다. 샤워헤드는 프로세싱 챔버 내의 페데스탈 위에 배치된다. 샤워헤드는 스템 부분 및 헤드 부분을 포함한다. 스템 부분은 프로세싱 챔버의 상단 플레이트에 연결되고, 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용한다. 헤드 부분은 기판의 프로세싱 동안 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함한다. 칼라는 샤워헤드의 스템 부분을 둘러싸고, 캐비티를 규정하고, 그리고 기판의 프로세싱 동안 퍼지 가스를 분산시키기 위해 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함한다. 가스 공급 시스템은 기판의 프로세싱 동안 프로세스 가스 및 퍼지 가스를 샤워헤드의 스템 부분 및 칼라로 각각 공급한다. 가스 공급 시스템은 프로세싱 챔버의 세정 동안 칼라로 그리고 칼라의 슬롯들을 통해 프로세싱 챔버 내로 불활성 가스를 공급한다. 가스 공급 시스템은 프로세싱 챔버의 세정 동안 세정 가스를 공급한다. 플라즈마 생성기는 프로세싱 챔버의 외부에 있고, 가스 공급 시스템으로부터 세정 가스를 수용하고, 제 1 플라즈마를 생성하고, 그리고 제 1 플라즈마를 샤워헤드의 스템 부분으로 그리고 샤워헤드의 헤드 부분 내의 복수의 쓰루 홀들을 통해 프로세싱 챔버 내로 공급한다. RF 생성기는 샤워헤드 둘레 및 프로세싱 챔버 내 영역들을 세정하기 위해 프로세싱 챔버 내에서 제 2 플라즈마를 생성하도록 샤워헤드 또는 페데스탈에 RF 전력을 공급한다.
또 다른 특징에서, 세정 동안 공급된 세정 가스 및 불활성 가스는 기판의 프로세싱 동안 공급된 프로세스 가스 및 퍼지 가스와 각각 상이하다.
또 다른 특징에서, 세정 가스는 할로겐 종을 포함한다.
또 다른 특징에서, 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함한다.
또 다른 특징에서, 불활성 가스는 아르곤 (Ar), 분자 질소 (N2), 및 헬륨 (He) 으로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 가스 공급 시스템으로부터의 가스는 미리 결정된 시간 기간 동안 칼라에 불활성 가스를 공급하도록 구성된다. 플라즈마 생성기는 미리 결정된 시간 기간 동안 샤워헤드의 스템 부분에 제 1 플라즈마를 공급하도록 구성된다. RF 생성기는 미리 결정된 시간 기간 동안 샤워헤드 또는 페데스탈에 RF 전력을 공급하도록 구성된다.
본 개시의 추가 적용 가능성의 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 프로세싱 챔버를 포함하는 기판 프로세싱 시스템의 예의 기능적 블록도를 도시한다.
도 2는 도 1의 프로세싱 챔버에서 샤워헤드 둘레에 사용된 칼라의 예를 도시한다.
도 3은 프로세싱 챔버 내에서 기판들의 프로세싱 동안 그리고 프로세싱 챔버의 세정 동안 가스들을 공급하기 위한 도 1의 기판 프로세싱 시스템에서 사용된 밸브들의 배치를 도시한다.
도 4 내지 도 6은 도 2의 칼라 및 도 3의 밸브 배치를 사용하여 도 1의 기판 프로세싱 시스템에서 프로세싱 챔버를 세정하는 다양한 방법들을 도시한다.
도 7 및 도 8은 프로세싱 챔버의 세정 동안 사용될 수 있는 상이한 접지 방법들을 도시한다.
도 9는 프로세싱 챔버에 대한 플로우 모델을 도시한다.
도 10은 접지된 샤워헤드 및 전력 공급된 페데스탈을 갖는 도 3의 대안적인 구성을 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
일부 적용 예들에서, PECVD (Plasma-Enhanced Chemical Vapor Deposition) 또는 PEALD (Plasma-Enhanced Atomic Layer Deposition) 를 사용하여 기판 상에 막이 증착될 수도 있다. PEALD 동안, 기판 상에 막을 증착하기 위해 하나 이상의 사이클들이 수행된다. PEALD 사이클 각각은 통상적으로 전구체 도징 (dosing), 도즈 퍼징 (dose purging), RF 플라즈마 도징, 및 RF 퍼징 단계들의 시퀀스를 포함한다. 증착 동안, 프로세스 가스가 샤워헤드를 사용하여 프로세싱 챔버에 전달될 수도 있다. RF 플라즈마 도징 동안, RF 전력이 샤워헤드에 공급되고, 기판 지지부는 접지된다 (또는 그 반대도 된다).
PEALD 사이클 각각에서, 불활성 가스 (예를 들어, 아르곤 (Ar) 또는 분자 질소 (N2)) 가 도즈 퍼징 단계 및 RF 퍼징 단계에서 샤워헤드를 통해 흐르는 1 차 버스트 퍼지 가스 (burst purge gas) 로서 사용된다. 이에 더하여, 산소 (O2) 또는 분자 질소 (N2) 는 PEALD 사이클 각각의 모든 단계들에서 2 차 퍼지 가스로서 샤워헤드의 후면을 통해 연속적으로 공급된다. 2 차 퍼징은 샤워헤드의 후면, 프로세싱 챔버의 상단 플레이트, 프로세싱 챔버의 측벽들, 등과 같은 먼 영역들에서 모든 바람직하지 않은 증착을 방지한다.
프로세싱 챔버는 통상적으로 상부 및 하부 표면들과 측벽들을 포함한다. 샤워헤드는 가스 플레넘 (plenum) 을 규정하는 원통형 베이스 부분을 포함한다. 대면 플레이트 (faceplate) 가 가스 플레넘의 일 측면 상에 배치되고, 복수의 이격된 쓰루 홀들을 포함한다. 샤워헤드는 일 단부에서 프로세싱 챔버의 상부 표면에 그리고 반대 단부에서 원통형 베이스 부분의 중심에 연결되는 중공형 스템 부분을 더 포함한다. 샤워헤드의 스템 부분은 원통형 베이스의 가스 플레넘에 프로세스 가스를 전달한다. 프로세스 가스는 대면 플레이트의 이격된 쓰루 홀들을 통해 흐르고, 샤워헤드 아래에 위치된 기판 지지부 상에 배치된 기판에 대해 균일하게 분산된다.
스템 부분 둘레에 배치된 칼라 (collar) 가 스템 부분을 프로세싱 챔버의 상부 표면에 연결하도록 사용될 수도 있다. 칼라는 프로세싱 동안 샤워헤드의 원통형 베이스 부분과 프로세싱 챔버의 상부 표면 사이에서 프로세싱 챔버 내로 2 차 퍼지 가스를 전달하고 분산시키는, 하나 이상의 가스 슬릿들 (slits) 을 포함할 수도 있다. 샤워헤드의 원통형 베이스 부분의 방사상으로 외측 에지들과 프로세싱 챔버의 측벽들 사이에 갭이 규정된다. 2 차 퍼지 가스는 칼라 상의 슬릿들 및 갭을 통해 흐르고, 이어서 배기 포트를 통해 배출된다. 따라서, 칼라는 또한 2 차 퍼지 칼라로 지칭될 수도 있다.
통상적으로, 산소가 기생 플라즈마 (parasitic plasma) 가 샤워헤드의 상부 및 후면 상에서 발생하는 것을 억제하기 때문에, 기판들을 프로세싱하는 동안 산소가 칼라를 통해 전달된다. 그럼에도 불구하고, 기생 플라즈마는 샤워헤드의 후면 플레이트 (back-plate) 및 측면들 상에 잔여물 축적을 유발한다. 후면 플레이트 및 샤워헤드의 측면들을 세정하는 것은 어려울 수 있다.
본 개시는 칼라를 통해 세정하기 어려운 프로세싱 챔버의 영역들 (예를 들어, 샤워헤드의 후면 및 측면) 로 세정 가스 또는 불활성 가스를 전달하기 위한 시스템들 및 방법들을 개시한다. 시스템들 및 방법들은 기판 프로세싱 동안 산소 (O2) 또는 분자 질소 (N2) 를 전달하도록 사용되는 칼라를 활용한다 (leverage). 챔버 세정 프로세스 동안, 샤워헤드 및 칼라로의 가스 공급이 스위칭되고, 칼라는 샤워헤드 위에 그리고 샤워헤드에 걸쳐 (즉, 세정하기 어려운 영역들) 반응성 세정 가스 (예를 들어, NF3, C2F4, 등) 또는 불활성 가스 (예를 들어, Ar, N2, 또는 He) 를 전달하도록 사용된다.
실제로, 상기 언급된 바와 같이 가스 공급을 스위칭하고 가스들을 공급하는 것은 세정하기 어려운 영역들로 반응성 세정 가스 종의 분압을 상승시키고, 프로세싱 챔버를 세정하기 위한 전체 시간을 감소시킨다. 또한, 2 차 퍼지 칼라를 통해 불활성 가스를 전달하는 것은 희석을 통해 불소 종 사이의 충돌 거리를 증가시킴으로써 (샤워헤드 대면 플레이트로부터 전달된) 불소 라디칼들의 수명을 증가시킬 수 있다.
세정 가스 또는 불활성 가스는 상이한 방식들로 공급될 수도 있다. 일 예에서, 세정 가스는 리모트 (remote) 플라즈마 생성기에서 사전 활성화될 수도 있고, 이어서 사전 활성화된 세정 가스는 칼라 내로 전달된다. 또 다른 예에서, 세정 가스는 칼라를 통해 전달되고, 페데스탈이 접지된 샤워헤드에 RF 전력을 인가함으로써 인 시츄로 (in-situ) 활성화된다. 또 다른 예에서, 사전 활성화된 세정 가스는 샤워헤드를 통해 전달되고, 불활성 가스는 샤워헤드 위 및 샤워헤드 뒤의 불소 라디칼들의 분압을 상승시키는, 샤워헤드로부터 전달된 불소 라디칼들의 평균 자유 경로를 증가시키기 위해 칼라를 통해 공급된다. 본 개시의 이들 및 다른 양태들은 이하에 상세히 기술된다.
본 개시는 다음과 같이 구체화된다. ALD (Atomic Layer Deposition) 프로세싱 챔버의 예가 도 1을 참조하여 도시되고 기술된다. 칼라의 예가 도 2를 참조하여 상세히 도시되고 기술된다. 기판 프로세싱 및 챔버 세정 동작들 동안 다양한 가스들을 공급하기 위한 밸브들의 배치가 도 3을 참조하여 도시되고 기술된다. 프로세싱 챔버를 세정하는 다양한 방법들이 도 3 내지 도 6을 참조하여 도시되고 기술된다. 프로세싱 챔버의 세정 동안 사용될 수 있는 상이한 접지 방법들이 도 7 및 도 8에 도시된다. 프로세싱 챔버에 대한 플로우 모델이 도 9를 참조하여 도시되고 기술된다. 도 10은 접지된 샤워헤드 및 전력 공급된 페데스탈을 갖는 대안적인 구성을 도시한다.
도 1은 프로세싱 챔버 (108) 를 포함하는 기판 프로세싱 시스템 (100) 의 예를 도시한다. 기판 지지부 (예를 들어, 페데스탈) (104) 가 프로세싱 챔버 (108) 내에 배치된다. 기판 (112) 이 프로세싱 동안 기판 지지부 (104) 상에 배치된다. 샤워헤드 (140) 와 같은 가스 분배 디바이스가 기판 지지부 (104) 위의 프로세싱 챔버 (108) 내에 배치된다.
가스 전달 시스템 (120) 이 밸브들 (124-1, 124-2, …, 및 124-N) (집합적으로 밸브들 (124)) 및 질량 유량 제어기들 (126-1, 126-2, …, 및 126-N) (집합적으로 MFC들 (Mass Flow Controllers) (126)) 에 연결되는 가스 소스들 (122-1, 122-2, …, 및 122-N) (집합적으로 가스 소스들 (122)) 을 포함한다. MFC들 (126) 은 가스 소스들 (122) 로부터 가스들이 혼합되는 매니폴드 (128) 로의 가스들의 플로우를 제어한다. 매니폴드 (128) 의 출력이 샤워헤드 (140) 에 공급된다. 샤워헤드 (140) 는 내부 플레넘 및 가스 쓰루 홀들을 포함한다. 샤워헤드 (140) 는 가스 쓰루 홀들을 통해 프로세싱 챔버 (108) 내로 프로세스 가스들을 도입하고 분배한다.
RF 생성 시스템 (130) 이 RF 전압을 생성하고, 샤워헤드 (140) 또는 기판 지지부 (104) 로 RF 전압을 출력한다 (다른 하나는 DC 접지되거나, AC 접지되거나, 플로팅된다). 단지 예를 들면, RF 생성 시스템 (130) 은 매칭 네트워크 (134) 에 의해 샤워헤드 (140) 또는 기판 지지부 (104) 에 피딩되는 RF 전압을 생성하는, RF 전압 생성기 (132) 를 포함할 수도 있다. 플라즈마는 프로세스 가스들 및 RF 전력이 샤워헤드 (140) 에 공급될 때 생성된다.
일부 예들에서, 기판 (112) 을 프로세싱하는 동안 ALD 사이클 각각 동안, 아르곤 (Ar) 또는 분자 질소 (N2) 와 같은 불활성 가스가 도즈 퍼징 및 RF 퍼징 단계들에서 샤워헤드 (140) 를 통해 흐르는 1 차 퍼지 가스로서 사용될 수도 있다. 이에 더하여, 분자 산소 (O2) 또는 분자 질소 (N2) 는 샤워헤드 (140) 의 후면, 및 프로세싱 챔버 (108) 의 벽들과 상단 플레이트와 같은 동떨어진 영역들에서 모든 바람직하지 않은 증착을 방지하거나 최소화하기 위해, 모든 ALD 단계들에서 2 차 퍼지로서 샤워헤드 (140) 의 후면을 통해 연속적으로 흐를 수도 있다.
제어기 (150) 가 프로세스 가스들의 플로우를 제어하고, 온도, 압력, 전력, 등과 같은 프로세스 파라미터들을 모니터링하고, 그리고 플라즈마 스트라이킹 및 소화, 반응 물질들의 제거, 등을 제어한다. 제어기 (150) 는 프로세스 동안 미리 결정된 인터벌들로 프로세스 가스들 및/또는 퍼지 가스들을 공급하도록 가스 전달 시스템 (120) 으로부터의 가스 전달을 제어한다. 제어기 (150) 는 밸브 (160) 및 펌프 (162) 를 사용하여 프로세싱 챔버 (108) 내의 압력 및/또는 반응 물질들의 배출을 제어한다. 제어기 (150) 는 기판 지지부 (104) 내의 센서들 (미도시) 및/또는 냉각제 온도를 측정하는 센서들 (미도시) 로부터의 온도 피드백에 기초하여 기판 지지부 (104) 및 기판 (112) 의 온도를 제어한다. 퍼지 가스 소스 (170) 및 대응하는 밸브 (도 3에 도시됨) 가 도 2를 참조하여 이하에 기술된 바와 같이 2 차 퍼지 가스를 칼라 (142) 에 선택적으로 공급하도록 제어기 (150) 에 의해 사용될 수도 있다.
이에 더하여, 기판 프로세싱 시스템 (100) 은 세정 가스 소스 (180) 및 리모트 플라즈마 생성기 (182) 를 포함한다. 예를 들어, 리모트 플라즈마 생성기 (182) 는 세정 가스 소스 (180) 가 세정 가스를 공급할 때 플라즈마를 생성하는 ICP (Inductively Coupled Plasma) 챔버를 포함할 수도 있다. 따라서, 리모트 플라즈마 생성기 (182) 는 RPC (Remote Plasma Clean)-ICP 생성기로 지칭될 수도 있다. 본 개시 전반에 걸쳐, 리모트 플라즈마 생성기 (182) 에 의해 생성된 플라즈마는 사전 활성화된 세정 가스로 지칭된다. 사전 활성화된 세정 가스는 또한 RPC (Remote Plasma Clean) 가스로 지칭될 수 있다.
제어기 (150) 는 세정 가스 소스 (180) 로부터 세정 가스의 공급, 및 일부 예들에서, 도 3 내지 도 6을 참조하여 이하에 기술된 바와 같이 프로세싱 챔버 (108) 를 세정하기 위해 리모트 플라즈마 생성기 (182) 로부터 사전 활성화된 세정 가스의 공급을 제어한다.
기판 프로세싱 시스템 (100) 은 기판 프로세싱 동안 프로세스 가스 및 퍼지 가스의 전달을 허용하고 챔버 세정 동안 사전 활성화된 세정 가스, 불활성 가스, 및 세정 가스의 전달을 허용하기 위해 복수의 밸브들 (190) 을 더 포함한다. 제어기 (150) 는 도 3 내지 도 6을 참조하여 이하에 기술된 바와 같이 기판 (112) 을 프로세싱하는 동안 프로세싱 챔버 (108) 에 적절한 프로세스 가스 및 퍼지 가스를 공급하도록 밸브들 (190) 을 제어한다. 제어기 (150) 는 도 3 내지 도 6을 참조하여 이하에 기술된 바와 같이 프로세싱 챔버 (108) 를 세정하는 동안 프로세싱 챔버 (108) 에 다른 적합한 가스들을 공급하도록 밸브들 (190) 을 제어한다. 엘리먼트들 (120, 128, 170, 180, 190) 의 조합 또는 서브조합은 집합적으로 가스 공급 시스템으로 지칭될 수도 있다. 일부 구현 예들에서, 가스 공급 시스템은 엘리먼트 (150) 및/또는 엘리먼트 (182) 를 포함할 수도 있다.
도 2는 샤워헤드 (140) 및 칼라 (142) 를 더 상세히 도시한다. 샤워헤드 (140) 는 스템 부분 (200) 및 헤드 부분 (202) 을 포함한다. 헤드 부분 (202) 은 내측 캐비티 (cavity) (204) 를 규정한다. 전구체 가스 또는 퍼지 가스와 같은 유체들은 스템 부분 (200) 을 통해, 분산 플레이트 (206) 상으로, 그리고 내측 캐비티 (204) 내로 흐른다. 이어서 유체들은 헤드 부분 (202) 의 하단 표면의 이격된 홀들 (208) 을 통해 프로세싱 챔버 (108) 내로 통과한다.
샤워헤드 (140) 의 스템 부분 (200) 은 칼라 (142) 에 의해 프로세싱 챔버 (108) 의 상단 벽 (즉, 상단 플레이트) 에 연결된다. 칼라 (142) 는 일반적으로 "T"-형상 단면을 갖고, 헤드 부분 (210) 및 스템 부분 (212) 을 포함한다. 칼라 (142) 는 원통 형상이고 샤워헤드 (140) 의 스템 부분 (200) 을 수용하는, 내측 캐비티 (220) 를 규정한다. 복수의 슬롯들 (230) 이 칼라 (142) 의 스템 부분 (212) 내에 형성된다. 슬롯들 (230) 은 퍼지 가스들과 같은 유체들로 하여금 내측 캐비티 (220) 로부터 칼라 (142) 의 스템 부분 (212) 의 외측 표면으로 그리고 샤워헤드 (140) 위의 영역 내 및 프로세싱 챔버 (108) 내로 흐르게 한다.
유체 커넥터 (240) 가 칼라 (142) 의 헤드 부분 (210) 의 에지에 연결될 수도 있고, 기판 (112) 을 프로세싱하는 동안 퍼지 가스와 같은 유체를 공급하도록 사용된다. 유체 커넥터 (240) 는 일반적으로 (242) 에서 식별되는 하나 이상의 도관들 및/또는 커넥터들을 포함한다. 칼라 (142) 의 헤드 부분 (210) 은 일반적으로 칼라 (142) 의 내측 캐비티 (220) 로 유체들의 플로우를 지향시키도록 (244) 에서 식별되는 도관들 및/또는 커넥터들을 포함한다.
플레이트 (250) 가 샤워헤드 (140) 의 헤드 부분 (202) 과 칼라 (142) 사이에 배치된다. 플레이트 (250) 는 상부 표면 (252), 센터링 개구부 또는 보어 (bore) (260), 및 하단 표면 (262) 을 포함한다. 일부 예들에서, 플레이트 (250) 는 세라믹으로 이루어진다. 플레이트 (250) 의 두께는 접지 또는 기생 플라즈마에 대한 재료 및 용량성 커플링을 최소화하도록 선택될 수도 있다. 플레이트 (250) 의 상부 표면 (252) 은 칼라 (142) 의 하단 에지로부터 이격되어, 유체들로 하여금 그 사이를 통과하게 한다. 센터링 보어 (260) 는 또한 유체들로 하여금 그 (140) 사이를 통과하게 하도록 샤워헤드 (140) 의 스템 부분 (202) 으로부터 이격된다. 플레이트 (250) 의 하단 표면 (262) 은 샤워헤드 (140) 의 상부 표면으로부터 이격되어, 유체들로 하여금 그 사이를 흐르게 한다. 일부 예들에서, 플레이트 (250) 는 생략될 수도 있고, 프로세싱 챔버 (108) 는 플레이트 (250) 없이 동작될 수도 있다.
칼라 (142) 를 통해 퍼지 가스를 흘리는 것은 원치 않은 막 증착을 방지하기 위해 프로세스 증착 화학 물질이 캐비티 (220) 내의 영역들로 들어가는 것을 억제한다. 슬롯들 (230) 및 다른 갭들의 치수들은 내부의 플라즈마 발광 (light-up) 을 방지하기 위해 그리고 목표된 가스 플로우 레이트들에 대한 역 확산을 방지하기 위해 Peclet 조건이 충족되게 하도록 선택될 수도 있다.
제어기 (150) 는 기판 (112) 의 프로세싱 동안과 상이하게 이하에 기술된 세정 프로세스들 동안 샤워헤드 (140) 및 칼라 (142) 를 활용한다. 제어기 (150) 는 프로세싱 챔버 (108) 를 세정하는 동안 샤워헤드 (140) 및 칼라 (142) 의 활용을 변경하기 위해 밸브들의 배치 (도 3을 참조하여 이하에 도시되고 기술됨) 를 사용한다.
도 3은 챔버 세정 동작들 동안 샤워헤드 (140) 및 칼라 (142) 에 상이한 가스들을 공급하도록 사용된 다양한 밸브들의 배치를 도시한다. 밸브들 (190) 은 기판 프로세싱 동작 및 챔버 세정 동작 동안 샤워헤드 (140) 및 칼라 (142) 로 프로세스 가스, 퍼지 가스, 사전 활성화된 세정 가스, 불활성 가스, 및 세정 가스와 같은 가스 공급들을 스위칭한다. 밸브들 (190) 은 기판 프로세싱 동작 및 챔버 세정 동작 동안 샤워헤드 (140) 및 칼라 (142) 로 다양한 가스들을 라우팅하는 (route) 밸브들 (300 내지 312) 을 포함한다. 제어기 (150) 는 다음과 같이 기판 프로세싱 동작 및 챔버 세정 동작 동안 밸브들 (300 내지 312) 을 제어한다.
기판 프로세싱 동안, 프로세스 가스들은 샤워헤드 (140) 에 공급되고, 퍼지 가스들은 칼라 (142) 에 공급된다. 따라서, 기판 프로세싱 동안, 제어기 (150) 는 밸브들 (300 및 302) 을 턴 온하고, 밸브들 (304 내지 312) 을 턴 오프한다. 따라서, 기판 프로세싱 동안, 프로세스 가스는 밸브 (300) 를 통해 매니폴드 (128) 로부터 샤워헤드 (140) 로 공급되고, 퍼지 가스는 밸브 (302) 를 통해 퍼지 가스 소스 (170) 로부터 칼라 (142) 로 공급된다.
세정 동작 동안, 제어기 (150) 는 매니폴드 (128) 로부터의 프로세스 가스 및 퍼지 가스 소스 (170) 로부터의 퍼지 가스의 샤워헤드 (140) 및 칼라 (142) 로의 공급을 각각 턴 오프하도록 밸브들 (300 및 302) 을 턴 오프한다. 제어기 (150) 는 프로세싱 챔버 (108) 를 세정하기 위해 이하에 기술된 3 개의 방법들 중 하나를 사용한다. 방법 각각 동안, 밸브들 (300 및 302) 은 턴 오프된 채로 남아 있고, 제어기 (150) 는 이하에 기술된 바와 같이 밸브들 (304 내지 312) 을 턴 온한다. 3 개의 방법들 중 임의의 방법을 사용하여 세정 동작이 완료된 후, 제어기 (150) 는 프로세싱 챔버 (108) 내에서 기판 (112) 을 프로세싱하는 동안 밸브들 (304 내지 312) 을 턴 오프하고 밸브들 (300 및 302) 을 턴 온한다.
제 1 세정 방법에서, 세정 가스 소스 (180) 로부터의 세정 가스는 리모트 플라즈마 생성기 (182) 로 공급되고, 사전 활성화된 세정 가스가 생성된다. 제어기 (150) 는 세정 가스 소스 (180) 로부터 리모트 플라즈마 생성기 (182) 로 세정 가스를 공급하도록 밸브 (304) 를 턴 온한다. 제어기 (150) 는 이어서 리모트 플라즈마 생성기 (182) 로부터 샤워헤드 (140) 의 칼라 (142) 로 사전 활성화된 세정 가스를 공급하도록 밸브 (306) 를 턴 오프한다. 제 1 세정 방법에서, 밸브들 (300, 302, 및 308 내지 312) 은 오프된 채로 남는다.
사전 활성화된 세정 가스는 칼라 (142) 를 통해 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 내로 분산된다. 사전 활성화된 세정 가스는 기판 프로세싱 동안 이들 영역들에 증착될 수도 있는 임의의 잔여물과 반응한다. 사전 활성화된 세정 가스는 이들 영역들을 세정한다. 사전 활성화된 세정 가스는 밸브들 (304 및 306) 이 턴 오프된 후 미리 결정된 시간 기간 동안 칼라 (142) 에 공급된다. 후속하여, 제어기 (150) 는 프로세싱 챔버 (108) 내에서 기판 (112) 을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 프로세스 가스 및 퍼지 가스를 각각 공급하기 위해 밸브들 (300 및 302) 을 턴 온한다.
제 2 세정 방법에서, 세정 가스는 세정 가스 소스 (180) 로부터 샤워헤드 (140) 의 칼라 (142) 로 직접 공급되고, RF 전력은 프로세싱 챔버 (108) 내에서 세정 가스를 활성화하도록 샤워헤드 (140) 로 공급된다. 제 2 세정 방법 동안, 밸브들 (300 내지 306, 310, 및 312) 은 오프된 채로 남는다.
제어기 (150) 는 세정 가스 소스 (180) 로부터 샤워헤드 (140) 의 칼라 (142) 로 세정 가스를 공급하도록 밸브 (308) 를 턴 온한다. 제어기 (150) 는 칼라 (142) 로부터 프로세싱 챔버 (108) 내로 분산되는 세정 가스를 활성화하도록 샤워헤드 (140) 에 RF 전력을 공급한다. 활성화된 세정 가스는 기판 프로세싱 동안 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 의 다른 곳에 증착될 수도 있는 임의의 잔여물과 반응한다. 활성화된 세정 가스는 이들 영역들을 세정한다.
세정 가스는 칼라 (142) 로 공급되고, RF 전력은 미리 결정된 시간 기간 동안 샤워헤드 (140) 로 공급된다. 미리 결정된 시간 기간 후, 밸브 (308) 및 RF 전력은 턴 오프된다. 후속하여, 제어기 (150) 는 프로세싱 챔버 (108) 내에서 기판 (112) 을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 프로세스 가스 및 퍼지 가스를 각각 공급하기 위해 밸브들 (300 및 302) 을 턴 온한다.
일부 구현 예들에서, 제어기 (150) 가 칼라 (142) 로부터 프로세싱 챔버 (108) 내로 분산되는 세정 가스를 활성화시키기 위해 RF 전력을 샤워헤드 (140) 로 공급할 때, 제어기 (150) 는 프로세싱 챔버 (108) 의 상이한 부분들을 순차적으로 접지할 수도 있다. 예를 들어, 프로세싱 챔버 (108) 의 상단 플레이트 및 측벽들은 스위치들 (350 및 352) 을 통해 접지에 연결될 수도 있고, 페데스탈 (104) 은 스위치 (354) 를 통해 접지에 연결될 수도 있다. 제어기 (150) 는 스위치들 (350, 352, 및 354) 을 선택적으로 턴 온 및 턴 오프함으로써 프로세싱 챔버 (108) 및 페데스탈 (104) 의 상단 플레이트 및 측벽들을 순차적으로 접지할 수도 있다. 순차적인 접지는 플라즈마 세정으로 하여금 접지되는 프로세싱 챔버 (108) 의 특정한 영역들 또는 구역들에 포커싱되게 한다.
예를 들어, 제어기 (150) 는 처음에 스위치 (350) 를 턴 온하고 스위치들 (352 및 354) 을 턴 오프함으로써 샤워헤드 (140) 위에 있는 프로세싱 챔버 (108) 의 상단 플레이트만을 접지할 수도 있다. 후속하여, 제어기 (150) 는 스위치 (352) 를 턴 온하고 스위치들 (350 및 354) 을 턴 오프함으로써 프로세싱 챔버 (108) 의 측벽들만을 접지할 수도 있다. 후속하여, 제어기 (150) 는 스위치 (354) 를 턴 온하고 스위치들 (350 및 352) 을 턴 오프함으로써 페데스탈 (104) 만을 접지할 수도 있다. 일부 예들에서, 상이한 시퀀스가 이어질 수도 있다.
대안적으로, 제어기 (150) 는 처음에 스위치 (350) 를 턴 온하고 스위치들 (352 및 354) 을 턴 오프함으로써 샤워헤드 (140) 위에 있는 프로세싱 챔버 (108) 의 상단 플레이트만을 접지할 수도 있다. 후속하여, 스위치 (350) 는 턴 온되고 스위치 (354) 는 턴 오프된 채로 유지하는 동안, 제어기 (150) 는 부가적으로 스위치 (352) 를 턴 온함으로써 프로세싱 챔버 (108) 의 측벽들만을 접지할 수도 있다. 후속하여, 스위치들 (350 및 352) 을 턴 온된 채로 유지하는 동안, 제어기 (150) 는 부가적으로 스위치 (354) 를 턴 온함으로써 페데스탈 (104) 을 접지할 수도 있다. 일부 예들에서, 상이한 시퀀스가 이어질 수도 있다. 일부 구현 예들에서, 프로세싱 챔버 (108) 및 페데스탈 (104) 의 바디 (즉, 상단 플레이트 및 측벽들) 는 시퀀스가 아니라 함께 (즉, 스위치들 (350 내지 354) 을 함께 턴 온함으로써) 접지될 수도 있다.
제 3 세정 방법에서, 세정 가스 소스 (180) 로부터의 세정 가스는 먼저 리모트 플라즈마 생성기 (182) 내에서 사전 활성화된다. 제어기 (150) 는 세정 가스 소스 (180) 로부터 리모트 플라즈마 생성기 (182) 로 세정 가스를 공급하도록 밸브 (304) 를 턴 온한다. 이어서 제어기 (150) 는 리모트 플라즈마 생성기 (182) 로부터 프로세싱 챔버 (108) 의 샤워헤드 (140) 로 사전 활성화된 세정 가스를 공급하도록 밸브 (310) 를 턴 온한다.
부가적으로, 제어기 (150) 는 샤워헤드 (140) 의 칼라 (142) 에 불활성 가스를 공급한다. 불활성 가스는 통상적으로 기판 프로세싱 동안 매니폴드 (128) 로부터 샤워헤드 (140) 로 공급된다. 매니폴드 (128) 로부터 칼라 (142) 로 불활성 가스를 공급하기 위해, 대신 제어기 (150) 는 밸브 (312) 를 턴 온한다. 제 3 세정 방법에서, 밸브들 (300 내지 308) 은 오프된 채로 남는다.
제어기 (150) 는 RF 전력을 샤워헤드 (140) 로 공급한다. RF 전력은 칼라 (142) 로부터 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 내로 분산되는 불활성 가스를 활성화시킨다. 활성화된 불활성 가스는 샤워헤드 (140) 로부터 프로세싱 챔버 (108) 내로 들어가는 사전 활성화된 세정 가스로 하여금 기판 프로세싱 동안 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 의 다른 곳에 증착될 수도 있는 임의의 잔여물과 반응하게 한다. 사전 활성화된 세정 가스, 및 불활성 가스를 사용하여 생성된 플라즈마는 이들 영역들을 세정한다.
사전 활성화된 세정 가스 및 불활성 가스는 샤워헤드 (140) 및 칼라 (142) 로 각각 공급되고, RF 전력은 미리 결정된 시간 기간 동안 샤워헤드 (140) 로 공급된다. 미리 결정된 시간 기간 후, 제어기 (150) 는 밸브들 (310 및 312) 을 턴 오프하고, 샤워헤드 (140) 로의 RF 전력을 턴 오프한다. 후속하여, 제어기 (150) 는 프로세싱 챔버 (108) 내에서 기판 (112) 을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 프로세스 가스 및 퍼지 가스를 각각 공급하기 위해 밸브들 (300 및 302) 을 턴 온한다. 일부 구현 예들에서, 제 3 세정 방법 동안, 제어기 (150) 는 제 2 세정 방법을 참조하여 상기 기술된 순차적인 접지를 사용할 수도 있다.
도 4는 샤워헤드 (140) 의 후면 위 그리고 후면에 걸친 영역들, 및 프로세싱 챔버 (108) 내의 영역들을 세정하기 위한 제 1 방법 (400) 을 도시한다. 방법 (400) 은 제어기 (150) 에 의해 수행된다. 402에서, 방법 (400) 은 프로세싱 챔버 (108) 를 세정할지 여부를 결정한다. 404에서, 프로세싱 챔버 (108) 가 세정되어야 한다면, 방법 (400) 은 처음에 프로세싱 챔버 (108) 내에서 기판들을 프로세싱하는 동안 사용되는, 샤워헤드 (140) 및 칼라 (142) 로의 프로세스 가스 및 퍼지 가스의 공급을 각각 턴 오프한다. 이어서 방법 (400) 은 리모트 플라즈마 생성기 (182) 내의 세정 가스를 사전 활성화한다.
406에서, 방법 (400) 은 리모트 플라즈마 생성기 (182) 로부터 샤워헤드 (140) 의 칼라 (142) 로 사전 활성화된 세정 가스를 공급한다. 이 때, 유체는 샤워헤드 (140) 로 그리고 샤워헤드 (140) 를 통해 프로세싱 챔버 (108) 내로 공급되지 않는다. 사전 활성화된 세정 가스는 칼라 (142) 를 통해 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸친 영역들로, 그리고 프로세싱 챔버 (108) 내로 분산된다. 사전 활성화된 세정 가스는 기판들을 프로세싱하는 동안 이들 영역들에 증착될 수도 있는 임의의 잔여물과 반응하고, 이들 영역들을 세정한다.
방법 (400) 은 세정 동작이 완료되는 미리 결정된 시간 기간 동안 사전 활성화된 세정 가스를 공급한다. 408에서, 방법 (400) 은 미리 결정된 시간 기간이 경과되었는지 여부를 체크하고, 그 후 방법 (400) 은 세정 동작을 중단한다. 이 때, 방법 (400) 은 사전 활성화된 세정 가스를 샤워헤드 (140) 의 칼라 (142) 로 공급하는 것을 중단시킨다. 후속하여, 기판들은 프로세싱 챔버 (108) 내에서 프로세싱될 수 있고, 프로세스 가스 및 퍼지 가스는 기판들을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 각각 공급될 수 있다.
도 5는 샤워헤드 (140) 의 후면 위 그리고 후면에 걸친 영역들 및 프로세싱 챔버 (108) 내의 영역들을 세정하기 위한 제 2 방법 (500) 을 도시한다. 방법 (500) 은 제어기 (150) 에 의해 수행된다. 502에서, 방법 (500) 은 프로세싱 챔버 (108) 를 세정할지 여부를 결정한다. 504에서, 프로세싱 챔버 (108) 가 세정되어야 한다면, 방법 (500) 은 처음에 프로세싱 챔버 (108) 내에서 기판들을 프로세싱하는 동안 사용되는, 샤워헤드 (140) 및 칼라 (142) 로의 프로세스 가스 및 퍼지 가스의 공급을 각각 턴 오프한다. 이어서 방법 (500) 은 샤워헤드 (140) 의 칼라 (142) 를 통해 프로세싱 챔버 (108) 내로 세정 가스를 공급한다. 이 때, 유체는 샤워헤드 (140) 로 그리고 샤워헤드 (140) 를 통해 프로세싱 챔버 (108) 내로 공급되지 않는다.
506에서, 방법 (500) 은 칼라 (142) 에 세정 가스를 공급하는 동안 샤워헤드 (140) 에 RF 전력을 공급한다. 페데스탈 및/또는 챔버 바디는 접지된다. RF 전력은 칼라 (142) 를 통해 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 내로 분산되는 세정 가스를 활성화시킨다. 활성화된 세정 가스는 기판들을 프로세싱하는 동안 이들 영역들에 증착될 수도 있는 임의의 잔여물과 반응하고, 이들 영역들을 세정한다.
방법 (500) 은 세정 가스를 칼라 (142) 에 공급하고, 세정 동작이 완료되는 미리 결정된 시간 기간 동안 프로세싱 챔버 (108) 내의 세정 가스를 활성화시킨다. 508에서, 방법 (500) 은 미리 결정된 시간 기간이 경과되었는지 여부를 체크하고, 그 후 방법 (500) 은 세정 동작을 중단한다. 이 때, 방법 (500) 은 칼라 (142) 에 세정 가스를 공급하는 것을 중단하고, 또한 세정 가스를 활성화시키기 위해 샤워헤드 (140) 에 RF 전력을 공급하는 것을 중단한다. 후속하여, 기판들은 프로세싱 챔버 (108) 내에서 프로세싱될 수 있고, 프로세스 가스 및 퍼지 가스는 기판들을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 각각 공급될 수 있다.
도 6은 샤워헤드 (140) 의 후면 위 그리고 후면에 걸친 영역들, 및 프로세싱 챔버 (108) 내의 영역들을 세정하기 위한 제 3 방법 (600) 을 도시한다. 방법 (600) 은 제어기 (150) 에 의해 수행된다. 602에서, 방법 (600) 은 프로세싱 챔버 (108) 를 세정할지 여부를 결정한다. 604에서, 프로세싱 챔버 (108) 가 세정되어야 한다면, 방법 (600) 은 처음에 프로세싱 챔버 (108) 내에서 기판들을 프로세싱하는 동안 사용되는, 샤워헤드 (140) 및 칼라 (142) 로의 프로세스 가스 및 퍼지 가스의 공급을 각각 턴 오프한다. 이어서 방법 (600) 은 리모트 플라즈마 생성기 (182) 내의 세정 가스를 사전 활성화한다.
606에서, 방법 (600) 은 리모트 플라즈마 생성기 (182) 로부터 샤워헤드 (140) 로 그리고 샤워헤드 (140) 를 통해 프로세싱 챔버 (108) 내로 사전 활성화된 세정 가스를 공급한다. 608에서, 방법 (600) 은 샤워헤드 (140) 의 칼라 (142) 로 그리고 칼라 (142) 를 통해 프로세싱 챔버 (108) 내로 불활성 가스를 부가적으로 공급한다. 610에서, 방법 (600) 은 불활성 가스를 칼라 (142) 로 공급하는 동안 그리고 사전 활성화된 세정 가스를 샤워헤드 (140) 로 공급하는 동안 샤워헤드 (140) 에 RF 전력을 공급한다. 페데스탈 및/또는 챔버 바디는 접지된다.
RF 전력은 칼라 (142) 를 통해 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 내로 분산되는 불활성 가스를 활성화시킨다. 활성화된 불활성 가스는 사전 활성화된 세정 가스로 하여금 샤워헤드 (140) 의 후면 위로 그리고 후면에 걸쳐, 그리고 프로세싱 챔버 (108) 의 다른 곳에 증착될 수도 있는 임의의 잔여물과 반응하게 하고 이들 영역들을 세정하게 한다.
방법 (600) 은 사전 활성화된 세정 가스 및 불활성 가스를 샤워헤드 (140) 및 칼라 (142) 로 각각 공급하고, 세정 동작이 완료되는 미리 결정된 시간 기간 동안 불활성 가스를 활성화시킨다. 612에서, 방법 (600) 은 미리 결정된 시간 기간이 경과되었는지 여부를 체크하고, 그 후 방법 (600) 은 세정 동작을 중단한다. 이 때, 방법 (600) 은 사전 활성화된 세정 가스 및 불활성 가스를 샤워헤드 (140) 및 칼라 (142) 로 각각 공급하는 것을 중단하고, 또한 불활성 가스를 활성화시키기 위해 샤워헤드 (140) 에 RF 전력을 공급하는 것을 중단한다. 후속하여, 기판들은 프로세싱 챔버 (108) 내에서 프로세싱될 수 있고, 프로세스 가스 및 퍼지 가스는 기판들을 프로세싱하는 동안 샤워헤드 (140) 및 칼라 (142) 로 각각 공급될 수 있다.
도 7은 프로세싱 챔버 (108) 의 세정 동안 프로세싱 챔버 (108) 의 상이한 부분을 접지하도록 사용될 수 있는 방법 (700) 의 제 1 예를 도시한다. 방법 (700) 은 방법들 (500 및 600) 을 수행하는 동안 제어기 (150) 에 의해 수행된다. 702에서, 방법 (700) 은 RF 전력이 샤워헤드 (140) 에 공급되는지 여부를 결정한다 (예를 들어, 도 5 및 도 6의 엘리먼트들 (506 및 610)). 704에서, RF 전력이 샤워헤드 (140) 에 공급된다면, 방법 (700) 은 스위치 (350) 를 턴 온하고 스위치들 (352 및 354) 을 턴 오프함으로써 샤워헤드 (140) 위에 있는 프로세싱 챔버 (108) 의 상단 플레이트만을 접지한다. 706에서, 방법 (700) 은 스위치 (352) 를 턴 온하고 스위치들 (350 및 354) 을 턴 오프함으로써 프로세싱 챔버 (108) 의 측벽들만을 접지한다. 708에서, 방법 (700) 은 스위치 (354) 를 턴 온하고 스위치들 (350 및 352) 을 턴 오프함으로써 페데스탈 (104) 만을 접지한다.
도 8은 프로세싱 챔버 (108) 의 세정 동안 프로세싱 챔버 (108) 의 상이한 부분을 접지하도록 사용될 수 있는 방법 (800) 의 제 2 예를 도시한다. 방법 (800) 은 방법들 (500 및 600) 을 수행하는 동안 제어기 (150) 에 의해 수행된다. 802에서, 방법 (800) 은 RF 전력이 샤워헤드 (140) 에 공급되는지 여부를 결정한다 (예를 들어, 도 5 및 도 6의 엘리먼트들 (506 및 610)). 804에서, RF 전력이 샤워헤드 (140) 에 공급된다면, 방법 (800) 은 처음에 스위치 (350) 를 턴 온하고 스위치들 (352 및 354) 을 턴 오프함으로써 샤워헤드 (140) 위에 있는 프로세싱 챔버 (108) 의 상단 플레이트만을 접지한다. 806에서, 방법 (800) 은 스위치 (350) 는 턴 온되고 스위치 (354) 는 턴 오프된 채로 유지하는 동안 스위치 (352) 를 턴 온함으로써 프로세싱 챔버 (108) 의 측벽들만을 부가적으로 접지한다. 808에서, 방법 (800) 은 스위치들 (350 및 352) 을 턴 온된 채로 유지하는 동안, 스위치 (354) 를 턴 온함으로써 페데스탈 (104) 을 부가적으로 접지한다.
도 9는 프로세싱 챔버 (108) 에 대한 플로우 모델을 도시한다. 플로우 모델은 퍼지 가스와 같은 유체들이 프로세싱 챔버 (108) 내에서 기판들을 프로세싱하는 동안 샤워헤드의 에지 근방에서 재순환 없이 흐른다는 것을 입증한다. 플로우 모델은 또한 상이한 세정 방법들 동안 사용된 세정 가스 및 불활성 가스와 같은 유체들이 샤워헤드의 에지 근방에서 재순환 없이 흐른다는 것을 입증한다.
도 10은 접지된 샤워헤드 및 전력 공급된 페데스탈을 갖는 도 3의 대안적인 구성을 도시한다. 일부 구현 예들에서, 샤워헤드에 RF 전력을 공급하고 페데스탈을 접지하는 대신, RF 전력이 페데스탈에 공급될 수도 있고, 샤워헤드가 접지될 수도 있다. 도 10의 모든 엘리먼트들은 RF 생성 시스템 (130) 이 페데스탈 (104) 에 RF 전력을 공급하고, 스위치 (354) 가 샤워헤드 (140) 를 접지하도록 제어되는 것을 제외하고 도 3과 동일하다.
세정 동안, 외부에서 사전 활성화된 세정 가스는 상기 기술된 바와 같이 칼라를 통해 챔버 내로 주입될 수 있다. 대안적으로, 세정 가스는 칼라를 통해 챔버 내로 주입될 수 있고, 플라즈마는 페데스탈에 RF 전력을 공급함으로써 스트라이킹될 (strike) 수 있다. 대안적으로, 외부에서 사전 활성화된 세정 가스는 샤워헤드를 통해 챔버 내로 주입될 수 있고, 불활성 가스는 칼라를 통해 주입될 수 있고, 그리고 플라즈마는 페데스탈에 RF 전력을 공급함으로써 스트라이킹될 수 있다. 또한, 이들 대안들 각각에서, 이제 샤워헤드가 페데스탈 대신 접지되는 것을 제외하고, 샤워헤드 및 챔버의 상단 플레이트와 측벽들은 상기 기술된 바와 유사한 방식으로 순차적으로 접지될 수 있다.
또한, 어떤 구성 (접지된 페데스탈을 갖는 전력 공급된 샤워헤드, 또는 접지된 샤워헤드와 전력 공급된 페데스탈) 에서도, 페데스탈은 정전 척, 진공 척을 포함할 수도 있고, 또는 척킹 피처 (chucking feature) (웨이퍼를 페데스탈과 콘택트하게 유지하는 중력) 를 포함하지 않을 수도 있다. 또한, 샤워헤드 또는 페데스탈에 공급되는 RF 전력은 고주파수 (3 내지 60 ㎒) 및 저주파수 (100 내지 1000 ㎑) 를 포함할 수도 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시 예들의 피처들에서 구현되고 그리고/또는 피처들과 조합될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다.
제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다.
프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다.
일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다.
따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 주 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (28)

  1. 프로세싱 챔버를 세정하기 위한 시스템에 있어서,
    프로세싱 챔버 내의 페데스탈 위에 배치되는 샤워헤드로서,
    상기 프로세싱 챔버의 상단 플레이트에 연결되고 상기 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용하는 스템 부분; 및
    상기 기판의 상기 프로세싱 동안 상기 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함하는 헤드 부분을 포함하는, 상기 샤워헤드;
    캐비티를 규정하고 상기 기판의 상기 프로세싱 동안 퍼지 가스를 분산시키기 위해 상기 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함하는, 상기 샤워헤드의 상기 스템 부분을 둘러싸는 칼라 (collar);
    세정 가스를 공급하기 위한 가스 소스;
    상기 가스 소스로부터 상기 세정 가스를 수용하고 플라즈마를 생성하기 위한 상기 프로세싱 챔버 외부의 플라즈마 생성기; 및
    제어기를 포함하고, 상기 제어기는,
    상기 프로세싱 챔버 내에서 프로세싱되지 않는 상기 기판에 응답하여 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하는 것을 중단하고, 그리고
    상기 샤워헤드 둘레 및 상기 프로세싱 챔버 내의 영역들을 세정하기 위해 상기 플라즈마를 상기 칼라로 그리고 상기 슬롯들을 통해 상기 프로세싱 챔버 내로 공급하는, 시스템.
  2. 제 1 항에 있어서,
    상기 세정 동안 공급된 상기 세정 가스는 상기 기판의 상기 프로세싱 동안 공급된 상기 퍼지 가스와 상이한, 시스템.
  3. 제 1 항에 있어서,
    상기 세정 가스는 할로겐 종을 포함하는, 시스템.
  4. 제 1 항에 있어서,
    상기 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함하는, 시스템.
  5. 제 1 항에 있어서,
    상기 제어기는 미리 결정된 시간 기간 동안 상기 플라즈마를 공급하도록 구성되는, 시스템.
  6. 제 1 항에 있어서,
    상기 프로세싱 챔버의 상기 세정 전에 그리고 후속하여,
    상기 제어기는,
    상기 칼라로의 상기 플라즈마 공급을 중단하고, 그리고
    상기 기판을 프로세싱하기 위해 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하도록 구성되는, 시스템.
  7. 프로세싱 챔버를 세정하기 위한 시스템에 있어서,
    프로세싱 챔버 내의 페데스탈 위에 배치되는 샤워헤드로서,
    상기 프로세싱 챔버의 상단 플레이트에 연결되고 상기 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용하는 스템 부분; 및
    상기 기판의 상기 프로세싱 동안 상기 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함하는 헤드 부분을 포함하는, 상기 샤워헤드;
    캐비티를 규정하고 상기 기판의 상기 프로세싱 동안 퍼지 가스를 분산시키기 위해 상기 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함하는, 상기 샤워헤드의 상기 스템 부분을 둘러싸는 칼라;
    세정 가스를 공급하기 위한 가스 소스;
    RF 전력을 공급하기 위한 RF 생성기; 및
    제어기를 포함하고, 상기 제어기는,
    상기 프로세싱 챔버 내에서 프로세싱되지 않는 상기 기판에 응답하여 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하는 것을 중단하고, 그리고
    상기 칼라로 그리고 상기 칼라의 상기 슬롯들을 통해 상기 프로세싱 챔버 내로 상기 세정 가스를 공급하고, 그리고
    상기 샤워헤드 둘레 및 상기 프로세싱 챔버 내 영역들을 세정하기 위해 상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 상기 샤워헤드에 상기 RF 전력을 공급하는, 시스템.
  8. 제 7 항에 있어서,
    상기 세정 동안 공급된 상기 세정 가스는 상기 기판의 상기 프로세싱 동안 공급된 상기 퍼지 가스와 상이한, 시스템.
  9. 제 7 항에 있어서,
    상기 세정 가스는 할로겐 종을 포함하는, 시스템.
  10. 제 7 항에 있어서,
    상기 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함하는, 시스템.
  11. 제 7 항에 있어서,
    상기 제어기는 미리 결정된 시간 기간 동안 상기 RF 전력을 상기 샤워헤드에 공급하도록 구성되는, 시스템.
  12. 제 7 항에 있어서,
    상기 제어기는 상기 프로세싱 챔버의 상기 상단 플레이트, 상기 프로세싱 챔버의 측벽들, 및 상기 페데스탈을 순차적으로 접지하도록 구성되는, 시스템.
  13. 제 7 항에 있어서,
    상기 제어기는 상기 프로세싱 챔버의 상기 상단 플레이트, 상기 프로세싱 챔버의 측벽들, 및 상기 페데스탈을 접지하도록 구성되는, 시스템.
  14. 제 7 항에 있어서,
    상기 프로세싱 챔버의 상기 세정 전에 그리고 후속하여,
    상기 제어기는,
    상기 칼라로의 상기 세정 가스의 공급을 중단하고, 그리고
    상기 기판을 프로세싱하기 위해 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하도록 구성되는, 시스템.
  15. 프로세싱 챔버를 세정하기 위한 시스템에 있어서,
    프로세싱 챔버 내의 페데스탈 위에 배치되는 샤워헤드로서,
    상기 프로세싱 챔버의 상단 플레이트에 연결되고 상기 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용하는 스템 부분; 및
    상기 기판의 상기 프로세싱 동안 상기 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함하는 헤드 부분을 포함하는, 상기 샤워헤드;
    캐비티를 규정하고 상기 기판의 상기 프로세싱 동안 퍼지 가스를 분산시키기 위해 상기 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함하는, 상기 샤워헤드의 상기 스템 부분을 둘러싸는 칼라;
    세정 가스 및 불활성 가스를 공급하기 위한 가스 소스들;
    상기 세정 가스를 수용하고 제 1 플라즈마를 생성하기 위한 상기 프로세싱 챔버 외부의 플라즈마 생성기; 및
    RF 전력을 공급하기 위한 RF 생성기; 및
    제어기를 포함하고, 상기 제어기는,
    상기 프로세싱 챔버 내에서 프로세싱되지 않는 상기 기판에 응답하여 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하는 것을 중단하고,
    상기 샤워헤드의 상기 스템 부분으로 그리고 상기 샤워헤드의 상기 헤드 부분의 상기 복수의 쓰루 홀들을 통해 상기 프로세싱 챔버 내로 상기 제 1 플라즈마를 공급하고,
    상기 칼라로 그리고 상기 칼라의 상기 슬롯들을 통해 상기 프로세싱 챔버 내로 상기 불활성 가스를 공급하고, 그리고
    상기 샤워헤드 둘레 및 상기 프로세싱 챔버 내 영역들을 세정하기 위해 상기 프로세싱 챔버 내에서 제 2 플라즈마를 생성하도록 상기 샤워헤드에 상기 RF 전력을 공급하는, 시스템.
  16. 제 15 항에 있어서,
    상기 세정 동안 공급된 상기 세정 가스 및 상기 불활성 가스는 상기 기판의 상기 프로세싱 동안 공급된 상기 프로세스 가스 및 상기 퍼지 가스와 각각 상이한, 시스템.
  17. 제 15 항에 있어서,
    상기 세정 가스는 할로겐 종을 포함하는, 시스템.
  18. 제 15 항에 있어서,
    상기 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함하는, 시스템.
  19. 제 15 항에 있어서,
    상기 불활성 가스는 아르곤 (Ar), 분자 질소 (N2), 및 헬륨 (He) 으로 구성된 그룹으로부터 선택되는, 시스템.
  20. 제 15 항에 있어서,
    상기 제어기는 미리 결정된 시간 기간 동안 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 상기 제 1 플라즈마 및 상기 불활성 가스를 각각 공급하도록 구성되는, 시스템.
  21. 제 15 항에 있어서,
    상기 제어기는 상기 프로세싱 챔버의 상기 상단 플레이트, 상기 프로세싱 챔버의 측벽들, 및 상기 페데스탈을 순차적으로 접지하도록 구성되는, 시스템.
  22. 제 15 항에 있어서,
    상기 제어기는 상기 프로세싱 챔버의 상기 상단 플레이트, 상기 프로세싱 챔버의 측벽들, 및 상기 페데스탈을 접지하도록 구성되는, 시스템.
  23. 제 15 항에 있어서,
    상기 프로세싱 챔버의 상기 세정 전에 그리고 후속하여,
    상기 제어기는,
    상기 제 1 플라즈마 및 상기 불활성 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하는 것을 중단하고, 그리고
    상기 기판을 프로세싱하기 위해 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하도록 구성되는, 시스템.
  24. 프로세싱 챔버를 세정하기 위한 시스템에 있어서,
    프로세싱 챔버 내의 페데스탈 위에 배치되는 샤워헤드로서,
    상기 프로세싱 챔버의 상단 플레이트에 연결되고 상기 페데스탈 상에 장착된 기판의 프로세싱 동안 프로세스 가스를 수용하는 스템 부분; 및
    상기 기판의 상기 프로세싱 동안 상기 프로세스 가스를 분산시키기 위한 복수의 쓰루 홀들을 포함하는 헤드 부분을 포함하는, 상기 샤워헤드;
    캐비티를 규정하고 상기 기판의 상기 프로세싱 동안 퍼지 가스를 분산시키기 위해 상기 캐비티로부터 외측으로 연장하는 복수의 슬롯들을 포함하는, 상기 샤워헤드의 상기 스템 부분을 둘러싸는 칼라;
    가스 공급 시스템으로서,
    상기 기판의 상기 프로세싱 동안 상기 프로세스 가스 및 상기 퍼지 가스를 상기 샤워헤드의 상기 스템 부분 및 상기 칼라로 각각 공급하고, 그리고
    상기 프로세싱 챔버의 상기 세정 동안 세정 가스를 공급하는, 상기 가스 공급 시스템; 및
    상기 프로세싱 챔버 외부의 플라즈마 생성기를 포함하고, 상기 플라즈마 생성기는,
    상기 가스 공급 시스템으로부터 상기 세정 가스를 수용하고,
    플라즈마를 생성하고, 그리고
    상기 샤워헤드 둘레 및 상기 프로세싱 챔버 내의 영역들을 세정하기 위해 상기 플라즈마를 상기 칼라로 그리고 상기 슬롯들을 통해 상기 프로세싱 챔버 내로 공급하는, 시스템.
  25. 제 24 항에 있어서,
    상기 세정 동안 공급된 상기 세정 가스는 상기 기판의 상기 프로세싱 동안 공급된 상기 퍼지 가스와 상이한, 시스템.
  26. 제 24 항에 있어서,
    상기 세정 가스는 할로겐 종을 포함하는, 시스템.
  27. 제 24 항에 있어서,
    상기 세정 가스는 질소 트리플루오라이드 (NF3) 또는 테트라플루오로에틸렌 (C2F4) 을 포함하는, 시스템.
  28. 제 24 항에 있어서,
    상기 플라즈마 생성기는 미리 결정된 시간 기간 동안 상기 플라즈마를 공급하도록 구성되는, 시스템.
KR1020217032148A 2019-03-11 2020-03-06 플라즈마 챔버들을 세정하기 위한 장치 KR20210127259A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962816820P 2019-03-11 2019-03-11
US62/816,820 2019-03-11
PCT/US2020/021385 WO2020185557A1 (en) 2019-03-11 2020-03-06 Apparatus for cleaning plasma chambers

Publications (1)

Publication Number Publication Date
KR20210127259A true KR20210127259A (ko) 2021-10-21

Family

ID=72426767

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217032148A KR20210127259A (ko) 2019-03-11 2020-03-06 플라즈마 챔버들을 세정하기 위한 장치

Country Status (7)

Country Link
US (1) US20220181128A1 (ko)
JP (1) JP2022525085A (ko)
KR (1) KR20210127259A (ko)
CN (1) CN113597479A (ko)
SG (1) SG11202109797SA (ko)
TW (1) TW202102714A (ko)
WO (1) WO2020185557A1 (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5897617B2 (ja) * 2014-01-31 2016-03-30 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system

Also Published As

Publication number Publication date
SG11202109797SA (en) 2021-10-28
TW202102714A (zh) 2021-01-16
US20220181128A1 (en) 2022-06-09
CN113597479A (zh) 2021-11-02
JP2022525085A (ja) 2022-05-11
WO2020185557A1 (en) 2020-09-17

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI710415B (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR20210044303A (ko) 준안정 (metastable) 활성화된 라디칼 종을 사용한 원자 층 처리 프로세스
US20230207274A1 (en) Photoelectron assisted plasma ignition
KR102261127B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20220181128A1 (en) Apparatus for cleaning plasma chambers
WO2021146099A1 (en) Multizone gas distribution plate for trench profile optimization
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔