TWI710415B - 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法 - Google Patents

使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法 Download PDF

Info

Publication number
TWI710415B
TWI710415B TW106100005A TW106100005A TWI710415B TW I710415 B TWI710415 B TW I710415B TW 106100005 A TW106100005 A TW 106100005A TW 106100005 A TW106100005 A TW 106100005A TW I710415 B TWI710415 B TW I710415B
Authority
TW
Taiwan
Prior art keywords
substrate processing
processing chamber
operating
chamber
gas
Prior art date
Application number
TW106100005A
Other languages
English (en)
Other versions
TW201733697A (zh
Inventor
安德魯 斯特拉頓 布拉沃
喬伊迪普 古哈
艾米特 法基亞
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201733697A publication Critical patent/TW201733697A/zh
Application granted granted Critical
Publication of TWI710415B publication Critical patent/TWI710415B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

操作基板處理腔室的方法包含在該基板處理腔室中使用基於氟的氣體執行製程之後,進行下列各步驟:a)在第一預定時期期間,將氣體混合物供應至該基板處理腔室,該氣體混合物包含選自由分子氧、分子氮、一氧化氮、及一氧化二氮所構成之群組的一或更多氣體,且供應射頻(RF)功率以在該基板處理腔室中引燃電漿;b)於該第一預定時期之後,在第二預定時期期間,將分子氫及RF功率供應至該基板處理腔室;c)將步驟a)及b)重複一或多次;d)利用分子氮氣體吹淨該基板處理腔室;e)增加腔室壓力;f)排空該基板處理腔室;以及g)將步驟d)、e)、及f)重複一或多次。

Description

使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
本揭露內容係關於基板處理系統,而更具體而言,係關於消除基板處理系統中的氟殘留物之系統與方法。
本說明書所提供之「先前技術」的敘述,係為了概括性地呈現本揭露內容之背景。在本「先前技術」部分中所述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或非間接地被承認係相對於本揭露內容之先前技術。
基板處理系統可用以灰化或蝕刻基板(例如半導體晶圓)上的膜。 基板處理系統通常包含處理腔室、氣體分配元件、及基板支撐體。在處理期間,基板係配置於基板支撐體上。可將不同氣體混合物引至處理腔室中,且可使用射頻(RF,radio frequency)電漿來活化化學反應。
可在基板處理(例如灰化或蝕刻製程)期間使用包含氟的氣體混合物。亦可在針對位於基板處理腔室內之元件的清潔製程期間使用包含氟的氣體混合物。
在基板處理或腔室清潔製程期間,含氟氣體(例如六氟化硫(SF6)、三氟化氮(NF3)或四氟化碳(CF4))會解離。氟離子及自由基會與基板表面及/或基板處理腔室中的暴露表面反應。氟離子及自由基會附著至基板處理腔室內的元件。當基板處理腔室後續被打開且暴露於大氣時,空氣中的水分會與氟離子及自由基反應,而產生氫氟酸(HF)。
環境空氣吹淨製程可用以減緩當基板處理腔室被打開時所產生之氫氟酸的作用。在此製程期間,將基板處理腔室維持於固定的真空壓力下,且引入環境空氣。環境空氣包含水,其會與處理腔室之元件上的氟離子及自由基反應。該反應會產生氣態HF,其之後會被泵抽出且被洗淨器所處理。
本說明書揭露一種操作基板處理腔室的方法。在該基板處理腔室中使用基於氟之氣體的製程之後執行該方法。該方法包含a)在第一預定時期期間,將氣體混合物供應至該基板處理腔室,該氣體混合物包含選自由分子氧、分子氮、一氧化氮、及一氧化二氮所構成之群組的一或更多氣體,且供應射頻(RF)功率以在該基板處理腔室中引燃電漿;b)於該第一預定時期之後,在第二預定時期期間,將分子氫及RF功率供應至該基板處理腔室;c)將步驟a)及b)重複一或多次;d)利用分子氮氣體吹淨該基板處理腔室;e)增加腔室壓力;f)排空該基板處理腔室;且g)將步驟d)、e)、及f)重複一或多次。
在其他特徵中,該方法包含在步驟a)期間將該基板處理腔室中的壓力設定為第一預定壓力。該第一預定壓力係介於自400mTorr至3Torr的範圍之間。
在其他特徵中,該方法包含在步驟b)期間將該基板處理腔室中的壓力設定為第二預定壓力。該第二預定壓力係介於自400mTorr至3Torr的範圍之間。
在其他特徵中,該方法包含在步驟c)期間將該基板處理腔室中的壓力設定為第三預定壓力。該第三預定壓力係介於自5Torr至15Torr的範圍之間。
在其他特徵中,步驟a)中的該RF功率係介於自500W至2kW的範圍之間。該方法包含將RF偏壓供應至配置於該基板處理腔室中的基板支撐體。 該RF偏壓係介於自100W至500W的功率範圍之間。以100sccm與5000sccm間的流率供應該一或更多氣體。以500sccm與3000sccm間的流率供應該分子氫。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體範例僅意為說明之目的且並非意為限制本揭露內容之範疇。
10:基板處理腔室
11:腔室外殼
12:氣體分配元件
14:基板支撐體
16:基板
18:氣體輸送系統
20:電漿產生器
22:射頻偏壓產生器
26:氣體移除系統
28:感測器
30:控制器
50:方法
52:步驟
53:步驟
54:步驟
58:步驟
60:步驟
62:步驟
66:步驟
70:步驟
100:基板處理腔室
102:下腔室區
104:上腔室區
108:腔室側壁表面
110:腔室底表面
114:氣體分配元件
118:圓頂
121:第一環形支撐體
122:基板支撐體
123:孔
124:第二環形支撐體
125:加熱器板
126:基板
127:孔
129:氣流通道
132:孔
131:孔
134:氣流通道
140:感應線圈
142:氣體注入器
150-1:氣體輸送系統
150-2:氣體輸送系統
152:氣體源
154:閥
156:質量流量控制器
158:混合岐管
170:電漿產生器
172:射頻產生器
174:匹配網路
176:控制器
178:閥
180:泵浦
184:射頻偏壓產生器
186:射頻產生器
188:匹配網路
190:電漿
200:方法
204:步驟
208:步驟
210:步驟
214:步驟
218:步驟
222:步驟
226:步驟
228:步驟
232:步驟
236:步驟
240:步驟
244:步驟
248:步驟
252:步驟
256:步驟
260:步驟
264:步驟
由實施方式及隨附圖式將更充分理解本揭露內容,其中:圖1依據本揭露內容,係為基板處理系統之範例的功能性方塊圖;圖2係為流程圖,其繪示在使用氟氣體的清潔或基板處理製程之後,自基板處理腔室中之元件消除氟的方法之範例;圖3依據本揭露內容,係為基板處理系統之另一範例的功能性方塊圖;及 圖4係為流程圖,其繪示在使用氟氣體的清潔或基板處理製程之後,自基板處理腔室中之元件消除氟的方法之另一範例。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
依據本揭露內容的系統及方法藉由在後處理期間排除對環境空氣的暴露,來消除使用氟氣體的製程之後基板處理腔室中的氫氟酸之可能性。 如上所討論,環境空氣吹淨製程引入環境空氣,其可能會造成氫氟酸污染。此外,環境空氣吹淨製程將水分引至基板處理腔室中。在執行維護作業後,該水分可能會餘留在基板處理腔室中,且可能會引起各種各樣的問題,包含微粒、污染、製程飄移等。依據本揭露內容的系統及方法消除對環境空氣吹淨製程的需求,此情況會排除相關的生產力問題。
現轉向圖1,顯示依據本揭露內容之基板處理腔室10的範例。雖然顯示及描述的是特定的基板處理腔室10,但可使用其他類型的基板處理系統來實施本說明書中所述的方法。基板處理腔室10包含腔室外殼11。氣體分配元件12在腔室外殼11中分配氣體。在若干範例中,氣體分配元件12包含噴淋頭,然而可使用其他氣體分配元件。基板支撐體14(例如板、基座、靜電卡盤等)亦配置於腔室外殼11中。在基板處理(例如沉積或蝕刻)期間,基板16係配置於基板支撐體14上。在清潔製程期間,可將基板16自腔室外殼11移出。
氣體輸送系統18將一或更多製程氣體混合物、吹淨氣體混合物、清潔氣體混合物、或單獨的氣體供應至氣體分配元件12。在若干範例中,氣體混合物包含基於氟的氣體。電漿產生器20選擇性地供應RF功率以產生電漿。可 將RF功率輸出至氣體分配元件12或基板支撐體14其中之一。在若干範例中,氣體分配元件12或基板支撐體14其中另一者係連接至參考電位,例如接地。RF偏壓產生器22選擇性地將RF偏壓供應至基板支撐體14。
氣體移除系統26選擇性地將反應物自腔室外殼11排出,及/或控制腔室外殼11內的壓力。可在腔室中配置一或更多感測器28以感測腔室參數,例如溫度、壓力等。可將控制器30連接至氣體輸送系統18、電漿產生器20、RF偏壓產生器22、氣體移除系統26及/或感測器28。
現轉向圖2,顯示消除腔室外殼11中之氟的方法50。在52,於基板處理腔室內,在第一預定壓力下,使用基於氟的氣體執行基板處理或腔室清潔製程。在若干範例中,基於氟的製程包含會留下氟殘留物的灰化、蝕刻、清潔、或任何其他製程。在若干範例中,第一預定壓力係介於400mTorr至3Torr的壓力範圍間。在若干範例中,第一預定壓力係介於1.5Torr至3Torr的壓力範圍間。在若干範例中,可在製程開始前吹淨腔室。
在53,藉由使用電漿氣體混合物(其包含選自由分子氧(O2)、一氧化氮(NO)、一氧化二氮(N2O)、及分子氮(N2)所構成之群組的一或更多氣體),在基板處理腔室中於第二預定壓力下產生電漿達一段預定時期。在若干範例中,該一或更多氣體的流率係介於100sccm與5000sccm之間。在若干範例中,該預定時期係介於200s與400s之間。在若干範例中,該預定時期係約為300s +/- 25s。
在若干範例中,第二預定壓力小於第一預定壓力。在若干範例中,第二預定壓力係介於400mTorr至3Torr的壓力範圍間。在若干範例中,第二預定壓力係介於400mTorr至1Torr的壓力範圍間。在若干範例中,第二預定壓力係為800mTorr +/- 50mTorr。在若干範例中,提供500瓦特與2千瓦間的RF功率。 在若干範例中,將介於自100瓦特至500瓦特之範圍間的RF偏壓功率供應至基板支撐體。
在54,藉由使用包含分子氫(H2)的電漿氣體混合物,在腔室中於第三預定壓力下產生電漿達一段預定時期。在若干範例中,該預定時期係介於200s與400s之間。在若干範例中,該預定時期係為300s +/- 25s。在若干範例中,第三預定壓力係介於400mTorr至3Torr的壓力範圍間。在若干範例中,第三預定壓力係為800mTorr +/- 50mTorr。在若干範例中,提供介於500瓦特與2千瓦間的RF功率。在若干範例中,將介於自100瓦特至500瓦特之範圍間的RF偏壓功率供應至基板支撐體。在若干範例中,H2的流率係介於500sccm與3000sccm之間。
在58,可將步驟53及步驟54重複零次、一或更多次。在若干範例中,將步驟53及步驟54重複5至15次。在若干範例中,將步驟53及步驟54重複10次+/-2 次。當58不成立時,方法繼續至60,而利用分子氮(N2)吹淨腔室。在62,將腔室加壓至第四預定壓力。在若干範例中,第四預定壓力係介於5Torr至15Torr的壓力範圍間。在若干範例中,第四預定壓力係為10Torr +/- 2Torr。
在66,將反應物自基板處理腔室排出。在70,可將步驟60、62、及66重複零次、一或更多次。在若干範例中,將步驟60、62、及66重複30至70次。在若干範例中,將步驟60、62、及66重複50次+/- 5次。
現轉向圖3,顯示依據本揭露內容之基板處理腔室100的範例。雖然顯示及描述的是另一特定基板處理腔室,但可使用其他類型的基板處理系統來實施本說明書中所述的方法。
基板處理腔室100包含下腔室區102及上腔室區104。下腔室區102係由腔室側壁表面108、腔室底表面110、及氣體分配元件114的下表面所界定。
上腔室區104係由氣體分配元件114的上表面及圓頂118的內表面所界定。在若干範例中,圓頂118被支撐於第一環形支撐體121上。在若干範例中,第一環形支撐體121包含一或更多間隔孔123,其用於將製程氣體輸送至上腔室區104。在若干範例中,藉由一或更多間隔孔123,以向上方向、相對於包 含氣體分配元件114之平面呈銳角的方式來輸送製程氣體,然而可使用其他角度/方向。在若干範例中,第一環形支撐體121中的氣流通道134將氣體供應至一或更多間隔孔123。
第一環形支撐體121可坐落於第二環形支撐體124上,第二環形支撐體124界定一或更多間隔孔127,其用於將製程氣體自氣流通道129輸送至下腔室區102。在若干範例中,氣體分配元件114中的孔131對齊孔127。在其他範例中,氣體分配元件114具有較小的直徑,且不需要孔131。在若干範例中,藉由一或更多間隔孔127,以向下方向朝向基板、且相對於包含氣體分配元件114之平面呈銳角的方式來輸送製程氣體,然而可使用其他角度/方向。
在其他範例中,上腔室區104為圓筒狀的,其中可使用平坦頂表面及一或更多平坦感應線圈。在又一其他範例中,可使用單一腔室,其中噴淋頭與基板支撐體間設置有間隔物。
基板支撐體122係配置於下腔室區104中。在若干範例中,基板支撐體122包含靜電卡盤(ESC,electrostatic chuck),然而可使用其他類型的基板支撐體。在蝕刻期間,基板126係配置於基板支撐體122的上表面上。在若干範例中,可以加熱器板125、選用的具有流體通道之冷卻板、及一或更多感測器(未顯示)來控制基板126的溫度;然而可使用任何其他合適的溫度控制系統。
在若干範例中,氣體分配元件114包含噴淋頭(例如,具有複數間隔孔132的板128)。複數間隔孔132自板128之上表面延伸至板128之下表面。在若干範例中,間隔孔132具有介於自0.4吋至0.75吋之範圍間的直徑,且噴淋頭係由導電材料(例如:鋁)或非導電材料(例如:陶瓷)所製成,而嵌入的電極係由導電材料所製成。
一或更多感應線圈140係圍繞圓頂118的外部部分而配置。當一或更多感應線圈140被供能時會產生圓頂118內部的電磁場。在若干範例中,使用 上線圈及下線圈。氣體注入器142自氣體輸送系統150-1注入一或更多氣體混合物。
在若干範例中,氣體輸送系統150-1包含一或更多氣體源152、一或更多閥154、一或更多質量流量控制器(MFCs,mass flow controllers)156,及混合岐管158,然而可使用其他類型的氣體輸送系統。
可使用電漿產生器170來產生RF功率,其輸出至一或更多感應線圈140。電漿190係產生於上腔室區104中。在若干範例中,電漿產生器170包含RF產生器172及匹配網路174。匹配網路174使RF產生器172的阻抗與一或更多感應線圈140的阻抗相匹配。在若干範例中,氣體分配元件114係連接至參考電位,例如接地。閥178及泵浦180可用以控制上及下腔室區102、104內部的壓力,且用以將反應物排出。
控制器176與氣體輸送系統150-1及150-2、閥178、泵浦180、及/或電漿產生器170通訊,以控制製程氣體及吹淨氣體的流量、RF電漿、及腔室壓力。在若干範例中,電漿係藉由一或更多感應線圈140而維持於圓頂118內部。 藉由使用氣體注入器142(及/或孔123)而自腔室的頂部部分引入一或更多氣體混合物,且藉由使用氣體分配元件114而使電漿侷限於圓頂118內。
在若干範例中,供應RF偏壓。設置RF偏壓產生器184,且其包含RF產生器186及匹配網路188。可使用RF偏壓以在氣體分配元件114與基板支撐體之間產生電漿,或在基板126上產生自偏壓(self-bias),以吸引離子。可使用控制器176以控制RF偏壓。
現轉向圖4,顯示自基板處理腔室消除氟的方法200。在204,在第一預定壓力下,使用基於氟的氣體執行基板處理(例如灰化、蝕刻、或清潔)。 在208,將反應物自基板處理腔室排出。在210,將壓力設定為第二預定壓力。 在若干範例中,第二預定壓力小於第一預定壓力。在214,將包含選自由O2、N2、NO、及N2O所構成的群組之一或更多氣體的氣體混合物供應至基板處理腔室。
在218,供應RF功率而在基板處理腔室中引燃電漿。在222,將RF偏壓選用性地供應至基板支撐體。在226,方法判定第一預定時期是否終了。當226成立時,方法繼續至228,並熄滅電漿且將反應物自基板處理腔室排出。
在232,氣體輸送系統將分子氫(H2)供應至基板處理腔室,且在基板處理腔室中引燃電漿。在240,將RF偏壓選用性地供應至基板支撐體。在244,方法判定第二預定時期是否終了。當244成立時,方法繼續至248,並選擇性地重複步驟208與240間的製程(零次、一或更多次)。當248不成立時,方法繼續至252。利用分子氮(N2)吹淨基板處理腔室。在256,將腔室壓力增加至第三預定壓力。在260,排空腔室。在264,方法判定是否要重複步驟252至260(零次、一或多次)。在若干範例中,使用圖2的RF功率位準、RF偏壓位準、流率、及處理次數。
前文的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或用途之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的範例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多步驟。另外,儘管每個實施例皆於以上敘述為具有特定的特徵,但參照本揭露內容之任何實施例所述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,所述實施例並非互相排除,且一或更多實施例之間的排列組合仍屬於本揭露內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種用語來表述,其中包含「連接」、「嚙合」、「耦合」、「鄰接」、「接近」、「在頂部」、「上方」、「下方」及「配置」。除非明確敘述為「直接」,否則當於以上揭露內容中描述第一和第二元件間的關係時,該關係可為第一及二元件間沒有其他中間元件存在的直接關係,但亦可為第一及二元件間(空間上或功能上)存在一或更多中間元件的間接關係。如本說明書中所使用,用語「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。
在若干實施例中,控制器係為系統的部分,該系統可為上述範例的部分。如此的系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF,radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs,digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在晶圓之一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自許多製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。 在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD,chemical vapor deposition)腔室或模組、原子層沉積(ALD,atomic layer deposition)腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
50:方法
52:步驟
53:步驟
54:步驟
58:步驟
60:步驟
62:步驟
66:步驟
70:步驟

Claims (12)

  1. 一種操作基板處理腔室的方法,其包含:在該基板處理腔室中使用基於氟的氣體執行製程之後,進行下列各步驟:a)在第一預定時期期間,將氣體混合物供應至該基板處理腔室,該氣體混合物包含選自由分子氧、分子氮、一氧化氮、及一氧化二氮所構成之群組的一或更多氣體,且供應射頻(RF)功率以在該基板處理腔室中引燃電漿;b)於該第一預定時期之後,在第二預定時期期間,將分子氫及RF功率供應至該基板處理腔室;c)將步驟a)及b)重複一或多次;d)利用分子氮氣體吹淨該基板處理腔室;e)增加該基板處理腔室中之壓力;f)排空該基板處理腔室;且g)將步驟d)、e)、及f)重複一或多次。
  2. 如申請專利範圍第1項之操作基板處理腔室的方法,更包含在步驟a)期間將該基板處理腔室中的壓力設定為第一預定壓力。
  3. 如申請專利範圍第2項之操作基板處理腔室的方法,其中該第一預定壓力係介於自400mTorr至3Torr的範圍之間。
  4. 如申請專利範圍第2項之操作基板處理腔室的方法,更包含在步驟b)期間將該基板處理腔室中的壓力設定為第二預定壓力。
  5. 如申請專利範圍第4項之操作基板處理腔室的方法,其中該第二預定壓力係介於自400mTorr至3Torr的範圍之間。
  6. 如申請專利範圍第4項之操作基板處理腔室的方法,更包含在步驟e)期間將該基板處理腔室中的壓力設定為第三預定壓力。
  7. 如申請專利範圍第6項之操作基板處理腔室的方法,其中該第三預定壓力係介於自5Torr至15Torr的範圍之間。
  8. 如申請專利範圍第1項之操作基板處理腔室的方法,其中步驟a)中的該RF功率係介於自500W至2kW的範圍之間。
  9. 如申請專利範圍第1項之操作基板處理腔室的方法,更包含將RF偏壓供應至配置於該基板處理腔室中的基板支撐體。
  10. 如申請專利範圍第9項之操作基板處理腔室的方法,其中該RF偏壓係介於自100W至500W的功率範圍之間。
  11. 如申請專利範圍第1項之操作基板處理腔室的方法,其中以100sccm與5000sccm間的流率供應該一或更多氣體。
  12. 如申請專利範圍第1項之操作基板處理腔室的方法,其中以500sccm與3000sccm間的流率供應該分子氫氣體。
TW106100005A 2016-01-07 2017-01-03 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法 TWI710415B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/990,320 US9601319B1 (en) 2016-01-07 2016-01-07 Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US14/990,320 2016-01-07

Publications (2)

Publication Number Publication Date
TW201733697A TW201733697A (zh) 2017-10-01
TWI710415B true TWI710415B (zh) 2020-11-21

Family

ID=58337198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100005A TWI710415B (zh) 2016-01-07 2017-01-03 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法

Country Status (4)

Country Link
US (1) US9601319B1 (zh)
KR (1) KR20170082978A (zh)
CN (1) CN106952799B (zh)
TW (1) TWI710415B (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US20220093365A1 (en) * 2018-09-10 2022-03-24 Lam Research Corporation Atomic layer treatment process using metastable activated radical species
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
CN102024741A (zh) * 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
TWI392014B (zh) * 2004-07-07 2013-04-01 Showa Denko Kk Plasma processing method and plasma etching method
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
TW201511119A (zh) * 2013-06-28 2015-03-16 Hitachi Int Electric Inc 清潔方法,製造半導體裝置的方法,基板處理設備,以及記錄媒體

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
CN100353520C (zh) * 2005-01-07 2007-12-05 联华电子股份有限公司 制作双镶嵌结构以及清除其残余聚合物的方法
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
CN100561664C (zh) * 2007-05-10 2009-11-18 中芯国际集成电路制造(上海)有限公司 刻蚀后残留聚合物的去除方法及刻蚀结构的形成方法
CN101752291B (zh) * 2008-12-22 2013-10-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
JP5492574B2 (ja) * 2010-01-08 2014-05-14 東京エレクトロン株式会社 基板のクリーニング方法及び基板のクリーニング装置
WO2011112587A1 (en) * 2010-03-09 2011-09-15 First Solar, Inc. Deposition chamber cleaning system and method
JP2013541188A (ja) * 2010-08-25 2013-11-07 リンデ アクチエンゲゼルシャフト 分子状フッ素を用いるリアクターボックスチャンバのクリーニング
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
CN103745945B (zh) * 2013-11-15 2017-02-15 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN105448634B (zh) * 2014-08-28 2017-10-24 北京北方华创微电子装备有限公司 一种腔室环境的控制方法
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
TWI392014B (zh) * 2004-07-07 2013-04-01 Showa Denko Kk Plasma processing method and plasma etching method
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
CN102024741A (zh) * 2009-09-17 2011-04-20 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
TW201511119A (zh) * 2013-06-28 2015-03-16 Hitachi Int Electric Inc 清潔方法,製造半導體裝置的方法,基板處理設備,以及記錄媒體

Also Published As

Publication number Publication date
KR20170082978A (ko) 2017-07-17
US9601319B1 (en) 2017-03-21
TW201733697A (zh) 2017-10-01
CN106952799A (zh) 2017-07-14
CN106952799B (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
TWI710415B (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
US10192751B2 (en) Systems and methods for ultrahigh selective nitride etch
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
US20230084901A1 (en) Ultrahigh selective nitride etch to form finfet devices
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102414852B1 (ko) 에너지 중성자를 생성하기 위한 시스템들 및 방법들
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US20180311707A1 (en) In situ clean using high vapor pressure aerosols
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR102658106B1 (ko) 타이트한 공간들에서 실리콘을 등방성으로 에칭하기 위한 잔여물이 없는 시스템들 및 방법들
US20220181128A1 (en) Apparatus for cleaning plasma chambers
TWI834675B (zh) 高深寬比結構之有效率的清潔和蝕刻
US20220375773A1 (en) Autoclean for load locks in substrate processing systems
TW202324489A (zh) 去除基板殘留物的原位背面電漿處理
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔