KR20170082978A - 플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들 - Google Patents

플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20170082978A
KR20170082978A KR1020160181978A KR20160181978A KR20170082978A KR 20170082978 A KR20170082978 A KR 20170082978A KR 1020160181978 A KR1020160181978 A KR 1020160181978A KR 20160181978 A KR20160181978 A KR 20160181978A KR 20170082978 A KR20170082978 A KR 20170082978A
Authority
KR
South Korea
Prior art keywords
substrate processing
processing chamber
gas
chamber
instances
Prior art date
Application number
KR1020160181978A
Other languages
English (en)
Inventor
앤드류 스트라톤 브라보
조이딥 구하
아미트 파크야
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170082978A publication Critical patent/KR20170082978A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 챔버를 동작시키기 위한 방법은, 기판 프로세싱 챔버 내에서 불소계 가스를 사용하여 프로세스를 수행한 후에, a) 제 1 미리 결정된 기간 동안, 분자 산소, 분자 질소, 산화질소 및 아산화질소로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한 가스 혼합물을 기판 프로세싱 챔버에 공급하고 그리고 기판 프로세싱 챔버 내에서 플라즈마를 스트라이킹하도록 (strike) RF 전력을 공급하는 단계; b) 제 1 미리 결정된 기간 후의 제 2 미리 결정된 기간 동안, 분자 수소 가스 및 RF 전력을 기판 프로세싱 챔버에 공급하는 단계; c) 단계 a) 및 단계 b)를 1 회 이상 반복하는 단계; d) 분자 질소 가스를 사용하여 기판 프로세싱 챔버를 퍼지하는 단계; e) 챔버 압력을 증가시키는 단계; f) 기판 프로세싱 챔버를 배기하는 단계; 및 g) 단계 d), 단계 e) 및 단계 f)를 1 회 이상 반복하는 단계를 포함한다.

Description

플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR ELIMINATING FLUORINE RESIDUE IN A SUBSTRATE PROCESSING CHAMBER USING A PLASMA-BASED PROCESS}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템들 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상의 막을 애싱하거나 (ash) 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 그리고 RF (radio frequency) 플라즈마가 화학적 반응들을 활성화시키도록 사용될 수도 있다.
불소를 포함한 가스 혼합물들은 애싱 또는 에칭 프로세스들과 같은 기판 처리 동안 사용될 수도 있다. 불소를 포함한 가스 혼합물들은 또한 기판 프로세싱 챔버 내에 위치된 컴포넌트들 (components) 에 대한 세정 프로세스들 동안 사용될 수도 있다.
기판 처리 또는 챔버 세정 프로세스들 동안, 불소 함유 가스들 (예컨대, 황 헥사플루오라이드 (SF6), 질소 트리플루오라이드 (NF3) 또는 탄소 테트라플루오라이드 (CF4)) 이 해리된다. 불소 이온들 및 라디칼들은 기판 프로세싱 챔버 내에서 기판의 표면들 및/또는 노출된 표면들과 반응한다. 불소 이온들 및 라디칼들은 기판 프로세싱 챔버 내의 컴포넌트들에 부착된다. 기판 프로세싱 챔버가 나중에 개방되고 그리고 대기에 노출될 때, 공기 내의 수분은 불소 이온들 및 라디칼들과 반응하고 그리고 HF (hydrofluoric) 산을 생성한다.
주변 공기 퍼지 프로세스는 기판 프로세싱 챔버가 개방될 때 생성되는 HF 산의 효과들을 완화시키도록 사용될 수도 있다. 이 프로세스 동안, 기판 프로세싱 챔버는 일정한 진공 압력으로 유지되고 그리고 주변 공기가 도입된다. 주변 공기는 프로세싱 챔버의 컴포넌트들 상에서 불소 이온들 및 라디칼들과 반응하는 물을 함유한다. 반응은 이어서 펌프로 제거되고 그리고 스크러버 (scrubber) 에 의해 처리되는 가스 HF를 생성한다.
기판 프로세싱 챔버를 동작시키기 위한 방법이 개시된다. 방법은 기판 프로세싱 챔버 내에서 불소계 가스를 사용하는 프로세스 후에 수행된다. 방법은 a) 제 1 미리 결정된 기간 동안, 분자 산소, 분자 질소, 산화질소 및 아산화질소로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한 가스 혼합물을 기판 프로세싱 챔버에 공급하고 그리고 기판 프로세싱 챔버 내에서 플라즈마를 스트라이킹하도록 (strike) RF 전력을 공급하는 단계; b) 제 1 미리 결정된 기간 후의 제 2 미리 결정된 기간 동안, 분자 수소 가스 및 RF 전력을 기판 프로세싱 챔버에 공급하는 단계; c) 단계 a) 및 단계 b)를 1 회 이상 반복하는 단계; d) 분자 질소 가스를 사용하여 기판 프로세싱 챔버를 퍼지하는 단계; e) 챔버 압력을 증가시키는 단계; f) 기판 프로세싱 챔버를 배기하는 단계; 및 g) 단계 d), 단계 e) 및 단계 f)를 1 회 이상 반복하는 단계를 포함한다.
다른 특징들에서, 방법은 단계 a) 동안 기판 프로세싱 챔버 내의 압력을 제 1 미리 결정된 압력으로 설정하는 단계를 포함한다. 제 1 미리 결정된 압력은 400 mTorr 내지 3 Torr 범위 내이다.
다른 특징들에서, 방법은 단계 b) 동안 기판 프로세싱 챔버 내의 압력을 제 2 미리 결정된 압력으로 설정하는 단계를 포함한다. 제 2 미리 결정된 압력은 400 mTorr 내지 3 Torr 범위 내이다.
다른 특징들에서, 방법은 단계 c) 동안 기판 프로세싱 챔버 내의 압력을 제 3 미리 결정된 압력으로 설정하는 단계를 포함한다. 제 3 미리 결정된 압력은 5 Torr 내지 15 Torr 범위 내이다.
다른 특징들에서, 단계 a) 의 RF 전력은 500 W 내지 2kW 범위 내이다. 방법은 기판 프로세싱 챔버 내에 배치된 기판 지지부에 RF 바이어스를 공급하는 단계를 포함한다. RF 바이어스는 100 W 내지 500 W 범위 내이다. 하나 이상의 가스들은 100 내지 5000 sccm의 플로우 레이트로 공급된다. 분자 수소는 500 내지 3000 sccm의 플로우 레이트로 공급된다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 불소 가스를 사용한 세정 또는 기판 처리 프로세스들 후에 기판 프로세싱 챔버 내의 컴포넌트들로부터 불소를 제거하기 위한 방법의 예를 예시한 흐름도이다.
도 3은 본 개시에 따른 기판 프로세싱 시스템의 또 다른 예의 기능적 블록도이다.
도 4는 불소 가스를 사용한 세정 또는 기판 처리 프로세스들 후에 기판 프로세싱 챔버 내의 컴포넌트들로부터 불소를 제거하기 위한 방법의 또 다른 예를 예시한 흐름도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
본 개시에 따른 시스템들 및 방법들은 포스트 프로세싱 동안 주변 공기에 대한 노출을 제거함으로써 불소 가스를 사용하는 프로세스들 후에 기판 프로세싱 챔버 내의 HF 산의 가능성을 제거한다. 상기에 논의된 바와 같이, 주변 공기 퍼지 프로세스는 HF 산 오염을 유발할 수 있는 주변 공기를 도입한다. 또한, 주변 공기 퍼지 프로세스들은 기판 프로세싱 챔버 내로 수분을 도입한다. 수분은 유지보수 액티비티를 수행한 후에 기판 프로세싱 챔버 내에 남아 있을 수도 있고 그리고 입자들, 오염, 프로세스 드리프트, 등을 포함한 다양한 이슈들을 유발할 수 있다. 본 개시에 따른 시스템들 및 방법들은 주변 공기 퍼지 프로세스에 대한 필요를 제거하고, 이는 연관된 생산성 이슈들을 제거한다.
이제 도 1을 참조하면, 본 개시에 따른 기판 프로세싱 챔버 (10) 의 예가 도시된다. 특정한 기판 프로세싱 챔버 (10) 가 도시되고 기술되지만, 본 명세서에 기술된 방법들은 다른 타입들의 기판 프로세싱 시스템들을 사용하여 구현될 수도 있다. 기판 프로세싱 챔버 (10) 는 챔버 인클로저 (11) 를 포함한다. 가스 분배 디바이스 (12) 는 챔버 인클로저 (11) 내에서 가스를 분배한다. 일부 예들에서, 가스 분배 디바이스 (12) 가 샤워헤드를 포함하지만, 다른 가스 분배 디바이스들이 사용될 수도 있다. 플레이트, 페데스탈, 정전 척, 등과 같은 기판 지지부 (14) 가 또한 챔버 인클로저 (11) 내에 배치된다. 증착 또는 에칭과 같은 기판 프로세싱 동안, 기판 (16) 은 기판 지지부 (14) 상에 배치된다. 기판 (16) 은 세정 프로세스들 동안 챔버 인클로저 (11) 로부터 제거될 수도 있다.
가스 전달 시스템 (18) 은 하나 이상의 프로세스 가스 혼합물들, 퍼지 가스 혼합물들, 세정 가스 혼합물들, 또는 개별적인 가스들을 가스 분배 디바이스 (12) 에 공급한다. 일부 예들에서, 가스 혼합물들은 불소계 가스를 포함한다. 플라즈마 생성기 (20) 는 선택적으로 플라즈마를 생성하도록 RF 전력을 공급한다. RF 전력은 가스 분배 디바이스 (12) 또는 기판 지지부 (14) 중 하나에 출력될 수도 있다. 일부 예들에서, 가스 분배 디바이스 (12) 또는 기판 지지부 (14) 중 다른 하나는 접지와 같은 기준 전위에 연결된다. RF 바이어스 생성기 (22) 는 선택적으로 RF 바이어스를 기판 지지부 (14) 에 공급한다.
가스 제거 시스템 (26) 은 선택적으로 챔버 인클로저 (11) 로부터 반응물질들을 배기하고 그리고/또는 챔버 인클로저 (11) 내의 압력을 제어한다. 하나 이상의 센서들 (28) 은 온도, 압력, 등과 같은 챔버 파라미터들을 센싱하도록 챔버 내에 배치될 수도 있다. 제어기 (30) 는 가스 전달 시스템 (18), 플라즈마 생성기 (20), RF 바이어스 생성기 (22), 가스 제거 시스템 (26) 및/또는 센서 (28) 에 연결될 수도 있다.
이제 도 2를 참조하면, 챔버 인클로저 (11) 내의 불소를 제거하기 위한 방법 50이 도시된다. 52에서, 기판 처리 또는 챔버 세정 프로세스는 제 1 미리 결정된 압력으로 불소계 가스를 사용하여 기판 프로세싱 챔버 내에서 수행된다. 일부 예들에서, 불소계 프로세스는 애싱, 에칭, 세정 또는 불소 잔여물을 남기는 임의의 다른 프로세스를 수반한다. 일부 예들에서, 제 1 미리 결정된 압력은 400 mTorr 내지 3 Torr의 압력 범위 내이다. 일부 예들에서, 제 1 미리 결정된 압력은 1.5 Torr 내지 3 Torr의 압력 범위 내이다. 일부 예들에서, 챔버는 프로세스를 개시하기 전에 퍼지될 수도 있다.
53에서, 플라즈마는 분자 산소 (O2), 산화질소 (NO), 아산화질소 (N2O), 및 분자 질소 (N2) 로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한 플라즈마 가스 혼합물을 사용하여 미리 결정된 기간 동안 제 2 미리 결정된 압력으로 기판 프로세싱 챔버 내에서 생성된다. 일부 예들에서, 하나 이상의 가스들의 플로우 레이트들은 100 내지 5000 sccm이다. 일부 예들에서, 미리 결정된 기간은 200 s 내지 400 s이다. 일부 예들에서, 미리 결정된 기간은 약 300 s +/- 25 s이다.
일부 예들에서, 제 2 미리 결정된 압력은 제 1 미리 결정된 압력 미만이다. 일부 예들에서, 제 2 미리 결정된 압력은 400 mTorr 내지 3 Torr의 압력 범위 내이다. 일부 예들에서, 제 2 미리 결정된 압력은 400 mTorr 내지 1 Torr의 압력 범위 내이다. 일부 예들에서, 제 2 미리 결정된 압력은 800 mTorr +/- 50 mTorr이다. 일부 예들에서, 500 W 내지 2 kW의 RF 전력이 제공된다. 일부 예들에서, 100 내지 500 W 범위 내의 RF 바이어스 전력이 기판 지지부에 공급된다.
54에서, 플라즈마는 분자 수소 (H2) 를 포함한 플라즈마 가스 혼합물을 사용하여 미리 결정된 기간 동안 제 3 미리 결정된 압력으로 챔버 내에서 생성된다. 일부 예들에서, 미리 결정된 기간은 200 s 내지 400 s이다. 일부 예들에서, 미리 결정된 기간은 300 s +/- 25 s이다. 일부 예들에서, 제 3 미리 결정된 압력은 400 mTorr 내지 3 Torr의 압력 범위 내이다. 일부 예들에서, 제 3 미리 결정된 압력은 800 mTorr +/- 50 mTorr이다. 일부 예들에서, 500 W 내지 2 kW의 RF 전력이 제공된다. 일부 예들에서, 100 내지 500 W 범위 내의 RF 바이어스 전력이 기판 지지부에 공급된다. 일부 예들에서, H2의 플로우 레이트는 500 내지 3000 sccm이다.
58에서, 단계들 53 및 54는 0 회, 1 회 이상 반복될 수도 있다. 일부 예들에서, 단계들 53 및 54는 5 내지 15 회 반복된다. 일부 예들에서, 단계들 53 및 54는 10 회 +/- 2 회 반복된다. 58이 부정일 때, 방법은 분자 질소 (N2) 를 사용하여 챔버를 퍼지함으로써 60으로 진행된다. 62에서, 챔버는 제 4 미리 결정된 압력으로 가압된다. 일부 예들에서, 제 4 미리 결정된 압력은 5 Torr 내지 15 Torr의 압력 범위 내이다. 일부 예들에서, 제 4 미리 결정된 압력은 10 Torr +/- 2 Torr이다.
66에서, 반응물질들은 기판 프로세싱 챔버로부터 배기된다. 70에서, 단계들 60, 62 및 66은 0 회, 1 회 이상 반복될 수도 있다. 일부 예들에서, 단계들 60, 62 및 66은 30 내지 70 회 반복된다. 일부 예들에서, 단계들 53 및 54는 50 회 +/- 5 회 반복된다.
이제 도 3을 참조하면, 본 개시에 따른 기판 프로세싱 챔버 (100) 의 예가 도시된다. 또 다른 특정한 기판 프로세싱 챔버가 도시되고 기술되지만, 본 명세서에 기술된 방법들이 다른 타입들의 기판 프로세싱 시스템들을 사용하여 구현될 수도 있다.
기판 프로세싱 챔버 (100) 는 하부 챔버 구역 (102) 및 상부 챔버 구역 (104) 을 포함한다. 하부 챔버 구역 (102) 은 챔버 측벽 표면들 (108), 챔버 하단 표면 (110) 및 가스 분배 디바이스 (114) 의 하부 표면에 의해 규정된다.
상부 챔버 구역 (104) 은 가스 분배 디바이스 (114) 의 상부 표면 및 돔 (118) 의 내측 표면에 의해 규정된다. 일부 예들에서, 돔 (118) 은 제 1 환형 지지부 (121) 상에 놓인다. 일부 예들에서, 제 1 환형 지지부 (121) 는 프로세스 가스를 상부 챔버 구역 (104) 으로 전달하기 위한 하나 이상의 이격된 홀들 (123) 을 포함한다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함한 평면에 대해 예각으로 상측 방향으로 하나 이상의 이격된 홀들 (123) 에 의해 전달되지만, 다른 각들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (121) 의 가스 플로우 채널 (134) 은 가스를 하나 이상의 이격된 홀들 (123) 로 공급한다.
제 1 환형 지지부 (121) 는 프로세스 가스를 가스 플로우 채널 (129) 로부터 하부 챔버 구역 (102) 으로 전달하기 위한 하나 이상의 이격된 홀들 (127) 을 규정하는 제 2 환형 지지부 (125) 상에 놓일 수도 있다. 일부 예들에서, 가스 분배 디바이스 (114) 내의 홀들 (131) 은 홀들 (127) 과 정렬한다. 다른 예들에서, 가스 분배 디바이스 (114) 는 보다 작은 직경을 갖고 그리고 홀들 (131) 이 필요하지 않다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함한 평면에 대해 예각으로 기판을 향하여 하측 방향으로 하나 이상의 이격된 홀들 (127) 에 의해 전달되지만, 다른 각들/방향들이 사용될 수도 있다.
다른 예들에서, 편평한 상단 표면을 가진 상부 챔버 구역 (104) 은 실린더형이고 그리고 하나 이상의 편평한 유도 코일들이 사용될 수도 있다. 여전히 다른 예들에서, 샤워헤드와 기판 지지부 사이에 위치된 스페이서를 가진 단일의 챔버가 사용될 수도 있다.
기판 지지부 (122) 는 하부 챔버 구역 (104) 내에 배치된다. 일부 예들에서, 기판 지지부 (122) 는 정전 척 (ESC) 을 포함하지만, 다른 타입들의 기판 지지부들이 사용될 수 있다. 기판 (126) 은 에칭 동안 기판 지지부 (122) 의 상부 표면 상에 배치된다. 일부 예들에서, 기판 (126) 의 온도는 가열기 플레이트 (125), 유체 채널들을 가진 선택 가능한 냉각 플레이트 및 하나 이상의 센서들 (미도시) 에 의해 제어될 수도 있지만; 임의의 다른 적합한 온도 제어 시스템이 사용될 수도 있다.
일부 예들에서, 가스 분배 디바이스 (114) 는 샤워헤드 (예를 들어, 복수의 이격된 홀들 (129) 을 가진 플레이트 (128)) 를 포함한다. 복수의 이격된 홀들 (129) 은 플레이트 (128) 의 상부 표면으로부터 플레이트 (128) 의 하부 표면으로 연장한다. 일부 예들에서, 이격된 홀들 (129) 은 0.4" 내지 0.75" 범위 내의 직경을 갖고 그리고 샤워헤드는 전도성 재료로 이루어진 임베딩된 (embedded) 전극을 가지며 세라믹과 같은 비-전도성 재료 또는 알루미늄과 같은 전도성 재료로 이루어진다.
하나 이상의 유도 코일들 (140) 은 돔 (118) 의 외측 부분 주위에 배치된다. 에너자이징될 (energized) 때, 하나 이상의 유도 코일들 (140) 은 돔 (118) 의 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (142) 는 하나 이상의 가스 혼합물들을 가스 전달 시스템 (150-1) 으로부터 주입한다.
일부 예들에서, 가스 전달 시스템 (150-1) 은 하나 이상의 가스 소스들 (152), 하나 이상의 밸브들 (154), 하나 이상의 MFC들 (mass flow controllers) (156), 및 혼합 매니폴드 (158) 를 포함하지만, 다른 타입들의 가스 전달 시스템들이 사용될 수도 있다.
플라즈마 생성기 (170) 는 하나 이상의 유도 코일들 (140) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (190) 는 상부 챔버 구역 (104) 내에서 생성된다. 일부 예들에서, 플라즈마 생성기 (170) 는 RF 생성기 (172) 및 매칭 네트워크 (174) 를 포함한다. 매칭 네트워크 (174) 는 하나 이상의 유도 코일들 (140) 의 임피던스에 RF 생성기 (172) 의 임피던스를 매칭한다. 일부 예들에서, 가스 분배 디바이스 (114) 는 접지와 같은 기준 전위에 연결된다. 밸브 (178) 및 펌프 (180) 는 하부 및 상부 챔버 구역들 (102, 104) 의 내부의 압력을 제어하도록 그리고 반응물질들을 배기하도록 사용될 수도 있다.
제어기 (176) 는 프로세스 가스, 퍼지 가스, RF 플라즈마 및 챔버 압력의 플로우를 제어하도록 가스 전달 시스템들 (150-1 및 150-2), 밸브 (178), 펌프 (180), 및/또는 플라즈마 생성기 (170) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (140) 에 의해 돔 (118) 내부에서 유지된다. 하나 이상의 가스 혼합물들은 가스 주입기 (142) (및/또는 홀들 (123)) 를 사용하여 챔버의 상단 부분으로부터 도입되고 그리고 플라즈마는 가스 분배 디바이스 (114) 를 사용하여 돔 (118) 내에 한정된다.
일부 예들에서, RF 바이어스가 공급된다. RF 바이어스 생성기 (184) 가 제공되고 그리고 RF 생성기 (186) 및 매칭 네트워크 (188) 를 포함한다. RF 바이어스는 가스 분배 디바이스 (114) 와 기판 지지부 사이에서 플라즈마를 생성하도록 또는 이온들을 끌어당기기 위해 기판 (126) 상에 자기 바이어스 (self-bias) 를 생성하도록 사용될 수 있다. 제어기 (176) 는 RF 바이어스를 제어하도록 사용될 수도 있다.
이제 도 4를 참조하면, 기판 프로세싱 챔버로부터 불소를 제거하기 위한 방법 200이 도시된다. 204에서, 불소계 가스를 사용한 기판 처리 (예컨대, 애싱, 에칭 또는 세정) 가 제 1 미리 결정된 압력으로 수행된다. 208에서, 반응물질들은 기판 프로세싱 챔버로부터 배기된다. 210에서, 압력은 제 2 미리 결정된 압력으로 설정된다. 일부 예들에서, 제 2 미리 결정된 압력은 제 1 미리 결정된 압력 미만이다. 214에서, O2, N2, NO 및 N2O로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한 가스 혼합물이 기판 프로세싱 챔버에 공급된다.
218에서, RF 전력이 공급되고 그리고 플라즈마가 기판 프로세싱 챔버 내에서 스트라이킹된다. 222에서, RF 바이어스가 기판 지지부에 선택 가능하게 공급된다. 226에서, 방법은 제 1 미리 결정된 기간이 종료되었는지 (up) 여부를 결정한다. 226이 참일 때, 방법은 228로 계속되고 그리고 플라즈마를 배기하고 그리고 기판 프로세싱 챔버로부터 반응물질들을 배기한다.
232에서, 가스 전달 시스템은 분자 수소 (H2) 를 기판 프로세싱 챔버로 공급하고 그리고 플라즈마는 기판 프로세싱 챔버 내에서 스트라이킹한다. 240에서, RF 바이어스가 기판 지지부에 선택 가능하게 공급된다. 244에서, 방법은 제 2 미리 결정된 기간이 종료되었는지 여부를 결정한다. 244가 참일 때, 방법은 248로 계속되고 그리고 단계 208과 단계 240 사이에서 프로세스를 선택적으로 (0 회, 1 회 이상) 반복한다. 248이 부정이라면, 방법은 252로 계속된다. 기판 프로세싱 챔버는 분자 질소 (N2) 를 사용하여 퍼지된다. 256에서, 챔버 압력은 제 3 미리 결정된 압력으로 증가된다. 260에서, 챔버가 배기된다. 264에서, 방법은 단계들 252 내지 260을 (0 회, 1 회 이상) 반복할지 여부를 결정한다. 일부 예들에서, 도 2의 RF 전력 레벨들, RF 바이어스 레벨들, 플로우 레이트들 및 프로세스 시간들이 사용된다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (12)

  1. 기판 프로세싱 챔버를 동작시키기 위한 방법에 있어서,
    상기 기판 프로세싱 챔버 내에서 불소계 가스를 사용하여 프로세스를 수행한 후에,
    a) 제 1 미리 결정된 기간 동안, 분자 산소, 분자 질소, 산화질소 및 아산화질소로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한 가스 혼합물을 상기 기판 프로세싱 챔버에 공급하고 그리고 상기 기판 프로세싱 챔버 내에서 플라즈마를 스트라이킹하도록 (strike) RF 전력을 공급하는 단계;
    b) 상기 제 1 미리 결정된 기간 후의 제 2 미리 결정된 기간 동안, 분자 수소 가스 및 RF 전력을 상기 기판 프로세싱 챔버에 공급하는 단계;
    c) 상기 단계 a) 및 상기 단계 b)를 1 회 이상 반복하는 단계;
    d) 분자 질소 가스를 사용하여 상기 기판 프로세싱 챔버를 퍼지하는 단계;
    e) 챔버 압력을 증가시키는 단계;
    f) 상기 기판 프로세싱 챔버를 배기하는 단계; 및
    g) 상기 단계 d), 상기 단계 e) 및 상기 단계 f)를 1 회 이상 반복하는 단계를 포함하는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  2. 제 1 항에 있어서,
    상기 단계 a) 동안 상기 기판 프로세싱 챔버 내의 압력을 제 1 미리 결정된 압력으로 설정하는 단계를 더 포함하는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  3. 제 2 항에 있어서,
    상기 제 1 미리 결정된 압력은 400 mTorr 내지 3 Torr 범위 내인, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  4. 제 2 항에 있어서,
    상기 단계 b) 동안 상기 기판 프로세싱 챔버 내의 상기 압력을 제 2 미리 결정된 압력으로 설정하는 단계를 더 포함하는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  5. 제 4 항에 있어서,
    상기 제 2 미리 결정된 압력은 400 mTorr 내지 3 Torr 범위 내인, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  6. 제 4 항에 있어서,
    상기 단계 c) 동안 상기 기판 프로세싱 챔버 내의 상기 압력을 제 3 미리 결정된 압력으로 설정하는 단계를 더 포함하는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  7. 제 6 항에 있어서,
    상기 제 3 미리 결정된 압력은 5 Torr 내지 15 Torr 범위 내인, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  8. 제 1 항에 있어서,
    상기 단계 a) 의 상기 RF 전력은 500 W 내지 2kW 범위 내인, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  9. 제 1 항에 있어서,
    상기 기판 프로세싱 챔버 내에 배치된 기판 지지부에 RF 바이어스를 공급하는 단계를 더 포함하는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  10. 제 9 항에 있어서,
    상기 RF 바이어스는 100 W 내지 500 W 범위 내인, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  11. 제 1 항에 있어서,
    상기 하나 이상의 가스들은 100 내지 5000 sccm의 플로우 레이트로 공급되는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
  12. 제 1 항에 있어서,
    상기 분자 수소는 500 내지 3000 sccm의 플로우 레이트로 공급되는, 기판 프로세싱 챔버를 동작시키기 위한 방법.
KR1020160181978A 2016-01-07 2016-12-29 플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들 KR20170082978A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/990,320 US9601319B1 (en) 2016-01-07 2016-01-07 Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US14/990,320 2016-01-07

Publications (1)

Publication Number Publication Date
KR20170082978A true KR20170082978A (ko) 2017-07-17

Family

ID=58337198

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160181978A KR20170082978A (ko) 2016-01-07 2016-12-29 플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들

Country Status (4)

Country Link
US (1) US9601319B1 (ko)
KR (1) KR20170082978A (ko)
CN (1) CN106952799B (ko)
TW (1) TWI710415B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190100874A (ko) * 2018-02-21 2019-08-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세스에서 오염물 입자들을 제거하기 위한 장치 및 방법들

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
JP6545261B2 (ja) 2014-10-17 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US20190051540A1 (en) * 2017-08-08 2019-02-14 Lam Research Corporation Systems and methods for plasma-less de-halogenation
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
WO2020055612A1 (en) * 2018-09-10 2020-03-19 Lam Research Corporation Atomic layer treatment process using metastable activated radical species
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
CN100353520C (zh) * 2005-01-07 2007-12-05 联华电子股份有限公司 制作双镶嵌结构以及清除其残余聚合物的方法
JP2007158230A (ja) * 2005-12-08 2007-06-21 Nec Electronics Corp プラズマエッチング装置のクリーニング方法、およびプラズマエッチング装置
CN100561664C (zh) * 2007-05-10 2009-11-18 中芯国际集成电路制造(上海)有限公司 刻蚀后残留聚合物的去除方法及刻蚀结构的形成方法
CN101752291B (zh) * 2008-12-22 2013-10-09 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
CN102024741B (zh) * 2009-09-17 2013-03-27 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
JP5492574B2 (ja) * 2010-01-08 2014-05-14 東京エレクトロン株式会社 基板のクリーニング方法及び基板のクリーニング装置
WO2011112587A1 (en) * 2010-03-09 2011-09-15 First Solar, Inc. Deposition chamber cleaning system and method
JP2013541188A (ja) * 2010-08-25 2013-11-07 リンデ アクチエンゲゼルシャフト 分子状フッ素を用いるリアクターボックスチャンバのクリーニング
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
JP6242095B2 (ja) * 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
CN103745945B (zh) * 2013-11-15 2017-02-15 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN105448634B (zh) * 2014-08-28 2017-10-24 北京北方华创微电子装备有限公司 一种腔室环境的控制方法
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190100874A (ko) * 2018-02-21 2019-08-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세스에서 오염물 입자들을 제거하기 위한 장치 및 방법들

Also Published As

Publication number Publication date
TW201733697A (zh) 2017-10-01
TWI710415B (zh) 2020-11-21
US9601319B1 (en) 2017-03-21
CN106952799A (zh) 2017-07-14
CN106952799B (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
KR20170082978A (ko) 플라즈마-기반 프로세스를 사용하여 기판 프로세싱 챔버 내의 불소 잔여물을 제거하기 위한 시스템들 및 방법들
US10192751B2 (en) Systems and methods for ultrahigh selective nitride etch
TWI674617B (zh) 用於在電漿清潔製程之後執行電漿處理製程的方法
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
KR20200027568A (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
US11842888B2 (en) Removing metal contamination from surfaces of a processing chamber
US11462412B2 (en) Etching method
TW200525611A (en) Chamber cleaning method
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR20180121829A (ko) 고 증기압 에어로졸을 사용한 인시츄 세정
KR20180012697A (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US9691590B2 (en) Selective removal of boron doped carbon hard mask layers
KR102658106B1 (ko) 타이트한 공간들에서 실리콘을 등방성으로 에칭하기 위한 잔여물이 없는 시스템들 및 방법들
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
WO2022191945A1 (en) Ash rate recovery method in plasma chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal