TWI699831B - 非等向性鎢蝕刻用方法及設備 - Google Patents
非等向性鎢蝕刻用方法及設備 Download PDFInfo
- Publication number
- TWI699831B TWI699831B TW105100006A TW105100006A TWI699831B TW I699831 B TWI699831 B TW I699831B TW 105100006 A TW105100006 A TW 105100006A TW 105100006 A TW105100006 A TW 105100006A TW I699831 B TWI699831 B TW I699831B
- Authority
- TW
- Taiwan
- Prior art keywords
- tungsten
- etching
- containing material
- plasma
- semiconductor substrate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 181
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 123
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 123
- 239000010937 tungsten Substances 0.000 title claims abstract description 123
- 238000005530 etching Methods 0.000 title claims abstract description 105
- 239000000758 substrate Substances 0.000 claims abstract description 102
- 239000000463 material Substances 0.000 claims abstract description 89
- 239000000460 chlorine Substances 0.000 claims abstract description 39
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 39
- 239000001301 oxygen Substances 0.000 claims abstract description 38
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 17
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 15
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 14
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 11
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 9
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 9
- 239000010703 silicon Substances 0.000 claims abstract description 9
- 239000003989 dielectric material Substances 0.000 claims abstract description 8
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract description 5
- 229910052801 chlorine Inorganic materials 0.000 claims abstract description 5
- 239000007789 gas Substances 0.000 claims description 69
- 239000004065 semiconductor Substances 0.000 claims description 47
- 238000001020 plasma etching Methods 0.000 claims description 39
- 238000002161 passivation Methods 0.000 claims description 21
- 229920002120 photoresistant polymer Polymers 0.000 claims description 16
- 239000011261 inert gas Substances 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 5
- 229910052734 helium Inorganic materials 0.000 claims description 5
- 238000012546 transfer Methods 0.000 claims description 5
- 150000001875 compounds Chemical class 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 239000000203 mixture Substances 0.000 claims description 4
- 239000011248 coating agent Substances 0.000 claims 1
- 238000000576 coating method Methods 0.000 claims 1
- 229910052751 metal Inorganic materials 0.000 abstract description 6
- 239000002184 metal Substances 0.000 abstract description 6
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 abstract 2
- 125000004122 cyclic group Chemical group 0.000 abstract 1
- -1 oxygen radicals Chemical class 0.000 description 20
- 238000012545 processing Methods 0.000 description 18
- 238000004519 manufacturing process Methods 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 11
- 230000005284 excitation Effects 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000009826 distribution Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 239000005380 borophosphosilicate glass Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 229910052754 neon Inorganic materials 0.000 description 3
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 150000001804 chlorine Chemical class 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 150000003254 radicals Chemical class 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000000994 depressogenic effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 235000019800 disodium phosphate Nutrition 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000006104 solid solution Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 150000003658 tungsten compounds Chemical class 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32138—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
用於非等向性蝕刻含鎢材料(例如摻雜的或未摻雜的鎢金屬)的方法包含利用Cl2
電漿及利用含氧自由基的鎢表面之循環處理。在基板受到電性偏壓的情況下,執行利用氯電漿之處理,造成基板上之水平表面的主要蝕刻。利用含氧自由基之處理會鈍化欲蝕刻之基板表面,並保護基板之垂直表面(例如凹陷特徵部之側壁)免於蝕刻。為移除期望之材料量,可重複利用Cl2
電漿及利用含氧自由基的處理。在例如矽氧化物、矽氮化物、及矽氧氮化物之介電質材料存在的情況下,可選擇性地執行非等向性蝕刻。
Description
本發明關於移除基板上之材料層的方法。該等方法對於非等向性移除半導體基板上之含鎢材料尤其有效。
半導體基板上之積體電路(IC,integrated circuit)的製造涉及多層材料的沉積與蝕刻,以形成所期望之介電質層中導電路徑的圖案。非等向性蝕刻(即主要在選定之方向上的蝕刻)為用以在半導體基板上形成凹陷特徵部之有用的工具。在非等向性蝕刻的典型範例中,材料在垂直方向上被蝕刻掉,而無水平蝕刻。舉例而言,可將材料自凹陷特徵部底部移除,同時維持該凹陷特徵部之寬度。
鎢及含鎢材料作為在IC製造中發現許多用途的材料而崛起,包括作為導電層,以及最近以來,作為動態隨機存取記憶體(DRAM,dynamic random-access memory)及3D NAND製造中的硬遮罩。儘管有各種各樣的方法可用於鎢沉積,包含化學氣相沉積(CVD,chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、及物理氣相沉積(PVD,physical vapor deposition),然而用於鎢蝕刻的方法仍有限。特別是,需要發展用於非等向性鎢蝕刻(尤其在
例如矽氧化物及矽氮化物之介電質材料存在的情況下用於選擇性非等向性鎢蝕刻)的方法。
可將本說明書中所提供的方法用於生產積體電路的製程中,例如用於半導體晶圓上含鎢硬遮罩之蝕刻中,例如:DRAM及3D NAND裝置的製造期間。該等方法係適用於含鎢材料之非等向性移除。舉例而言,該等方法可用於移除位於凹陷特徵部底部的含鎢材料,同時防止含鎢材料自凹陷特徵部側壁被移除。可方向性地移除大量的含鎢材料。
在一態樣中,提供在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法。該方法包含以下操作:(a)將包括含鎢材料之半導體基板設置至電漿蝕刻製程腔室;(b)將包含Cl2之第一製程氣體導入至該電漿蝕刻製程腔室並形成電漿,以使該含鎢材料與電漿活化之氯反應;(c)在操作(b)之後,將該第一製程氣體自該電漿蝕刻製程腔室移除;(d)將包含氧自由基來源之第二製程氣體導入至該電漿蝕刻製程腔室並形成包含氧自由基之電漿,以使該含鎢材料之表面鈍化;及(e)在操作(d)之後,將該第二製程氣體自該電漿蝕刻製程腔室移除,其中該方法主要以選定之方向蝕刻該含鎢材料。應將相當大的電偏壓施加至固持基板的支撐體,以確保利用電漿活化之氯來進行方向性蝕刻。在若干實施例中,將至少約500V的偏壓提供至該固持基板的支撐體。
在若干實施例中,第一製程氣體實質上由Cl2所組成。在若干實施中,該第一製程氣體包含Cl2及惰性氣體,該惰性氣體係選自由N2、He、Ar、H2、及其組合所構成之群組。在若干實施中,在利用Cl2處理基板的期間,使該電漿
產生脈衝為較佳。舉例而言,可藉由利用5%-50%間之工作循環間歇地增加該基板支撐體上的偏壓電壓來使電漿產生脈衝。
可將各種各樣的含氧氣體用於該鈍化步驟。舉例而言,在若干實施例中,第二製程氣體包含選自由O2、O3、CO、CO2、COS、SO2、及其混合物所構成之群組的氧自由基來源。在若干實施例中,使用O2作為該氧自由基之來源為較佳。
為蝕刻所期望之材料量,操作(b)-(e)通常重複數次。在若干實施例中,操作(b)-(e)至少重複3次
在所述之方法中,蝕刻及鈍化操作之順序可顛倒。例如,在若干實施例中,在操作(d)及(e)之前執行操作(b)及(c)。在其他實施例中,在操作(b)及(c)之前執行操作(d)及(e)。
可對於例如矽氧化物、矽氮化物、矽氧氮化物、及其組合之其他材料選擇性地執行該含鎢材料之蝕刻。在若干實施例中,該基板包括含鎢材料之暴露層以及選自由矽氧化物、矽氮化物、及矽氧氮化物所構成之群組的介電質材料之暴露層,其中以相對於該介電質材料至少約2:1的選擇性來選擇性地蝕刻該含鎢材料。
在一實施中,該半導體基板包含凹陷特徵部,該凹陷特徵部包含在該凹陷特徵部底部的含鎢材料之暴露層。該蝕刻在實質上未改變該凹陷特徵部之寬度或直徑的情況下將該含鎢材料自該凹陷特徵部之底部移除。在若干實施例中,該凹陷特徵部之寬度或直徑至少小於約150nm。
本說明書中所提供之該蝕刻方法可用於非等向地蝕刻相當大量的含鎢材料。例如,在若干實施例中,蝕刻之含鎢材料層可具有約1000Å-7000
Å間的厚度。在若干實施例中,一循環的操作(b)-(e)自基板移除約10nm-50nm間的含鎢材料。
可將本說明書中所呈現的方法結合至涉及光微影圖案化之處理方案中。在若干實施例中,所提供之方法更包含以下操作:將光阻塗佈於基板;使該光阻暴露於光;使該光阻圖案化且使圖案轉移至該基板,並自該基板選擇性地移除該光阻。
在另一態樣中,提供用於非等向性地蝕刻半導體基板上之含鎢材料的電漿蝕刻設備。該設備包含:(a)製程腔室,其具有用於導入製程氣體的入口;(b)該製程腔室中的基板支撐體,其配置以在該含鎢材料之蝕刻期間將該半導體基板固持在適當位置,其中該基板支撐體係配置以至少在該蝕刻的部分期間受到偏壓;(c)系統,其配置以在該製程腔室中形成電漿;及(d)控制器,其包含用於下列操作之指令:(i)將包含Cl2之第一製程氣體導入至該電漿蝕刻製程腔室並形成電漿,以使該含鎢材料與電漿活化之氯反應;(ii)在操作(i)之後,將該第一製程氣體自該電漿蝕刻製程腔室移除;(iii)將包含氧自由基來源之第二製程氣體導入至該電漿蝕刻製程腔室並形成包含氧自由基之電漿,以使該含鎢材料之表面鈍化;及(iv)在操作(iii)之後,將該第二製程氣體自該電漿蝕刻製程腔室移除,其中該方法主要以選定之方向蝕刻該含鎢材料。
在另一態樣中,提供系統,其中該系統包含本說明書中所提供之裝置及剝除機。
在另一態樣中,提供非暫態電腦可讀取媒體,其中該媒體可包含用於該電漿蝕刻設備之程式指令,其中該等程式指令包含用於下列操作之程式碼:(i)將包含Cl2之第一製程氣體導入至該電漿蝕刻製程腔室並形成電漿,以使
該含鎢材料與電漿活化之氯反應;(ii)在操作(i)之後,將該第一製程氣體自該電漿蝕刻製程腔室移除;(iii)將包含氧自由基來源之第二製程氣體導入至該電漿蝕刻製程腔室並形成包含氧自由基之電漿,以使該含鎢材料之表面鈍化;及(iv)在操作(iii)之後,將該第二製程氣體自該電漿蝕刻製程腔室移除,其中該方法主要以選定之方向蝕刻該含鎢材料。
以下將參照相關圖式來詳述本發明之此等與其他特徵及優點。
101:操作
103:操作
105:操作
107:操作
201:鎢層
203:矽氧化物或矽氮化物層
205:凹陷特徵部
207:蝕刻的部分
209:鈍化層
211:未鈍化區域
111:未鈍化區域
204:基板
300:蝕刻反應器
306:氣體分佈板
308:靜電卡盤
310:熱源
314:天線電極
320:排氣泵
324:氣體源
335:控制器
340:電漿區域
348:偏壓射頻源
349:蝕刻製程腔室
350:腔室壁
352:激發射頻源
圖1依據本說明書中所提供之實施例,係為蝕刻方法的製程流程圖。
圖2A-2E依據本說明書中所提供之實施例,呈現經歷蝕刻之基板的橫剖面繪圖。
圖3依據一實施例,係為適於執行本說明書中所提供的蝕刻反應之設備的示意橫剖面繪圖。
圖4依據本說明書中所呈現的一實施例,係為繪示在電漿中之Cl2蝕刻期間射頻(RF,radio frequency)脈衝的時序圖。
本發明之態樣係針對自基板(例如部分製造的積體電路)非等向性地蝕刻含鎢材料。舉例而言,該等方法可用於在動態隨機存取記憶體(DRAM)及3D NAND之生產中蝕刻含鎢硬遮罩,以及用於蝕刻含鎢閘極電極。儘管本發明之該等方法在半導體基板(即,在結構之任何位置含有半導體材料的基板)的處
理方面具有特定用途,然而其亦可利用於其他應用中。所提供之方法達到使用依序施加Cl2型蝕刻劑及氧型鈍化劑以非等向性地移除含鎢材料。
可藉由所提供之方法而移除的含鎢材料通常包含至少約30%的原子態鎢(例如至少約50%、至少約75%、至少約95%的原子態鎢)。範例包含摻雜的鎢金屬、未摻雜的鎢金屬(例如:純度至少為95%的鎢金屬)、理想配比與非理想配比的鎢化合物、以及合金與固溶體。舉例而言,可以氮、碳、磷、及其組合來摻雜鎢。
本說明書所提供之方法能在含矽介電質材料(例如矽氧化物、矽氮化物、及矽氧氮化物)存在的情況下選擇性蝕刻含鎢材料。此等介電質材料可為摻雜的或未摻雜的,且不須為理想配比。如本說明書中所使用之用語「矽氧化物」包含各種類型之矽氧化物、矽酸鹽、及矽酸鹽型玻璃,例如四乙基正矽酸鹽(TEOS,tetraethylorthosilicate)、硼矽酸鹽玻璃(BSG,borosilicate glass)、硼磷矽酸鹽玻璃(BPSG,borophosphosilicate glass)、高密度電漿(HDP,high density plasma)CVD氧化物、及次大氣壓力(SA,subatmospheric)CVD氧化物。該選擇性可為至少約2:1(指涉單一期望方向上的蝕刻速率比),而在若干實施例中為至少約3:1。
在若干實施例中,該等方法的特徵為蝕刻之高度非等向性。因此,可在期望之方向(例如,自凹陷特徵部之底部)上優先移除鎢,同時實質上未改變凹陷特徵部之寬度(亦即:可保持未改變、或增加不超過50%,例如不超過10%)。在若干實施例中,含鎢材料在偏好方向上之蝕刻速率(例如:自凹陷特徵部之底部移除的速率)對在非偏好方向上之蝕刻速率(例如:自凹陷特徵部之側壁移除的速率)的比例為至少約20比1,較佳為50比1,且更佳為100比1。
該等方法可用於移除任何含鎢材料量,且對於自相當窄的凹陷特徵部(例如:具有小於約150nm之寬度的特徵部,如約20nm-150nm間、或約20
nm-50nm間)底部移除相當大量的含鎢材料(例如約3,000Å-7,000Å間)尤其有效。所提供之方法可展現相對於使用氟型化學物來執行之習知鎢蝕刻的明顯優勢。該等優勢可包含在暴露矽氧化物存在之情況下的鎢蝕刻之高選擇性、及極佳的非等向性,其容許在蝕刻期間維持凹陷特徵部的寬度。所提供之方法亦可提供相較於依賴同時將Cl2及O2導入電漿中之方法明顯更高的蝕刻速率。舉例而言,所提供之方法中的蝕刻速率通常為至少約20nm/min,例如至少約40nm/min,如:約40nm/min-100nm/min間。所呈現之方法的另一優勢為高縱橫比之凹陷特徵部中之蝕刻效力。因此,舉例而言,可自具有至少約5:1(例如至少約8:1)之縱橫比的凹陷特徵部(如:具有約10:1之縱橫比的特徵部)之底部部分移除含鎢材料。
現將使用鎢蝕刻作為範例來繪示該等方法。應瞭解,可使用此等方法來類似地蝕刻上述任何含鎢材料。
圖1中提供鎢蝕刻方法之製程流程圖的範例。圖2A-2E中顯示繪示蝕刻之各種階段的基板橫剖面繪圖。
該製程於101以將含有鎢層的基板放置於製程腔室中而開始。一般而言,可使用含有暴露鎢層之各種各樣的基板。基板亦可含有另外的材料之暴露層,例如矽氧化物、矽氮化物、矽氧氮化物、或其組合。在若干實施例中,基板含有凹陷特徵部,且鎢層係配置於該凹陷特徵部的底部。此類基板繪示於圖2A中。基板含有鎢層201、配置於鎢層201之上的矽氧化物或矽氮化物層203、及在矽氧化物或矽氮化物層203中產生的凹陷特徵部205,而使鎢在該凹陷特徵部的底部暴露。可在容許電漿產生的任何製程腔室中蝕刻鎢,其中施加偏壓至基板固持器以引導電漿中所產生的離子垂直地朝向基板。各種各樣的乾式蝕刻腔室適用於此類蝕刻。合適之設備的範例為可自Lam Research Corporation取得的
Kiyo FX設備。具有電子迴旋加速器共振(ECR,electron cyclotron resonance)來源的設備亦可用於該蝕刻。
在將基板放置於製程腔室中後,使包含Cl2之製程氣體流至製程腔室中並形成電漿,同時施加偏壓至基板,以容許利用氯離子方向性地蝕刻鎢,如操作103中所示。此步驟被稱為蝕刻步驟。在若干實施例中,製程氣體實質上由Cl2所組成。在若干實施例中,製程氣體更可包含一或更多惰性氣體,例如氮、氫、氦、氬、及氖。製程氣體較佳不含任何實質量的含氟氣體。舉例而言,含Cl2之製程氣體可完全不含有含氟氣體或可含有不超過1%(體積百分比)的此類氣體。在若干實施例中,含Cl2之製程氣體不含有實質量的含氧氣體。舉例而言,含Cl2之製程氣體可完全不含有含氧氣體或可含有不超過1%(體積百分比)的此類氣體。利用Cl2電漿之非等向性蝕刻的重要特徵為施加至基板固持器之相當高的偏壓,其確保方向性蝕刻,其中蝕刻之方向係由Cl+離子轟擊的方向所決定。Cl+離子被吸引而朝向負偏壓基板,且具有足夠的能量來與鎢反應並自基板表面移除該反應中形成的WClx。由於Cl+處理之方向性所致,自基板上之水平表面(如凹陷特徵部的底部)移除鎢的速率大於自垂直表面(如凹陷特徵部的側壁)移除鎢的速率。施加至基板卡盤的偏壓應為至少約500V。舉例而言,在若干實施例中,施加至少約750V的偏壓為較佳,例如至少約1000V。在若干實施例中,施加約1000V-1700V間的偏壓。在若干實施例中,以脈衝方式來施加偏壓為較佳,其中以以上所列之電壓位準來提供各脈衝,而脈衝間的基線位準可為零伏特或相當低的電位(如:小於約200V)。在若干實施例中,脈衝之工作循環係介於約5%-50%之間。在一範例中,工作循環為10%,而頻率為100Hz。偏壓通常為RF偏壓,其在基板感應產生負直流(DC)偏壓。圖2B繪示在電漿中之Cl2蝕刻期間所得到的基板。被引導而垂直朝向基板的Cl+離子蝕刻在凹陷特徵部205之底部的鎢
層201。由於蝕刻在垂直方向上非等向性地繼續進行,因此蝕刻之部分207顯示該凹陷特徵部實質上未擴寬。
在Cl2處理完成後,將過量的Cl2及反應產物自製程腔室移除。舉例而言,可以吹淨氣體(如氮、氫、氦、氬、氖、或其組合)來吹淨製程腔室及/或將製程腔室抽空。
接著,在操作105中,使基板與氧自由基接觸。此步驟的目的在於使鎢表面相對於利用較低能量的Cl+離子之後續蝕刻作用而鈍化。此步驟被稱為鈍化步驟。此步驟包含將第二製程氣體導入製程腔室中並形成電漿,其中該第二製程氣體包含氧自由基之來源,例如O2、O3、CO、CO2、COS、SO2、及其混合物。舉例而言,在若干實施例中,該第二製程氣體包含O2或實質上以O2所組成。在若干實施例中,在氧自由基之來源以外,可使惰性氣體(例如He、Ar、Ne或此等氣體之組合)包含於該第二製程氣體中。在若干實施例中,將例如CH3F之氫氟碳化合物加入該第二製程氣體。較佳地,氫氟碳化合物流對氧自由基來源流的流率比係小於約1比10。電漿在製程腔室中形成,如此一來該電漿並非為高方向性的,而此步驟中的基板固持器RF偏壓係保持在相當低的狀態或不存在。在若干實施例中,基板固持器RF偏壓係小於約200V,例如小於約150V。在若干實施例中,使用約100V的偏壓。在若干實施例中,含有氧自由基的電漿在處理基板之製程腔室中直接形成。在其他實施例中,可在與該製程腔室連接的遠端電漿腔室中形成氧自由基,且該氧自由基係經由導管而自該遠端電漿腔室供應至該製程腔室。
圖2C顯示經歷氧鈍化步驟的基板。含有WOx及WClOx物種的鈍化層209在所有存在的鎢表面(在此情況下包含凹陷特徵部之側壁以及凹陷特徵部之底部的含鎢部分)上形成。
接著,吹淨及/或抽空製程腔室以移除氧自由基之來源,並且在操作107中判定是否需要進一步的蝕刻。在許多實施例中,應使Cl2蝕刻及氧鈍化步驟重複多次,例如至少3次。往往執行約10個-30個間的循環。在不需要更多蝕刻的情況下,該製程完成。
圖2D繪示經歷利用電漿中Cl2的蝕刻之第二循環的基板。在此步驟中,鈍化層209被保持在凹陷特徵部的側壁上,但其藉由方向性蝕刻而自凹陷特徵部的底部所移除。自凹陷特徵部的底部移除額外的鎢量,而使未鈍化區域211敞開。接著,在吹淨或抽空製程腔室後,藉由利用氧自由基之處理將此區域鈍化,並提供如圖2E中所示之結構,其中鈍化層209再次覆蓋所有存在的暴露鎢表面。
該蝕刻製程可在實質上未改變凹陷特徵部之寬度的情況下移除相當大量的鎢。在某些情況下,移除具有約1000Å-7000Å間(例如約300Å-7000Å間)之厚度的層。通常各蝕刻循環(一利用Cl2電漿之蝕刻操作與一利用氧自由基之鈍化)移除約5nm-50nm間之鎢層厚度,例如約10nm-50nm間。
每一循環所移除的鎢量係依維持凹陷特徵部之寬度的需求來管控。因此,若執行蝕刻步驟達一段較長的時間,則蝕刻掉更厚的鎢層,凹陷特徵部之寬度可能會因某些橫向的蝕刻而增加。頻繁的鈍化步驟確保橫向蝕刻受到抑制且實質上維持凹陷特徵部之寬度。
Cl2電漿處理及利用氧自由基之鈍化的順序可如圖1中所示,或可為相反順序。舉例而言,在若干實施例中,該製程以鈍化步驟中利用氧自由基處理基板而開始,而後續為蝕刻步驟中利用電漿中Cl2的處理,其中在各處理後吹淨及/或抽空製程腔室以移除過量的反應物及反應產物。接著,鈍化步驟及蝕刻步驟可依需求重複多次,以蝕刻所期望之材料量。
所敘述之乾式蝕刻方法的製程條件可改變。在若干實施例中,該製程在約0.5mTorr-400mTorr間之壓力下及約30℃-100℃間(例如約30℃-70℃間)之溫度(其中該溫度量測於基板固持器)下進行。在若干實施例中,使用例如以約13.56MHz之頻率操作的感應耦合電漿(ICP,inductively coupled plasma)激發源來產生電漿。
設備
本說明書中所提供之製程可在適用於電漿產生且具有用於將偏壓提供至基板固持器之系統的任何設備中實施。此等設備可包含具有用於產生感應耦合電漿(ICP,inductively coupled plasma)、電容耦合電漿(CCP,capacitively coupled plasma)之來源及ECR來源的設備。
合適的設備通常包含製程腔室,其具有用於導入製程氣體之入口;在該製程腔室中的基板支撐體,其配置以在含鎢材料之蝕刻期間將半導體基板固持在適當位置,其中該基板支撐體係配置以至少在部分蝕刻期間受到偏壓;配置以在該製程腔室中形成電漿的系統;及控制器,其包含用於執行本說明書中所描述之方法其中任一者的程式指令。
圖3為可用於實施本發明之蝕刻反應器的一範例之示意圖。在本發明之一或更多實施例中,蝕刻反應器300包含位於蝕刻製程腔室349內、且被腔室壁350所包圍的以下元件:天線電極314、提供氣體入口之氣體分佈板306、及靜電卡盤(ESC,electrostatic chuck)308。在蝕刻製程腔室349內,基板204係位於靜電卡盤308之上。靜電卡盤308由偏壓RF源348提供偏壓作為靜電卡盤以固持基板204,或可使用另一夾持力以固持基板204。設置例如加熱燈之熱源310以加熱基板。氣體源324係透過氣體分佈板306而連接至蝕刻製程腔室349。
偏壓RF源348及激發RF源352係透過控制器335而電氣連接至蝕刻製程腔室349以將功率提供至天線電極314及ESC 308。偏壓RF源348產生偏壓RF功率並將偏壓RF功率供應至蝕刻製程腔室349。在此範例中,偏壓RF功率具有2MHz的頻率。激發RF源352產生源RF功率並將源RF功率供應至蝕刻製程腔室349。在此範例中,此源RF功率具有13.56MHz的頻率。
可將不同的RF訊號供應至頂部及底部電極的各種組合。較佳地,應透過其上放置待蝕刻之材料的ESC 308而施加RF的最低頻率。在此範例中,激發RF源352將功率提供至天線電極314。
控制器335亦連接至氣體源324。控制器335控制流入蝕刻製程腔室349之蝕刻氣體的流量、腔室壓力、以及來自RF源348及352之RF功率的產生、ESC 308、天線電極314、及排氣泵320。氣體分佈板306係連接至氣體源324,並作為來自氣體源324之氣體的氣體入口。排氣泵320作為移除氣體之氣體出口,該氣體自氣體分佈板306通過電漿區域340而傳至排氣泵320。排氣泵320可幫助控制壓力。
在若干實施例中,控制器係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF,radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操
作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs,digital signal processors)、定義為特殊應用積體電路(ASICs,application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行
之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD,chemical vapor deposition)腔室或模組、原子層沉積(ALD,atomic layer deposition)腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
本說明書中上文所敘述之該裝置/製程可與例如用以製造或生產半導體元件、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用,一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含部分或所有下列步驟(每一個步驟係以若干合適的工具來達成):(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進
機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,藉以將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。
範例
在一實驗證實的範例中,設置包含一陣列或矩陣之凹陷特徵部的基板。凹陷特徵部具有10:1的縱橫比與27nm的寬度。凹陷特徵部係以矽氧化物材料來製造,且在凹陷特徵部之底部具有暴露鎢層。鎢係於可自Lam Research Corporation取得的Kiyo FX設備中被蝕刻。將基板放置至製程腔室中,並以100sccm的流率將Cl2提供至製程腔室。藉由將300W的功率提供至13.56MHz的ICP激發源並藉由將1500V的RF偏壓提供至基板固持卡盤來形成電漿。以10%之工作循環的脈衝方式來施加偏壓。偏壓脈衝之時序圖係示意性地繪示於圖4中。在5mTorr的壓力與70℃的溫度(指涉在基板固持器的溫度)下利用電漿中之Cl2來處理基板達12秒。接著,吹淨製程腔室,並使O2(以200sccm的流率提供)與CH3F(以10sccm的流率提供)的混合物流入製程腔室中。在無脈衝的情況下,藉由將2500W的功率提供至13.56MHz的ICP激發源,同時將100V的偏壓施加至基板固持器來形成電漿。在70℃的溫度下進行利用氧自由基之處理達3秒。之後,吹淨製程腔室,且重複30次蝕刻與鈍化步驟。自凹陷特徵部之底部移除的總鎢厚度為250nm,其中每一蝕刻循環移除約8nm。在蝕刻之尾端,凹陷特徵部的寬度為28nm。
應瞭解,本說明書中所描述之範例及實施例僅為了說明性之目的,且熟習該領域技術者可據其而聯想到各種修改或變化。儘管為了清楚起見而省略了各種的細節,但仍可實行各種設計替代方案。因此,該等實施例應被
認為係說明性而非限制性的,且本發明不受限於本說明中所提供的細節,而是可在隨附的申請專利範圍之範疇內對其進行修改。
101‧‧‧操作
103‧‧‧操作
105‧‧‧操作
107‧‧‧操作
Claims (18)
- 一種在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,該方法包含以下操作:(a)將包括含鎢材料之半導體基板設置至電漿蝕刻製程腔室;(b)將包含Cl2之第一製程氣體導入至該電漿蝕刻製程腔室並形成電漿,以使該含鎢材料與電漿活化之氯反應,蝕刻該含鎢材料並將該含鎢材料的一新表面暴露;(c)在操作(b)之後,將該第一製程氣體自該電漿蝕刻製程腔室移除;(d)將包含氧自由基來源之第二製程氣體導入至該電漿蝕刻製程腔室並形成包含氧自由基之電漿,以使該含鎢材料之暴露的該新表面與電漿起反應而藉此形成一鈍化層,其中該鈍化層包括含鎢及氧的一化合物;及(e)在操作(d)之後,將該第二製程氣體自該電漿蝕刻製程腔室移除,其中該方法主要以選定之方向蝕刻該含鎢材料。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中操作(b)中形成電漿之步驟包含將至少約500V的偏壓提供至固持基板的支撐體。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該第一製程氣體實質上由Cl2所組成。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該第一製程氣體包含Cl2及惰性氣體,該惰性氣體係選自由N2、He、Ar、H2、及其組合所構成之群組。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中操作(b)包含使該電漿產生脈衝。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中操作(b)包含使該電漿以5%-50%間之工作循環產生脈衝。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該第二製程氣體包含選自由O2、O3、CO、CO2、COS、SO2、及其混合物所構成之群組的氧自由基來源。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該氧自由基來源為O2。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中重複操作(b)-(e)。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中重複操作(b)-(e)至少3次。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該半導體基板更包含選自由矽氧化物、矽氮化物、及矽氧氮化物所構成之群組的介電質材料之暴露層。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該半導體基板更包含選自由矽氧化物、矽氮化物、及矽氧氮化物所構成之群組的介電質材料之暴露層,而其中以至少約2:1的蝕刻選擇性來蝕刻該含鎢材料。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該半導體基板包含凹陷特徵部,該凹陷特徵部包含在該凹陷特徵部底部的含鎢材料之暴露層,而其中該蝕刻在實質上未改變該凹陷特徵部之寬度或直徑的情況下將該含鎢材料自該凹陷特徵部之底部移除。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該方法包含蝕刻一層具有約1000Å-7000Å間之厚度的含鎢材料。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中該半導體基板包含凹陷特徵部,該凹陷特徵部包含在該凹陷特徵部底部的含鎢材料之暴露層,其中該凹陷特徵部之寬度或直徑係小於約150nm。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,其中單一系列的操作(b)-(e)移除約10nm-50nm間的該含鎢材料。
- 如申請專利範圍第1項之在電漿蝕刻設備中非等向性地蝕刻半導體基板上之含鎢材料的方法,更包含以下操作:將光阻塗佈於該半導體基板;使該光阻暴露於光;使該光阻圖案化且使圖案轉移至該半導體基板;及自該半導體基板選擇性地移除該光阻。
- 一種用於非等向性地蝕刻半導體基板上之含鎢材料的電漿蝕刻設備,該設備包含:(a)製程腔室,其具有用於導入製程氣體的入口;(b)該製程腔室中的基板支撐體,其配置以在該含鎢材料之蝕刻期間將該半導體基板固持在適當位置,其中該基板支撐體係配置以至少在該蝕刻的部分期間受到偏壓;(c)系統,其配置以在該製程腔室中形成電漿;及 (d)控制器,其包含指令用於導致主要以選定之方向蝕刻該含鎢材料,該指令包含程式指令導致下列操作:(i)將包含Cl2之第一製程氣體導入至該製程腔室並形成電漿,以使該含鎢材料與電漿活化之氯反應,蝕刻該含鎢材料並將該含鎢材料的一新表面暴露;(ii)在操作(i)之後,將該第一製程氣體自該製程腔室移除;(iii)將包含氧自由基來源之第二製程氣體導入至該製程腔室並形成包含氧自由基之電漿,以使該含鎢材料之暴露的該新表面與電漿起反應而藉此形成一鈍化層,其中該鈍化層包括含鎢及氧的一化合物;及(iv)在操作(iii)之後,將該第二製程氣體自該製程腔室移除。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/589,424 | 2015-01-05 | ||
US14/589,424 US9633867B2 (en) | 2015-01-05 | 2015-01-05 | Method and apparatus for anisotropic tungsten etching |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201715610A TW201715610A (zh) | 2017-05-01 |
TWI699831B true TWI699831B (zh) | 2020-07-21 |
Family
ID=56286876
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105100006A TWI699831B (zh) | 2015-01-05 | 2016-01-04 | 非等向性鎢蝕刻用方法及設備 |
Country Status (5)
Country | Link |
---|---|
US (2) | US9633867B2 (zh) |
JP (1) | JP2016139792A (zh) |
KR (1) | KR102627546B1 (zh) |
CN (1) | CN105762073B (zh) |
TW (1) | TWI699831B (zh) |
Families Citing this family (85)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9633867B2 (en) | 2015-01-05 | 2017-04-25 | Lam Research Corporation | Method and apparatus for anisotropic tungsten etching |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10229837B2 (en) * | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
CN106206290A (zh) * | 2016-08-24 | 2016-12-07 | 京东方科技集团股份有限公司 | 一种包含铝的膜层图案、其制作方法及其后处理方法 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102600597B1 (ko) * | 2016-11-18 | 2023-11-10 | 삼성디스플레이 주식회사 | 주사 구동부 및 그의 구동방법 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
SG10201801132VA (en) * | 2017-02-13 | 2018-09-27 | Lam Res Corp | Method to create air gaps |
US10431462B2 (en) * | 2017-02-15 | 2019-10-01 | Lam Research Corporation | Plasma assisted doping on germanium |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP6823527B2 (ja) * | 2017-04-14 | 2021-02-03 | 東京エレクトロン株式会社 | エッチング方法 |
TWI766014B (zh) * | 2017-05-11 | 2022-06-01 | 荷蘭商Asm智慧財產控股公司 | 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法 |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
WO2019027738A1 (en) * | 2017-08-04 | 2019-02-07 | Micromaterials Llc | ENHANCED METAL CONTACT LANDING STRUCTURE |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10211052B1 (en) * | 2017-09-22 | 2019-02-19 | Lam Research Corporation | Systems and methods for fabrication of a redistribution layer to avoid etching of the layer |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) * | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210136143A (ko) * | 2019-04-05 | 2021-11-16 | 도쿄엘렉트론가부시키가이샤 | 고도로 선택적인 실리콘 산화물/실리콘 질화물 에칭을 위한 에칭 가스 성분과 패시베이션 가스 성분의 독립적 제어 |
US11217454B2 (en) | 2019-04-22 | 2022-01-04 | Hitachi High-Tech Corporation | Plasma processing method and etching apparatus |
CN116034456A (zh) | 2020-09-03 | 2023-04-28 | 应用材料公司 | 选择性各向异性金属蚀刻 |
KR102652013B1 (ko) * | 2020-12-18 | 2024-04-01 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4786360A (en) * | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US20070023394A1 (en) * | 2005-07-27 | 2007-02-01 | Sumitomo Precision Products Co., Ltd. | Etching Method and Etching Apparatus |
US20140120727A1 (en) * | 2012-10-29 | 2014-05-01 | Lam Research Corporation | Method of tungsten etching |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6432627A (en) | 1987-07-29 | 1989-02-02 | Hitachi Ltd | Low-temperature dry etching method |
JPH03248530A (ja) * | 1990-02-27 | 1991-11-06 | Oki Electric Ind Co Ltd | ドライエッチング方法 |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
JPH07130852A (ja) | 1993-11-02 | 1995-05-19 | Sony Corp | 金属配線材料の形成方法 |
US6177353B1 (en) * | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
US6017826A (en) | 1998-10-05 | 2000-01-25 | Chartered Semiconductor Manufacturing, Ltd. | Chlorine containing plasma etch method with enhanced sidewall passivation and attenuated microloading effect |
JP2001085394A (ja) * | 1999-09-10 | 2001-03-30 | Hitachi Ltd | 表面処理方法および表面処理装置 |
US6461974B1 (en) | 2000-10-06 | 2002-10-08 | Lam Research Corporation | High temperature tungsten etching process |
US6746961B2 (en) | 2001-06-19 | 2004-06-08 | Lam Research Corporation | Plasma etching of dielectric layer with etch profile control |
US20030092280A1 (en) | 2001-11-09 | 2003-05-15 | Applied Materials, Inc. | Method for etching tungsten using NF3 and Cl2 |
US20030235995A1 (en) | 2002-06-21 | 2003-12-25 | Oluseyi Hakeem M. | Method of increasing selectivity to mask when etching tungsten or tungsten nitride |
US7371688B2 (en) * | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
JP4701691B2 (ja) * | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | エッチング方法 |
US20070202700A1 (en) * | 2006-02-27 | 2007-08-30 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
KR101564473B1 (ko) * | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
US8527766B2 (en) | 2009-12-30 | 2013-09-03 | Microsoft Corporation | Reducing leakage of information from cryptographic systems |
US8574447B2 (en) | 2010-03-31 | 2013-11-05 | Lam Research Corporation | Inorganic rapid alternating process for silicon etch |
CN102372250B (zh) * | 2011-11-15 | 2015-02-18 | 苏州含光微纳科技有限公司 | 一种刻蚀金属钨材料的方法 |
KR102068677B1 (ko) * | 2013-04-10 | 2020-01-22 | 삼성전자 주식회사 | 반도체 소자 제조 방법 |
JP6192097B2 (ja) * | 2013-05-31 | 2017-09-06 | 国立研究開発法人物質・材料研究機構 | フォトカソード型電子線源、その作成方法及びフォトカソード型電子線源システム |
US9633867B2 (en) | 2015-01-05 | 2017-04-25 | Lam Research Corporation | Method and apparatus for anisotropic tungsten etching |
-
2015
- 2015-01-05 US US14/589,424 patent/US9633867B2/en active Active
- 2015-12-28 JP JP2015255778A patent/JP2016139792A/ja active Pending
-
2016
- 2016-01-04 TW TW105100006A patent/TWI699831B/zh active
- 2016-01-04 KR KR1020160000447A patent/KR102627546B1/ko active IP Right Grant
- 2016-01-05 CN CN201610004225.3A patent/CN105762073B/zh active Active
-
2017
- 2017-03-20 US US15/463,869 patent/US10354888B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4786360A (en) * | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US20070023394A1 (en) * | 2005-07-27 | 2007-02-01 | Sumitomo Precision Products Co., Ltd. | Etching Method and Etching Apparatus |
US20140120727A1 (en) * | 2012-10-29 | 2014-05-01 | Lam Research Corporation | Method of tungsten etching |
Also Published As
Publication number | Publication date |
---|---|
JP2016139792A (ja) | 2016-08-04 |
US10354888B2 (en) | 2019-07-16 |
CN105762073A (zh) | 2016-07-13 |
KR20160084314A (ko) | 2016-07-13 |
US20170194166A1 (en) | 2017-07-06 |
US20160196985A1 (en) | 2016-07-07 |
US9633867B2 (en) | 2017-04-25 |
KR102627546B1 (ko) | 2024-01-19 |
TW201715610A (zh) | 2017-05-01 |
CN105762073B (zh) | 2019-05-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI699831B (zh) | 非等向性鎢蝕刻用方法及設備 | |
CN109427576B (zh) | 蚀刻方法 | |
US11011388B2 (en) | Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching | |
JP6646978B2 (ja) | 高アスペクト比構造におけるコンタクト洗浄 | |
KR102675485B1 (ko) | 수평 표면들 상에 SiN의 선택적인 증착 | |
KR102704250B1 (ko) | 초고 선택성 나이트라이드 에칭을 위한 시스템들 및 방법들 | |
CN105762060B (zh) | 氧化硅和氧化锗的各向同性原子层蚀刻 | |
EP3038142A1 (en) | Selective nitride etch | |
JP2022092006A (ja) | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング | |
JP2016157940A (ja) | 窒化シリコンのエッチング時における超高選択比を達成するための方法 | |
TWI766866B (zh) | 蝕刻方法 | |
US20230035732A1 (en) | Efficient cleaning and etching of high aspect ratio structures | |
KR20230057305A (ko) | 유전체에 대한 선택도를 갖는 반도체, 금속 또는 금속 옥사이드의 원자 층 에칭 | |
CN114496769A (zh) | 蚀刻方法 | |
WO2020028119A1 (en) | Non-selective and selective etching through alternating layers of materials | |
CN118613900A (zh) | 用于等离子体蚀刻的侧壁钝化 |