JP2022092006A - 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング - Google Patents

単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング Download PDF

Info

Publication number
JP2022092006A
JP2022092006A JP2022064928A JP2022064928A JP2022092006A JP 2022092006 A JP2022092006 A JP 2022092006A JP 2022064928 A JP2022064928 A JP 2022064928A JP 2022064928 A JP2022064928 A JP 2022064928A JP 2022092006 A JP2022092006 A JP 2022092006A
Authority
JP
Japan
Prior art keywords
feature
layer
etching
features
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022064928A
Other languages
English (en)
Other versions
JP7246547B2 (ja
Inventor
チョウ・シアン
Chen Xu
キムラ・ヨシエ
Yoshie Kimura
チャン・ダミン
Duming Zhang
スー・チェン
Xiang Zhou
ウパダヤヤ・ガネシュ
Upadhyaya Ganesh
ブルックス・ミッチェル
Brooks Mitchell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022092006A publication Critical patent/JP2022092006A/ja
Application granted granted Critical
Publication of JP7246547B2 publication Critical patent/JP7246547B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】一体化した原子層堆積法(ALD)方法及びエッチング方法を使用する、基板フィーチャの限界寸法(CD)制御のための方法及び装置を提供する。【解決手段】方法は、フィーチャのマスクパターン604a、604bによって次に形成される構造体の所望の幅よりも小さい幅を有するフィーチャのマスクパターンを基板602上に形成するためにエッチングすることと、ALDによって、フィーチャのマスクパターンの幅を所望の幅まで増大させるように不活性化層606を共形に堆積することと、所望の幅を有する複数の構造体を形成するため、基板の層を所望の深さまでエッチングすることと、を含む。【選択図】図6C

Description

[関連出願の相互参照]
本出願は、米国特許出願第15/820,108号、2017年11月21日出願、名称「ATOMIC LAYER DEPOSITION AND ETCH IN A SINGLE PLASMA CHAMBER FOR CRITICAL DIMENSION CONTROL」に対する優先権の利益を主張するものであり、その全体が全ての目的で参照により本明細書に組み込まれる。
本開示は、一般に、半導体デバイスの作製における一体化した堆積方法及びエッチング方法に関し、より詳細には、集積回路の作製において限界寸法を制御する際の一体化した原子層堆積(ALD)方法及びエッチング方法に関する。
半導体産業においてデバイス及びフィーチャのサイズが縮小し続けるにつれて、小さな限界寸法のフィーチャのパターニングは、高度な集積回路(IC)の作製において重要性を増し続けている。現在のフォトリソグラフィ方法は、小さな限界寸法のフィーチャをパターニングする能力が制限されることがある。
本開示は、限界寸法(CD)を制御する方法に関する。方法は、プラズマチャンバにおいて、フィーチャのマスクパターンを形成するため、基板の第1の層をエッチングすることを含み、フィーチャのマスクパターンは、フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する。方法は、プラズマチャンバにおいて、原子層堆積(ALD)によってフィーチャのマスクパターン上に第1の不活性化層を堆積することを更に含み、第1の不活性化層は、フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される。方法は、プラズマチャンバにおいて、所望の幅を有する複数の構造体を形成するため、基板の第2の層をエッチングすることを更に含む。
いくつかの実装形態では、フィーチャのマスクパターンは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、孤立フィーチャ領域よりも大きなフィーチャ密度を有する高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとを含む。孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとの間のCDゲインは、第1の不活性化層を堆積した後、同じであるか又は実質的に同様であってよい。孤立フィーチャ領域と高密度フィーチャ領域との間の深さの変化は、基板の第2の層をエッチングした後、同じであるか又は実質的に同様であってよい。いくつかの実装形態では、方法は、プラズマチャンバにおいて、第2の層に対するALDによる堆積及びエッチングの動作を繰り返すことを更に含み、孤立フィーチャ領域内の孤立フィーチャと高密度フィーチャ領域内の高密度フィーチャとの間のCDゲインは、第2の層をALDによって堆積し、エッチングする動作を繰り返した後、同じであるか又は実質的に同様である。いくつかの実装形態では、フィーチャのマスクパターンは、第1の材料を有する1つ又は複数の第1のフィーチャ、及び第1の材料とは異なる第2の材料を有する1つ又は複数の第2のフィーチャを含み、1つ又は複数の第1のフィーチャと1つ又は複数の第2のフィーチャとの間のCDゲインは、第1の不活性化層を堆積した後、同じであるか又は実質的に同様である。いくつかの実装形態では、プラズマチャンバにおいて第2の層をALDによって堆積し、エッチングする動作は、動作の間に真空破壊を導入せずに実施される。いくつかの実装形態では、第1の不活性化層の厚さは、約0.5nmから約3nmの間である。いくつかの実装形態では、方法は、プラズマチャンバにおいて、基板の第2の層をエッチングした後、ALDによって複数の構造体上に第2の不活性化層を堆積することを更に含み、第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される。いくつかの実装形態では、第1の不活性化層は、シリコン酸化物(SiOx)を含む。いくつかの実装形態では、ALDによる第1の不活性化層の堆積は、プラズマチャンバに、フィーチャのマスクパターン上に吸着する前駆体を導入することと、ある吸着制限量の第1の不活性化層を形成するため、プラズマにより前駆体を変換することと、当該厚さの第1の不活性化層がフィーチャのマスクパターン上に堆積されるまで、前駆体を導入し、前駆体を変換する動作を繰り返すこととを含む。
これら及び他の態様は、図面を参照しながら以下で更に説明する。
図1は、いくつかの実装形態による、エッチング動作及びALD動作を実施する例示的処理装置の概略図である。
図2Aは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Bは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Cは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Dは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Eは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Fは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Gは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Hは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。 図2Iは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。
図3Aは、従来のエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローである。
図3Bは、一体化したエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローである。
図4は、いくつかの実装形態による、エッチング方法及びALD方法を使用する限界寸法(CD)回復のための例示的方法の流れ図である。
図5Aは、プラズマチャンバにおいて従来の堆積方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。 図5Bは、プラズマチャンバにおいて従来の堆積方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。 図5Cは、プラズマチャンバにおいて従来の堆積方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。
図6Aは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。 図6Bは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。 図6Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。
図7Aは、プラズマチャンバにおいて、従来の堆積方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。 図7Bは、プラズマチャンバにおいて、従来の堆積方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。 図7Cは、プラズマチャンバにおいて、従来の堆積方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。
図8Aは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。 図8Bは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。 図8Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。
図9Aは、個別のツールで堆積及びエッチングを受ける、先細基板フィーチャの概略図である。 図9Bは、個別のツールで堆積及びエッチングを受ける、先細基板フィーチャの概略図である。 図9Cは、個別のツールで堆積及びエッチングを受ける、先細基板フィーチャの概略図である。
図10Aは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、先細基板フィーチャの概略図である。 図10Bは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、先細基板フィーチャの概略図である。 図10Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、先細基板フィーチャの概略図である。 図10Dは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、先細基板フィーチャの概略図である。
序論
以下の説明では、多数の特定の詳細を示し、本実施形態に対する完全な理解を提供する。開示する実施形態は、これら特定の詳細の一部又は全てを伴わずに実行してよい。他の例では、周知の工程動作は、開示する実施形態を不必要に曖昧にしないように、詳細に説明していない。開示する実施形態は、特定の実施形態と共に説明するが、開示する実施形態を限定する意図ではないことは理解されよう。
本開示において、用語「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」及び「一部作製集積回路」は、互換的に使用される。用語「一部作製集積回路」は、集積回路をシリコン・ウエハ上に作製する多くの段階のいずれかの間のシリコン・ウエハを指し得ることは当業者であれば理解するであろう。半導体デバイス産業において使用されるウエハ又は基板は、典型的には、200mm又は300mm又は450mmの直径を有する。以下の詳細な説明は、本開示をウエハ上に実装することを仮定する。しかし、本開示は、そのように限定されない。加工物は、様々な形状、サイズ及び材料のものであってよい。半導体ウエハに加えて、本開示を利用し得る他の加工物は、プリント回路板等の様々な物品を含む。
一体化したエッチング/ALD処理装置
フィーチャのサイズが縮小し、ピッチがより小さくなり、相補型金属酸化物半導体(CMOS)技術のスケールがより小さなノードになるにつれて、薄型共形堆積技法は、重要性を増し続けている。原子層堆積法(ALD)は、膜形成技法であり、ALDが単一薄型材料層を堆積するため、薄型共形膜の堆積にかなり適している。薄型共形膜の厚さは、膜形成化学反応自体の前に、基板表面上に吸着し得る1つ又は複数の前駆体反応物の量によって制限される(即ち、吸着制限層)。ALDによって形成される各層は、薄く、共形であり、得られる膜は、下にあるデバイス構造体及びフィーチャの形状に実質的に適合する。
従来、ALD方法及びエッチング方法は、個別のツール又はプラットフォーム上で実施される。例えば、ALDチャンバではエッチング工程を行わず、エッチングチャンバではALD工程を行わない。堆積工程を行うプラズマ・エッチングチャンバは、プラズマ誘起堆積方法を使用して膜を形成するものであり、これらの膜は、共形ではなく、縦横比によって左右される。
図1は、いくつかの実装形態による、エッチング動作及びALD動作を実施する例示的処理装置の概略図である。処理装置100は、誘導結合プラズマ処理装置であってよい。処理装置100は、プラズマ・エッチングチャンバ等のプラズマチャンバ132を含む。いくつかの実装形態では、Lam Research Corporation、カリフォルニア州フリーモント製Kiyo(商標)反応器は、プラズマ・エッチングチャンバとして使用してよい適切な反応器の一例である。
エッチング動作及びALD動作を実施する処理装置100に関する詳細は、米国特許出願第15/669,871号、2017年8月4日出願、Zhou等、名称「INTEGRATED ATOMIC LAYER PASSIVATION IN TCP ETCH CHAMBER AND IN-SITU ETCH-ALP METHOD」に記載されており、その全体が、全ての目的で参照により組み込まれる。
プラズマチャンバ132は、全体的なチャンバ構造体を含んでよく、チャンバ構造体は、チャンバ壁114及び窓106によって画定してよい。窓106は、石英又は他の誘電材料から作製してよい。いくつかの実装形態では、プラズマチャンバ132は、プラズマチャンバ132の内側に配設した基板支持体116を含む。いくつかの実装形態では、基板支持体116は、基板112を支持する静電チャックであり、静電チャック上で堆積/エッチング工程を実施する。静電チャックは、基板112を固定、解除する静電電極を含んでよい。フィルタ及びDCクランプ電源(図示せず)をこの目的で提供してよい。基板支持体116から基板112を持ち上げる他の制御システムを提供してもよい。基板支持体116は、基板112を受け入れ、保持するように構成される。
いくつかの実装形態では、基板支持体116は、基板112を加熱する加熱器を含んでよい(図示せず)。基板支持体116は、約-20℃から約150℃の間等、昇温で動作させてよい。温度は、工程動作及び特定のレシピに応じて決まる。いくつかの実装形態では、プラズマチャンバ132は、約1mトルから約1トルの間の圧力等、特定の圧力で動作してもよい。
いくつかの実装形態では、処理装置100は、高周波(RF)電源120を含んでよく、高周波(RF)電源120は、基板支持体116にバイアスをかける/基板支持体116を充電するために使用してよい。RF電源120は、1つ又は複数のRF生成器によって定義することができる。複数のRF生成器を提供する場合、異なる周波数を使用し、様々な同調特性を達成してよい。バイアス整合回路118は、RF電源120と基板支持体116との間に結合される。このようにして、RF電源120は、基板支持体116に接続される。
コイル134は、窓106にわたって配置される。コイル134は、導電材料から作製し、少なくとも1回の完全な巻きを含んでよい。図1に示すコイル134は、少なくとも3回の巻きを含む。RF電源121は、RF電力をコイル134に供給するように構成される。整合回路102は、RF電源121とコイル134との間に結合される。このようにして、RF電源121は、コイル134に接続される。いくつかの実装形態では、任意のファラデー・シールド(図示せず)をコイル134と窓106との間に配置する。ファラデー・シールドは、コイル134に対して離間関係で維持してよい。ファラデー・シールドは、窓106の真上に配設してよい。ファラデー・シールドは、金属又は他の種がプラズマチャンバ132の窓106上に堆積するのを防止することができる。
RF電力は、RF電源121からコイル134に供給され、RF電流をコイル134に流す。コイル134を流れるRF電流は、電磁界をコイル134の周囲に生成することができる。電磁界は、プラズマチャンバ132内に誘導電流を発生させ、誘導電流は、プラズマチャンバ132内に存在するガス(複数可)に対して作用し、プラズマを生成する。プラズマからの様々なイオン及び/又はラジカルは、基板112と相互作用し、堆積動作又はエッチング動作を実施することができる。
いくつかの実装形態では、処理装置100は、任意で、プラズマ格子(図示せず)を含み、プラズマ格子は、プラズマチャンバ132を上側部分と下側部分とに分割するために使用してよい。プラズマ格子を使用し、プラズマチャンバ132の下側部分内の高温電極の量を制限してよい。いくつかの実装形態では、処理装置100は、プラズマチャンバ132の下側部分に存在するプラズマがイオン-イオン・プラズマであり、プラズマチャンバ132の上側部分に存在するプラズマが電子-イオン・プラズマであるように動作するように設計されている。
処理ガスは、プラズマチャンバ132の上部から第1のガス注入器104を通じて、及び/又はプラズマチャンバ132の側部から第2のガス注入器110を通じてプラズマチャンバ132に導入してよい。処理ガスは、気化させた液体前駆体又は気化させた固体前駆体を含んでよく、固体前駆体は、処理装置100の上流の固体供給源蒸発器(図示せず)内で気化してよい。1つ又は複数の反応ガスは、第1のガス注入器104及び/又は第2のガス注入器110を通じて供給してよい。いくつかの実装形態では、ガス注入器104、110は、シャワーヘッドに取り替えてよい。様々な種類の作業で異なるガスをプラズマチャンバ132に供給するため、更なる又は他のガスの供給を行ってよいことは理解されよう。
ガス(複数可)をプラズマチャンバ132に注入する様々な様式は、処理ガス、気化させた液体前駆体及び/又は気化させた固体前駆体を様々な場所からプラズマチャンバ132に供給してよいことを示す。いくつかの実装形態では、第1のガス注入器104のみを使用する。いくつかの実装形態では、第2のガス注入器110のみを使用する。他の実装形態では、第1のガス注入器104及び第2のガス注入器110の両方を使用する。いくつかの実装形態では、マニホールド122は、様々なガス・ラインのそれぞれにどのガスを供給するかを制御する。マニホールド122は、あらゆる種類のガス(反応ガス、キャリア・ガス、前駆体ガス等)を様々なガス・ラインのいずれかから供給するのを可能にする。いくつかの実装形態では、キャリア・ガスは、酸素(O2)、窒素(N2)、及びヘリウム(He)等のガスを含むことができる。ガスは、混合せずにプラズマチャンバ132に導入するか、又はプラズマチャンバ132に導入する前に他のガスと混合してよい。
マニホールド122は、送出システム128内のそれぞれの送出システムからの出力を選択、切替え、及び/又は混合するために使用してよい。送出システム128は、いくつかの実装形態では、エッチング・ガス送出システム127及び液体送出システム129を含んでよい。エッチング・ガス送出システム127は、エッチング剤ガスを出力するように構成してよい。エッチング剤ガスの例は、限定はしないが、塩素(Cl2)、臭化水素(HBr)及び六フッ化硫黄(SF6)を含む。液体送出システム129は、液体前駆体を供給するように構成してよく、液体前駆体は、ALD工程において、気化され、蒸気の形態で送出される。気化させた液体前駆体は、プラズマチャンバ132に導入してよく、基板112の表面上に吸着させてよい。プラズマを使用して、吸着した前駆体を変換し、吸着制限量のフィルムを形成してよい。例示的液体前駆体は、式:CxyzaSibの化学組成を有してよい。
真空ポンプ130は、プラズマチャンバ132に接続し、プラズマチャンバ132から処理ガスを引き出し、特定の圧力をプラズマチャンバ132内で維持するために使用してよい。弁126を排気ポンプ124と真空ポンプ130との間に配設し、プラズマチャンバ132に加えられる真空吸込み量を制御してよい。いくつかの実装形態では、真空ポンプ130は、1つ又は2つの段階の機械式乾式ポンプ及び/又はターボ分子ポンプとすることができる。いくつかの実装形態では、真空ポンプ130は、プラズマチャンバ132を浄化するため、ALD工程の完了後、毎回起動してよい。
処理装置100は、クリーン・ルーム又は作製施設内に設置する場合、設備(図示せず)に結合してよい。設備には、処理ガス、真空、温度の制御、及び環境粒子制御をもたらす配管を含む。これらの設備は、標的作製施設を設置する際に処理装置100に結合してよい。更に、処理装置100は、搬送チャンバに結合してよく、搬送チャンバは、ロボットが自動化を使用して基板を搬送し、プラズマチャンバ132に出し入れ可能にする。
いくつかの実装形態では、システム制御器108(1つ又は複数の物理的若しくは論理的制御器)は、処理装置100の動作の一部又は全てを制御する。システム制御器108は、1つ又は複数のメモリ・デバイス及び1つ又は複数のプロセッサを含んでよい。プロセッサは、中央処理ユニット(CPU)又はコンピュータ、アナログ及び/若しくはデジタル入力/出力接続器、ステッパ・モータ制御器板並びに他の同様の構成要素を含んでよい。適切な制御動作を実施する命令は、プロセッサ上で実行される。これらの命令は、システム制御器108に関連付けたメモリ・デバイス上に保存してよく、メモリ・デバイスは、ネットワーク上に提供してよい。いくつかの実装形態では、システム制御器108は、システム制御ソフトウェアを実行する。
システム制御ソフトウェアは、以下のチャンバ動作条件:ガスの混合及び/又は組成、チャンバ圧力、チャンバ温度、ウエハ/ウエハ支持体温度、基板に印加するバイアス(様々な実装形態ではバイアスはゼロであってよい)、コイル若しくは他のプラズマ生成構成要素に印加する周波数及び電力、基板位置、基板移動速度、及びツールによって実施する特定の工程の他のパラメータのあらゆる1つ又は複数の適用タイミング及び/又は大きさを制御する命令を含んでよい。システム制御ソフトウェアは、あらゆる適切な様式で構成してよい。例えば、様々な処理ツール構成要素のサブルーチン又は制御オブジェクトは、様々な処理ツール工程の実行に必要な処理ツール構成要素の制御動作に書き込んでよい。システム制御ソフトウェアは、あらゆる適切なコンピュータ可読プログラミング言語で符号化してよい。
いくつかの実施形態では、システム制御ソフトウェアは、上記した様々なパラメータを制御する入力/出力制御(IOC)順序付け命令を含む。例えば、半導体製作工程の各段階は、システム制御器108によって実行する1つ又は複数の命令を含んでよい。ある段階のための工程条件を設定する命令は、例えば、対応するレシピ段階内に含んでよい。いくつかの実装形態では、レシピ段階は、順次構成してよく、添加工程におけるステップを、この工程段階の間、特定の順序で実行するようにする。例えば、レシピは、エッチング動作を実施するように構成し、エッチング動作のそれぞれの間に実施されるALD工程の1つ又は複数のサイクルを含んでよい。
いくつかの実装形態では、システム制御器108は、以下の動作のうち1つ又は複数を実施する命令により構成される:プラズマチャンバ132において、フィーチャのマスクパターンを形成するため、基板112の第1の層をエッチングする動作であって、フィーチャのマスクパターンは、フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する、エッチング動作;プラズマチャンバ132において、ALDによってフィーチャのマスクパターン上に第1の不活性化層を堆積する動作であって、第1の不活性化層は、フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される、堆積動作;及びプラズマチャンバ132において、所望の幅を有する複数の構造体を形成するため、基板112の第2の層をエッチングする動作。エッチング動作及び堆積動作は、プラズマチャンバ132内に真空破壊を導入せずに実施される。いくつかの実装形態では、システム制御器108は、以下の動作:プラズマチャンバ132内でALDによる堆積及びエッチングを繰り返す動作、を実施するように更に構成される。
いくつかの実施形態では、他のコンピュータ・ソフトウェア及び/又はプログラムを実装してよい。この目的で、プログラム又はプログラム部分の例は、基板配置プログラム、処理ガス組成制御プログラム、圧力制御プログラム、加熱器制御プログラム、及びRF電力供給制御プログラムを含む。
場合によっては、システム制御器108は、ガス濃度、基板の移動及び/又はコイル134に供給する電力及び/又は基板支持体116を制御する。システム制御器108は、例えば、適切な濃度(複数可)で必要な反応ガス(複数可)を提供する1つ又は複数の入口ガス流を生成するため、関連する弁の開閉によってガス濃度を制御してよい。基板の移動は、例えば、必要に応じて移動する基板配置システムを向けることによって制御してよい。コイル134に供給する電力及び/又は基板支持体116は、特定のRF電力レベルを供給するように制御してよい。格子を使用する場合、RF電力は、プラズマチャンバ132の上側部分に電子-イオン・プラズマを生成し、プラズマチャンバ132の下側部分にイオン-イオン・プラズマを生成するように、システム制御器108によって調節してよい。更に、システム制御器108は、電子-イオン・プラズマをプラズマチャンバ132の下側部分に生成しないような条件下、基板支持体116に電力を供給するように構成してよい。
システム制御器108は、(例えば、電力、電位、圧力等が特定の閾値に到達した場合の)センサ出力、動作のタイミング(例えば、工程内の特定の時間で弁を開放する)に基づき、又はユーザから受信した命令に基づき、これら及び他の態様を制御してよい。
いくつかの実装形態では、システム制御器108はシステムの一部であり、システムは上述の例の一部であってよい。そのようなシステムは、半導体処理機器を備えることができ、半導体処理機器は、1つ又は複数の処理ツール、1つ又は複数のチャンバ、処理及び/又は特定の処理構成要素(ウエハ台、ガス流システム等)のための1つ又は複数のプラットフォームを含む。これらのシステムは、半導体ウエハ又は基板の処理前、その間及びその後にシステムの動作を制御する電子機器と共に一体化してもよい。これらの電子機器は、「制御器」と呼んでよく、1つ若しくは複数のシステムの様々な構成要素又は下位部品を制御してよい。システム制御器108は、処理要件及び/又はシステムの種類に応じて、処理ガスの送出、温度の設定(例えば、加熱及び/又は冷却)、圧力の設定、真空の設定、電力の設定、RF生成器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体送出の設定、位置及び動作の設定、基板搬送出し入れツール、及び他の搬送ツール、並びに/又は特定のシステムに接続若しくはインターフェース接続したロードロックを含め、本明細書で開示する工程のいずれかを制御するようにプログラムしてよい。
大まかに言うと、システム制御器108は、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義してよく、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、終了点測定を可能にする、等のものである。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサを含んでよい。プログラム命令は、様々な個々の設定(又はプログラム・ファイル)の形態でシステム制御器108に連絡される命令であってよく、半導体基板上で、又は半導体基板向けに、又はシステムに対して、特定の工程を実行する動作パラメータを定義する。動作パラメータは、いくつかの実施形態では、1つ又は複数の層、材料、金属、酸化物、シリコン、シリコン二酸化物、表面、回路及び/又は基板のダイを作製する間、1つ又は複数の処理ステップを達成する工程技師によって定義されるレシピの一部であってよい。
システム制御器108は、いくつかの実装形態では、コンピュータの一部であるか、又はコンピュータに結合してよく、コンピュータは、システムと一体化されるか、システムに結合するか、他の方法でシステムにネットワーク化されるか、又はそれらの組合せである。例えば、システム制御器108は、基板処理に対する遠隔アクセスを可能にし得る「クラウド」又はfabホスト・コンピュータ・システムの全て若しくは一部内にある。コンピュータは、システムへの遠隔アクセスを可能にし、製造動作に関する現在の経過を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向若しくは性能メトリックを調査し、現在の処理のパラメータを変更し、現在の処理に追従する処理ステップを設定する、又は新たな工程を開始してよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワーク上で工程レシピをシステムに提供することができ、ネットワークには、ローカル・ネットワーク又はインターネットを含んでよい。遠隔コンピュータは、ユーザ・インターフェースを含んでよく、ユーザ・インターフェースは、パラメータ及び/又は設定の入力又はプログラム化を可能にし、これらのパラメータ及び/又は設定は、次に、遠隔コンピュータからシステムに伝達される。いくつかの例では、システム制御器108は、データの形態で命令を受信し、命令は、1つ又は複数の動作の間に実施すべき処理ステップのそれぞれに対するパラメータを指定する。パラメータは、実施する工程の種類、及びシステム制御器108がインターフェース接続又は制御するように構成したツールの種類に特定である場合があることを理解されたい。したがって、上記のように、システム制御器108は、例えば、1つ又は複数の離散型制御器を備えることによって分散してよく、1つ又は複数の離散型制御器は、一緒にネットワーク化され、本明細書で説明する工程及び制御等、共通の目的に向かって働く。そのような目的のための分散システム制御器108の一例は、(プラットフォーム・レベルで、又は遠隔コンピュータの一部として等)遠隔に位置する1つ又は複数の集積回路と通信している、チャンバ上の1つ又は複数の集積回路であり、チャンバ上での工程を制御するように組み合わせられる。
限定はしないが、例示的システムは、プラズマ・エッチングチャンバ若しくはモジュール、堆積チャンバ若しくはモジュール、スピンリンスチャンバ若しくはモジュール、金属めっきチャンバ若しくはモジュール、クリーンチャンバ若しくはモジュール、斜縁エッチングチャンバ若しくはモジュール、物理蒸着(PVD)チャンバ若しくはモジュール、化学蒸着(CVD)チャンバ若しくはモジュール、原子層堆積法(ALD)チャンバ若しくはモジュール、原子層エッチング(ALE)チャンバ若しくはモジュール、イオン注入チャンバ若しくはモジュール、組み立てラインチャンバ若しくはモジュール、並びに半導体基板の作製及び/若しくは製造に関連付けるか若しくは使用してよいあらゆる他の半導体処理システムを含んでよい。
上記のように、工程ステップ又はツールによって実施するステップに応じて、システム制御器108は、他のツール回路若しくはモジュール、他のツール構成要素、クラスタ・ツール、他のツール・インターフェース、隣接ツール、近隣ツール、工場全体に置かれるツール、主コンピュータ、別のシステム制御器108、又は半導体製造工場内のツールの場所の間若しくは積み下ろしポート間で基板の容器を運搬する材料搬送で使用されるツールのうち1つ又は複数と通信してよい。
パターニング及び限界寸法
デバイス・フィーチャが縮小し、半導体デバイスのピッチが小さくなるにつれて、基板フィーチャの限界寸法(CD)を制御し、微調整することがより重要になっている。CDは、「最小フィーチャ・サイズ」又は「標的設定基準」とも呼ばれる。いくつかの実装形態では、CDは、最小形状フィーチャのサイズ(例えば、相互接続線、接点、トレンチ等の幅)を指してよい。いくつかの実装形態では、CDは、パターニング工程を達成し得る最も狭い幅を指してよい。
パターニング方法は、所望の限界寸法を達成するため、多くの半導体製造工程で使用されている。フォトレジスト・パターン又はハード・マスク等のマスクは、最小フィーチャの幅であるCDを有してよい。いくつかの実装形態では、フィーチャは、マスクを通じてエッチング動作を実施する際に形成してよい。
より小さいCDを有するフィーチャを追求する際、より小さいCDを有するマスクが望ましい。フォトレジスト・マスクは、一般に、波長に依存する光学特性を有し、より波長の長いフォトレジスト・マスクは、より大きな理論上のCDを有するより長い波長光に露光され、より短い波長のフォトレジスト・マスクは、より小さい理論上のCDを有するより短い波長光に露光される。しかし、より短い波長のフォトレジスト・マスクを使用すると、より長い波長のフォトレジスト・マスクに対して、更なる問題を呈することがある。例えば、より短い波長のフォトレジスト・マスクは、より長い波長のフォトレジスト・マスクほどの高い選択性を有さないことがあり、プラズマ・エッチング条件下でより変形しやすいことがある。
本開示のマスクは、単一パターニング又は多重パターニング等の様々なパターニング方式を使用して形成するか又は他の方法で提供してよい。特に、多重パターニングは、マスクの光学限界を超えたリソグラフィ技術を拡張させるために使用されている。二重パターニング及び四重パターニングは、マスクの光学限界を超えたリソグラフィ技術を拡張させるために使用される例示的技術であり、二重パターニングは、現在、約80nm未満のピッチを求める業界において広く使用されている。現在の二重パターニング技術は、トレンチをパターニングする2つのマスク段を有する側壁スペーサを使用することが多い。二重パターニング、特に、線パターニング方法は、凹凸形二重パターニング方法及び凹形二重パターニング方法の両方において、スペーサ及びマスクの使用を伴う。スペーサは、パターン・コア上に、ALD又はプラズマ原子層堆積法(PEALD)によって堆積することができ、より小さなピッチパターンを生成するように使用してよい。
図2A~2Iは、いくつかの実装形態による例示的多重パターニング方式における基板の概略図である。図2Aは、第1の層203、第1の層203の下にある第2の層205、及び第2の層205の下にある第3の層207の上に、リソグラフィにより画定されるか又はパターニングされたコア201を有する基板を示す。当業者であれば、本明細書で説明する半導体処理に適した多層積層体が、エッチング停止層、キャップ層等の他の層、及び他の下層を含んでもよいことを了解するであろう。
図2Aに示すように、パターン・コア201は、フォトレジストであってよく、又は非晶質炭素若しくは非晶質シリコン材料を含んでよい。パターン・コア201は、あらゆる適切な堆積技法によって第1の層203にわたり堆積してよく、堆積技法は、堆積チャンバ内における、炭化水素前駆体等の堆積ガスによるプラズマ生成を伴ってよい。第1の層203、第2の層205又は第3の層207は、多重パターニング工程において最終的にパターニングされる標的層であってよい。標的層は、半導体、誘電体、又は他の層であってよく、例えば、シリコン(Si)、シリコン酸化物(SiO2)、シリコン窒化物(SiN)、チタン窒化物(TiN)から作製してよい。図2Bでは、共形膜209がパターン・コア201にわたり堆積されている。共形膜209は、ALD又はいくつかの実装形態ではPEALDによって堆積してよい。共形膜209は、図2Cに示すように、スペーサ219を形成するように指向的にエッチングしてよい。スペーサ219は、シリコン酸化物(SiO2)若しくはチタン酸化物(TiO2)等の酸化物であるか、又はシリコン窒化物(SiN)等の窒化物であってよい。スペーサ219のパターンを使用して後続の層をパターニングする。本明細書で使用する用語「スペーサ」は、コア材料に隣接するマスク材料を意味することを理解されよう。図2Dにおいて、パターン・コア201は、選択的にエッチングされ、基板上に自立スペーサ219を残す。本明細書で使用する選択的除去又は選択的エッチングは、ある材料を別の材料に対して選択的にエッチングすることとして定義される。例えば、図2Dにおいて、パターン・コア201は、スペーサ219に対して選択的にエッチングされている。スペーサ219のパターンは、所望のピッチ又はCDを有してよく、CDは、約50nm以下であってよい。図2Eにおいて、第1の層203は、パターンスペーサ219をマスクとして使用してエッチングされ、これにより、パターンを第1の層203に転写し、第1のパターン層213を形成する。第1のパターン層213は、パターンスペーサ219ではなく、第1の層203のエッチングに適した化学的性質を使用してエッチングしてよい。いくつかの実装形態では、第1のパターン層213は、非晶質炭素層、非晶質シリコン層、又はポリ(メチルメタクリレート)若しくはポリ(メチルグルタルイミド)(PMGI)若しくはフェノールホルムアルデヒド樹脂等のフォトレジストであってよい。図2Fにおいて、スペーサ219は、選択的に除去され、第1のパターン層213を残す。第1のパターン層213は、マスクにより小さなCDをもたらし、より小さなCDを有するフィーチャを形成することができる。しかし、代替的に、第1のパターン層213は、後続のパターニングのために第2のコア材料を提供してよい。図2Gにおいて、第2の共形膜220は、第1のパターン層213にわたり堆積してよい(例えば、第2のコア材料)。第2の共形膜220は、ALD又はPEALDによって堆積した誘電材料であってよい。例えば、第2の共形膜220は、シリコン酸化物であってよい。図2Hにおいて、第2の共形膜220は、指向的にエッチングされ、第1のパターン層213に側面を接する第2のスペーサ221を形成する。図2Iにおいて、第1のパターン層213は、選択的に除去され、第2の層221を残す。第2のスペーサ221は、より一層小さなCDを有するフィーチャを形成するように、マスクにより一層小さなCDをもたらし得る。
第2のスペーサ221のパターンは、第2の層205に転写してよい。図2A~図2Iに示す技法等の多重パターニング技法を使用し、より小さなCDを達成してよい。しかし、多重パターニング技法は、図3Aに示すように、異なるツールとプラットフォームとの間の転写を必要とし得るエッチング・ステップ及び堆積ステップ、並びにエッチング・ステップと堆積ステップとの間の更なる洗浄ステップを使用する。
図3Aは、従来のエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローを示す。図3Aにおけるそのような工程フローは、上記で説明した多重パターニング方式に限定されず、従来のエッチング方法及びALD方法を使用する他の方式にも適用し得ることを理解されよう。図3Aにおいて、基板は、ブロック301で、エッチングチャンバ内に準備され、エッチング・ステップを受け、ブロック303で、クリーンチャンバに搬送され、洗浄ステップを受け、ブロック305で、ALDチャンバに搬送され、ALDステップを受け、ブロック307で、同じ又は異なるクリーンチャンバに再度搬送され、洗浄ステップを受け、ブロック309で、同じ又は異なるエッチングチャンバに再度搬送される。エッチング-堆積-エッチング・シーケンスを実施する際、基板は、3~5の異なるチャンバを通じて、4回の基板搬送を受けることがある。いくつかの実装形態では、ブロック301でのエッチング・ステップは、マスクを画定してよく、ブロック305でのALDステップは、CD制御を実施してよく、ブロック309でのエッチング・ステップは、最終構造体を画定してよい。
堆積及びエッチングのために個別のチャンバを使用すると、処理時間、処理ステップ、及び費用を増大させ、これにより、処理能力に悪影響を与える。更に、個別のチャンバを使用すると、基板をあるチャンバから別のチャンバに搬送することを必要とし、これにより、真空破壊を伴い、不要な材料又は粒子が入って基板と接触する可能性を増大させる。このことにより、基板上の材料機能性及び/又は完全性の損失がもたらされることがある。更に、図3Aに示すように、洗浄工程は、一般に、エッチング工程と堆積工程との間で必要とされ、洗浄工程は、基板上の材料特性及び構造に影響を与えることがある。例えば、希釈フッ化水素(HF)酸による洗浄工程は、マスク構造に影響を与え、性能に悪影響を与えることがある。
より小さなCDを達成する一方で、異なるツールによるエッチング・ステップ及び堆積ステップの実施に関連する問題を回避するために、プラズマ・エッチングチャンバを利用して堆積を実施するように適合させ得る。そのような堆積工程は、化学蒸着(CVD)方法及びプラズマ化学蒸着(PECVD)方法を含み得る。しかし、そのような堆積法は、典型的には、共形ではない膜を堆積するものである。より詳細には、こうした堆積方法は、縦横比によって左右され、より多くの開放スペーサ又はより広いピッチにおいてより多くの材料を堆積させ、異なる縦横比の構造体又はフィーチャにわたり非共形の堆積をもたらす。特に、孤立フィーチャに対するCDバイアスが高密度フィーチャに対するCDバイアスを超える場合、高密度フィーチャよりも孤立フィーチャ内に多くの堆積が生じる。
本開示の実装形態は、プラズマチャンバにおけるALDステップとエッチング・ステップとの一体化に関する。ALDを個別のチャンバで実施するのではなく、CVD/PECVDを同じチャンバで使用するのではなく、原位置でのALDを使用することによって、独立型ALDツール及び更なる洗浄ツールをなくす。更に、処理時間及び費用は、更なる基板搬送及び洗浄時間をなくすことによって低減される。更に、原位置でのALDを使用すると、基板を望ましくない材料、雰囲気及び/又は湿気に露出させることがある基板搬送の間(例えば、原位置以外での堆積と洗浄との間)の真空破壊を回避する。原位置でのALDの使用は、異なる縦横比の構造体又はフィーチャにわたる非均一な堆積の影響も低減する。原位置でのALDは、上記図1の処理装置100内のプラズマチャンバ等のプラズマ・エッチングチャンバ内で実施されるALDを指してよい。
図3Bは、一体化したエッチング方法及びALD方法を使用する、基板搬送のための例示的工程フローを示す。図3Bにおけるそのような工程フローは、上記で説明した多重パターニング方式に限定されず、より小さなCDを達成し、CDを制御する他の方式にも適用し得ることを理解されよう。図3Bにおいて、基板は、エッチングチャンバ内に準備され、ブロック351で、エッチング・ステップを受け、ブロック353で、同じエッチングチャンバ内に維持されてALDステップを受け、ブロック355で、同じエッチングチャンバ内に維持されてエッチング・ステップを受け、ブロック357で、クリーンチャンバに運搬されて洗浄ステップを受ける。エッチング-堆積-エッチング・シーケンスを実施する際、基板は、2つの異なるチャンバを通じて、1回の基板搬送を受けてよい。いくつかの実装形態では、ブロック351でのエッチング・ステップは、マスクを画定してよく、ブロック353でのALDステップは、CD制御を実施してよく、ブロック355でのエッチング・ステップは、最終構造体を画定してよい。
原位置でのALD及びエッチングを伴う限界寸法の制御
本開示の実装形態は、原位置でのALD及びエッチングを使用するCD制御方法に関する。特に、ALDステップ及びエッチング・ステップのサイクルは、同じ処理チャンバ又はツールで実施される。いくつかの実装形態では、ALDステップ及びエッチング・ステップのサイクルは、図1で説明したプラズマ処理装置内で実施される。エッチングと同じプラズマ処理装置内で実施されるALDのサイクルは、基板の1つ又は複数のフィーチャのCDの制御に使用される共形の堆積をもたらす。
図4は、いくつかの実装形態による、エッチング方法及びALD方法を使用する限界寸法(CD)回復のための例示的方法の流れ図である。方法400における動作は、異なる順序で実施してよい、及び/又は異なる、より少ない若しくは更なる動作で実施してよい。
方法400のブロック410において、プラズマチャンバ内で基板の第1の層をエッチングし、フィーチャのマスクパターンを形成する。プラズマチャンバは、後続のエッチング工程及び堆積工程を実施するように構成してよい。プラズマチャンバの態様は、図1の処理装置100に関して説明してよい。フィーチャのマスクパターンは、フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する。フィーチャのマスクパターンは、エッチングによってパターニングしてよく、フィーチャのマスクパターンは、後続の作製ステップの間、マスクとして働いてよい。いくつかの実装形態では、フィーチャのマスクパターンを画定する第1の層は、レジスト又はフォトレジスト材料を含んでよい。いくつかの実装形態では、フィーチャのマスクパターンを画定する第1の層は、ハード・マスク材料を含んでよい。いくつかの実装形態では、フィーチャのマスクパターンを画定する第1の層は、シリコン又は他の半導体材料を含んでよい。第1の層において、従来のエッチング方法及びパターニング方法を、フィーチャのマスクパターンの形成に使用してよい。
方法400のいくつかの実装形態では、基板をプラズマチャンバ内に準備する。基板は、プラズマチャンバ内の基板支持体上に配置してよい。いくつかの実装形態では、基板は、200mm、300mm又は450mmの基板等、シリコン基板を含む半導体基板とすることができる。いくつかの実装形態では、基板は、フィーチャのマスクパターンにより事前にパターニングした状態でプラズマチャンバ内に準備される。
いくつかの実装形態では、フィーチャのマスクパターンは、基板の孤立フィーチャ領域内の1つ又は複数の孤立フィーチャ、及び基板の高密度フィーチャ領域内の1つ又は複数の高密度フィーチャを含んでよい。1つ又は複数の高密度フィーチャは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャよりも、高密度フィーチャ領域内で大きなフィーチャ密度を有する。1つ又は複数の高密度フィーチャは、1つ又は複数の孤立フィーチャよりも高い縦横比を有するフィーチャをもたらしてよい。
いくつかの実装形態では、フィーチャのマスクパターンは、第1の材料を有する1つ又は複数のフィーチャ及び第1の材料とは異なる第2の材料を有する1つ又は複数のフィーチャを含んでよい。フィーチャのマスクパターンは、2つの異なる材料を含んでよく、2つの異なる材料は、不活性化工程又はエッチング工程において異なって反応してよい。2つの異なる材料は、異なるピッチを有する1つ又は複数のマスクを生成するために使用してよい。例えば、第1の材料は、シリコンを含んでよく、第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含んでよい。
いくつかの実装形態では、フィーチャのマスクパターンの少なくともいくつかは、先細外形を有してよい。パターニング工程は、ある度合いの先細を有するマスク外形を生成してよい。いくつかの実装形態では、ブロック410内で実施されるエッチングは、先細外形をまっすぐにし、後続の堆積によって生じるあらゆるピンチオフ又は合併を低減し得る。いくつかの実装形態では、方法400は、後続の堆積の前に先細外形を修正するように、先細外形を有するフィーチャのマスクパターンの少なくとも一部分を異方的にエッチングすることを更に含む。
フィーチャのマスクパターンは、複数の形状構造体、スペーサ、又はフィーチャを含んでよく、それぞれが、フィーチャのマスクパターンの限界寸法(CD)に対応する幅を有する。いくつかの実装形態では、フィーチャのマスクパターンの形状構造体、スペーサ、又はフィーチャのそれぞれは、同じか又は実質的に同様である幅を有する。フィーチャのマスクパターンの幅又はCDに関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。したがって、フィーチャのマスクパターンのCD又は空間CDは、基板上に設けられるフィーチャのマスクパターンにわたり比較的一貫又は一定している。いくつかの実装形態では、1つ又は複数の孤立フィーチャと1つ又は複数の高密度フィーチャとの間のCD又は空間CDは、同じか又は実質的に同様であってよい。いくつかの実装形態では、フィーチャのマスクパターンの空間CDは、約5nm以下である。
第1の層をエッチングしてフィーチャのマスクパターンを形成した後、フィーチャのマスクパターンのCDは、典型的には、フィーチャのマスクパターンを使用して形成される複数の構造体のCDに等しいものではない。このことは、側方エッチングによるマスクの多面化、浸食、及び下部削り取りの影響に起因することがある。場合によっては、より高度の過剰エッチングを意図的に実施し、より小さいCDを犠牲にしてマスク開口エッチング方法のプロセス窓を増大させる。したがって、フィーチャのマスクパターンを使用して形成する複数の構造体のCDは、フィーチャのマスクパターンのCDよりも小さいことがある。
不活性化技法は、エッチングによるCD損失を最小化するため、マスク上に適用してよい。例えば、「フラッシュ」不活性化の形態のプラズマベースの不活性化、又はエッチング副産物再堆積は、側方エッチングによるマスクの多面化、浸食、及び下部削り取りの影響を最小化するために使用されている。しかし、プラズマベースの不活性化技法は、一般に、縦横比に左右され、より多くの不活性化材料が高密度フィーチャよりも孤立フィーチャ内に充填されることを意味する。プラズマベースの不活性化技法も材料により左右され、様々な材料の間でより多くの又はより少ない不活性化材料が充填されることを意味する。更に、酸素「フラッシュ」不活性化等のプラズマベースの不活性化技法は、酸化を通じて標的フィーチャ上の材料を消費し、CD損失を生じさせる。「フラッシュ」不活性化とは、酸素(O2)及び/又は窒素(N2)等のガスを衝突させ、解離ラジカルのプラズマを生成し、表面と反応させ、材料(例えば、酸化物又は窒化物)の不活性化層を表面上に形成することを指すことができる。不活性化技法は、一般に、側方エッチングによるマスクの多面化、浸食、及び下部削り取りの影響を最小化し得るが、CDを制御又は微調整しない。言い換えれば、CD損失は、従来の不活性化技法を使用して制限し得るが、不活性化技法自体は、CDを正確に調整するために適用されるものではない。
方法400のブロック420において、プラズマチャンバ内で、原子層堆積(ALD)によって第1の不活性化層をフィーチャのマスクパターン上に堆積する。第1の不活性化層は、フィーチャのマスクパターンの幅を所望の幅まで増大させる厚さで堆積される。ブロック420におけるALDは、動作間における真空破壊を導入させずに、ブロック410におけるエッチングと同じプラズマチャンバ内で実施される。
ALDは、逐次自己停止反応を使用して薄い材料層を堆積させる技法である。典型的には、ALDのサイクルは、基板表面に少なくとも1つの反応物を送出して吸着させ、次に、吸着した反応物を1つ又は複数の反応物と反応させて部分的な膜の層を生成する動作を含む。CVD技法とは異なり、ALD方法は、層ごとの単位で膜を堆積させる表面自己停止堆積反応を使用する。典型的なALDサイクルは、以下を含んでよい:(i)前駆体材料を投入し、基板表面上に送出し、吸着させることと、(ii)チャンバから過剰な前駆体材料を除去し、自己停止単層を基板表面上に残すことと、(iii)反応物材料を送出し、吸着した前駆体材料と反応させることと、(iv)未反応の反応物材料を除去するか、又はチャンバから副産物を反応させること。投入ステップは、自己停止するように前駆体材料を吸着させてよく、活性部位が前駆体材料によって占められると、更なる前駆体材料は、基板表面上にほとんど又は全く吸着されない。反応物材料は、同様に、自己停止するように又は吸着を停止するように前駆体材料と反応してよい。除去ステップは、任意で実施してよく、過剰な前駆体材料、反応副産物、及び/又は未反応の反応物材料をチャンバから取り除き、これにより、ALDサイクルを完了させる。ALDを使用すると、高い縦横比のフィーチャの場合でさえ、高度に共形の膜に高ステップ・カバレッジを提供し得る。
第1の不活性化層は、ALDによってフィーチャのマスクパターン上に共形に堆積し得る。第1の不活性化層を共形に堆積するALD方法は、1回又は複数のサイクルにおいて行ってよく、各サイクルは、ある吸着制限量の不活性化材料をフィーチャのマスクパターン上に生成する。各サイクルは、投入ステップを含んでよく、制御量の前駆体材料を基板表面に送出し、自己制限様式で基板表面上に吸着させる。このことは、基板表面を飽和させる「浸漬」としても公知である。各サイクルは、投入ステップの後、変換ステップを更に含んでよく、反応物材料を前駆体材料と反応させるように基板表面上に提供し、ある吸着制限量の不活性化材料を形成させる。反応物材料は、反応ガスを含んでよく、RF電源は、プラズマチャンバ内で反応ガスのプラズマを生成する。反応ガスは、例えば、酸素含有ガス(例えば、O2)又は窒素含有ガス(例えば、N2若しくはNH3)を含んでよい。ラジカル及び他の荷電種の反応ガスは、前駆体材料と反応し、前駆体材料を吸着制限量の不活性化材料に変換する。いくつかの実装形態では、反応ガスは、約0.5秒から約5秒の間等、比較的短い時間量でRF電力の送出に露出され、プラズマを生成し、前駆体材料を変換する。このことは、基板表面上で、比較的短い時間量で送出されるRF電力からプラズマを使用して前駆体材料を変換する「フラッシュ」動作として公知である。いくつかの実装形態では、除去ステップは、過剰な前駆体材料、反応副産物及び/又は未反応の反応物材料をプラズマチャンバから取り除き、サイクルを完了させてよい。いくつかの実装形態では、投入ステップ及び変換ステップは、第1の不活性化層の所望の厚さが堆積されるまで繰り返してよい。
第1の不活性化層は、例えば、酸化物(例えば、SiOx)又は窒化物(例えばSixy)を含んでよい。第1の不活性化層は、ブロック430で説明したエッチング工程等の後続のエッチング工程の間、フィーチャのマスクパターンの側壁を含め、フィーチャのマスクパターンを保護するように働く。
第1の不活性化層は、ALDによって共形に堆積され、85%超、90%超又は95%超のステップ・カバレッジ等、高いステップ・カバレッジを有してよい。第1の不活性化層の高い共形性により、フィーチャのマスクパターンの表面及び側壁に沿って比較的均一な厚さの第1の不活性化層をもたらす。いくつかの実装形態では、第1の不活性化層の厚さは、約0.1nmから約5nmの間、又は約0.5nmから約3nmの間であってよい。第1の不活性化層の厚さは、フィーチャのマスクパターンの幅を所望の厚さまで線形関係に増大させることができ、所望の幅は、次に形成される複数の構造体の所望のCDに対応する。したがって、フィーチャのマスクパターンのCDは、第1の不活性化層の厚さによって制御してよい。いくつかの実装形態では、ブロック410におけるエッチングによるあらゆるCD損失は、堆積した第1の不活性化層の厚さによって回復させてよい。いくつかの実装形態では、CDは、ブロック420で微調整され、フィーチャのマスクパターンのCDを制御し、これにより、次に形成される複数の構造体又はフィーチャのCDを制御することができる。CD制御又は回復に関連するCDは、作製する半導体デバイスの用途によって決めてよい。エッチングの後、残りの材料のCDは、関連するCDである場合があり、場合によっては、パターニング及びゲート・エッチング後のゲート又はトランジスタのサイズ等である。又は除去材料のCD(例えば、空間CD)は、関連するCDであってよく、場合によっては、空間の場合、エッチングの後、トレンチ又は穴が開けられ、トレンチ又は穴は、その後、充填しても、しなくてもよい。堆積した第1の不活性化層からのCDゲインは、縦横比及び材料とは無関係であってよい。更に、CDは、後続のエッチング性能に影響を与えずに、ブロック420で調整してよい。言い換えれば、CDは、孤立フィーチャと高密度フィーチャとの間のCDローディングに対する影響が最小又は無視できる状態、及び孤立フィーチャと高密度フィーチャとの間の深さローディングに対する影響が最小又は無視できる状態で調整し得る。
方法400のブロック430において、所望の幅を有する複数の構造体を形成するため、プラズマチャンバ内で基板の第2の層をエッチングする。ブロック430におけるエッチングは、動作間における真空破壊を導入させずに、ブロック410におけるエッチング及びブロック420におけるALDと同じプラズマチャンバ内で実施される。
第2の層は、基板の様々な形状フィーチャをパターニングする標的層であってよく、そのような形状フィーチャは、複数の構造体に対応するか、又は複数の構造体によって画定してよい。形状フィーチャは、例えば、相互接続線、接点、トレンチ、凹部、空間、穴等を含んでよい。複数の構造体は、フィーチャのマスクパターンを使用して画定してよく、複数の構造体のCDは、フィーチャのマスクパターンのCDと同じか又は実質的に同様である。フィーチャのマスクパターンと複数の構造体との間のCDの差に関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。いくつかの実装形態では、複数の構造体のCDは、約20nm以下、約15nm以下、又は約10nm以下であってよい。説明したCDは、フィーチャのマスクパターンによって画定される複数の構造体のCDを指すが、関連するCDは、フィーチャのマスクパターンによって画定されるトレンチ、凹部、空間及び穴等の複数の形状フィーチャの空間CDであってよいことは理解されよう。
プラズマチャンバ内で実施されるエッチングは、第2の層を通じて異方的にエッチングし、第2の層内にフィーチャを形成してよい。エッチングは、第1の層及び第1の不活性化層の材料にわたる第2の層の材料のエッチングに選択的であってよい。このようにして、ブロック430で実施されるエッチングは、フィーチャのマスクパターンをエッチングせずに、層を選択的にエッチングする。いくつかの実装形態では、エッチングは、第2の層を通じて第1の深さまで拡張してよく、第1の深さは、最終深さよりも小さい。例えば、第1の深さは、最終深さの20%、30%、40%、50%、60%等、最終深さのあらゆる適切な割合であってよい。したがって、多重エッチングは、最終深さに到達するように実施してよい。
いくつかの実装形態では、プラズマチャンバ内でのALD及びエッチングの動作は、最終深さが達成されるまで繰り返される。最終深さは、第2の層を通じて部分的に拡張するか、又は第2の層を通じて完全に拡張してよい。いくつかの実装形態では、最終深さは、第3の層、第4の層等、更なる下にある層を通じて拡張してよい。繰り返されるエッチング動作は、第2の層、第2の層の下にある又は第3の層を通じてエッチングしてよい。いくつかの実装形態では、プラズマチャンバ内でのALD及びエッチングの動作は、多重パターニング工程において繰り返される。例えば、エッチング動作後の各ALD動作は、第2の不活性化層、第3の不活性化層等の更なる不活性化層を共形に堆積し、基板フィーチャのCDを更に調整又は低減してよい。また、ALD動作後の各エッチング動作は、第2の層、又は第3の層、第4の層、第5の層等の下にある層を通じてエッチングしてよい。いくつかの実装形態では、方法400は、プラズマチャンバにおいて、ALDによって複数の基板上に第2の不活性化層を堆積することを更に含み、第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される。方法400は、基板の第2の層又は第3の層をエッチングし、所望のCDを有する複数のフィーチャ(例えば、トレンチ)を形成することを更に含んでよい。この種類の多重パターニング方式は、エッチング-堆積-エッチング動作との間の基板搬送を伴わずに、限界寸法の縮小を制御する様式で可能にし得る。
第1の不活性化層は、ブロック430におけるエッチングからフィーチャのマスクパターンを保護する。フィーチャのマスクパターンの側壁及び表面は、縦横比及び材料とは無関係に、最小のCD損失で保護される。更に、フィーチャのマスクパターンの側壁及び表面は、異なる材料と縦横比との間のCDローディングが最小であり、異なる材料と縦横比との間の外形ローディングが最小であり、異なる材料と縦横比との間の深さローディングが最小である状態で保護される。
いくつかの実装形態では、ブロック420でのプラズマチャンバにおけるALDによる堆積動作及びブロック430でのプラズマチャンバにおける第2の層のエッチング動作は、方法400内で繰り返される。フィーチャのマスクパターンが、孤立フィーチャ及び高密度フィーチャを含む場合、ALDによる堆積動作及び第2の層のエッチング動作を繰り返した後、CDゲインは、同じであるか又は実質的に同様である。フィーチャのマスクパターンが、異なる材料を含む場合、ALDによる堆積動作及び第2の層のエッチング動作を繰り返した後、CDゲインは、同じであるか又は実質的に同様である。
図5A~図5Cは、プラズマチャンバにおいて従来の堆積方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。図6A~図6Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、孤立基板フィーチャ及び高密度基板フィーチャの概略図である。従来のエッチング-堆積-エッチング方法に対する、原位置でのALDエッチング-堆積-エッチング方法における孤立フィーチャと高密度フィーチャとの間の比較は、孤立フィーチャ及び高密度フィーチャにおけるCDバイアス及びCDゲインの影響を明らかにしている。
図5Aは、基板502及びフィーチャのマスクパターン504a、504bを含む一部作製デバイス構造体510を示す。フィーチャのマスクパターン504a、504bは、プラズマチャンバにおけるエッチングの後にパターニングし、画定してよい。フィーチャのマスクパターン504a、504bは、基板502の高密度フィーチャ領域における高密度フィーチャ504aと基板502の孤立フィーチャ領域における孤立フィーチャ504bとの間で区別してよく、高密度フィーチャ504aは、孤立フィーチャ504bよりも大きなフィーチャ密度を有する。高密度フィーチャ領域内の高密度フィーチャ504aは、孤立フィーチャ領域内の孤立フィーチャ504bよりも高い縦横比を有する間隙を画定してよい。フィーチャのマスクパターン504a、504bは、図5Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図5Bは、基板502、フィーチャのマスクパターン504a、504b及びフィーチャのマスクパターン504a、504bの上に堆積した第1の不活性化層506を含む一部作製デバイス構造体520を示す。第1の不活性化層506は、プラズマチャンバにおいて、CVD又はPECVD等の従来の堆積方法を使用して堆積してよい。代替的に、第1の不活性化層506は、プラズマベースの「フラッシュ」不活性化技法を使用して堆積してよく、フィーチャのマスクパターン504a、504bの一部は、酸化又は窒化を通じて消費してよい。図5Bに示すように、第1の不活性化層506の厚さは、孤立フィーチャ領域内の孤立フィーチャ504b上で、高密度フィーチャ領域内の高密度フィーチャ504a上よりも大きい。孤立フィーチャ504bは、高密度フィーチャ504aよりも多くの堆積を受ける。したがって、CDゲインは、高密度フィーチャ領域内よりも、孤立フィーチャ領域内で多い。一部作製デバイス構造体520は、プラズマチャンバにおいて従来の堆積方法を使用して堆積した後のデバイス構造体510を表す。
図5Cは、基板502、フィーチャのマスクパターン504a、504b及びフィーチャのマスクパターン504a、504bの上に堆積した第1の不活性化層506、及び基板502内の複数のフィーチャ508を含む一部作製デバイス構造体530を示す。複数のフィーチャ508は、プラズマチャンバにおけるエッチング方法の後に形成してよい。エッチング方法は、基板502内の材料の層を所望の深さまで異方的にエッチングしてよい。複数のフィーチャ508は、フィーチャのマスクパターン504a、504bの下にある複数のフィーチャ509によって画定してよい。フィーチャ508の縦横比は、基板502の孤立フィーチャ領域内よりも、高密度フィーチャ領域内で高いことがある。図5Cに示すように、孤立フィーチャ領域内のCDバイアス又はCDローディングは、高密度フィーチャ領域内のCDバイアス又はCDローディングよりも大きい。プラズマチャンバにおいて従来の堆積方法を加えると、正確な制御は、縦横比に左右されて堆積が非均一であるために、可能ではない。一部作製デバイス構造体530は、プラズマチャンバにおいてエッチングした後のデバイス構造体520を表す。
図6Aは、基板602及びフィーチャのマスクパターン604a、604bを含む一部作製デバイス構造体610を示す。フィーチャのマスクパターン604a、604bは、プラズマチャンバにおけるエッチングの後にパターニングし、画定してよい。フィーチャのマスクパターン604a、604bは、基板602の高密度フィーチャ領域における高密度フィーチャ604aと基板602の孤立フィーチャ領域における孤立フィーチャ604bとの間で区別してよく、高密度フィーチャ604aは、孤立フィーチャ604bよりも大きなフィーチャ密度を有する。高密度フィーチャ領域内の高密度フィーチャ604aは、孤立フィーチャ領域内の孤立フィーチャ604bよりも高い縦横比を有する間隙を画定することがある。フィーチャのマスクパターン604a、604bは、図6Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図6Bは、基板602、フィーチャのマスクパターン604a、604b及びフィーチャのマスクパターン604a、604bの上に共形に堆積した第1の不活性化層606を含む一部作製デバイス構造体620を示す。第1の不活性化層606は、上記した原位置でのALD方法を使用して堆積してよい。図6Bに示すように、第1の不活性化層606の厚さは、孤立フィーチャ領域内の孤立フィーチャ604b上、及び高密度フィーチャ領域内の高密度フィーチャ604a上で比較的均一である。第1の不活性化層606の厚さは、制御し、フィーチャのマスクパターン604a、604bのCDを回復又は調整し得る。このようにして、後続のエッチングによって形成されるフィーチャのCDは、正確に調整し得る。図6Bに示すように、高密度フィーチャ領域内の高密度フィーチャ604aと孤立フィーチャ領域内の孤立フィーチャ604bの間のCDゲインは、同じであるか又は実質的に同様である。原位置ALDを使用する高密度フィーチャ604aと孤立フィーチャ604bとの間のCDゲイン又はCDバイアスに関し、「実質的に同様」とは、本開示全体を通して、述べた値の0.5nm以内の値を指す。一部作製デバイス構造体620は、プラズマチャンバにおいて原位置ALD方法を使用して堆積した後のデバイス構造体610を表す。
図6Cは、基板602、フィーチャのマスクパターン604a、604b及びフィーチャのマスクパターン604a、604bの上に共形に堆積した第1の不活性化層606、及び基板602内の複数のフィーチャ608を含む一部作製デバイス構造体630を示す。複数のフィーチャ608は、プラズマチャンバにおけるエッチング方法の後に形成してよい。エッチング方法は、基板602内の材料の層を所望の厚さまで異方的にエッチングしてよい。複数のフィーチャ608は、フィーチャのマスクパターン604a、604bの下にある複数のフィーチャ609によって画定してよい。フィーチャ608の縦横比は、基板602の孤立フィーチャ領域内よりも、高密度フィーチャ領域内で高いことがある。図6Cに示すように、高密度フィーチャ領域と孤立フィーチャ領域との間のCDバイアス又はCDローディングは、同じであるか又は実質的に同様である。プラズマチャンバにおいて原位置でのALDを施すと、正確なCD制御は、堆積の非均一さが縦横比とは無関係であるために、可能である。一部作製デバイス構造体630は、プラズマチャンバにおいてエッチングした後のデバイス構造体620を表す。
図7A~図7Cは、プラズマチャンバにおいて従来の堆積方法を使用して堆積及びエッチングを受ける、様々な材料の基板フィーチャの概略図である。図8A~図8Cは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、基板フィーチャの概略図である。従来のエッチング-堆積-エッチング方法に対する、原位置でのALDエッチング-堆積-エッチング方法における異なる材料から作製したフィーチャの間の比較は、異なる材料から作製したフィーチャにおけるCDバイアス及びCDゲインの影響を明らかにしている。
図7Aは、基板702及びフィーチャのマスクパターン704a、704bを含む一部作製デバイス構造体710を示す。フィーチャのマスクパターン704a、704bは、プラズマチャンバにおけるエッチングの後にパターニングし、画定してよい。フィーチャのマスクパターン704a、704bは、第1の材料を有する第1のフィーチャ704a及び第2の材料を有する第2のフィーチャ704bを含んでよく、第2の材料は、第1の材料とは異なる。例として、第1の材料は、シリコンを含み、第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含んでよい。別の例として、第1の材料は、酸化物を含み、第2の材料は、炭素を含んでよい。いくつかの実装形態では、フィーチャのマスクパターン704a、704b等のマスクは、異なる材料を使用すると、異なるピッチをもたらし得る。したがって、第1のフィーチャ704aは、第1のピッチを有し、第2のフィーチャ704bは、第2のピッチを有することがあり、第2のピッチは、第1のピッチよりも大きい。フィーチャのマスクパターン704a、704bは、図7Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図7Bは、基板702、フィーチャのマスクパターン704a、704b、及び第1のフィーチャ704a上の第1の不活性化層706a及び第2のフィーチャ704b上の第2の不活性化層706bを含む一部作製デバイス構造体720を示す。第1の不活性化層706a及び第2の不活性化層706bは、プラズマチャンバにおいて、従来のプラズマベースの「フラッシュ」不活性化方法を使用して形成してよい。従来のプラズマベースの「フラッシュ」不活性化方法は、基板702の表面、第1のフィーチャ704a及び第2のフィーチャ704bを、O2又はN2等のガスの解離ラジカルのプラズマに露出し、基板702の表面、第1のフィーチャ704a及び第2のフィーチャ704bを不活性化材料(例えば、酸化物又は窒化物)に変換する。異なる材料は、従来のプラズマベースの「フラッシュ」不活性化方法とは異なって反応することがあり、異なる材料間の不活性化に様々な度合いをもたらす。図7Bに示すように、第2の不活性化層706bの厚さは、第1の不活性化層706aの厚さよりも大きい。このことは、第2の材料が、第1の材料よりも厚い不活性化材料に変換した結果であり得る。いくつかの実装形態では、第2の材料は、従来のプラズマベースの「フラッシュ」不活性化方法によって第1の材料よりも消費される。したがって、CDゲインは、第1のフィーチャ704a内よりも、第2のフィーチャ704b内で多い。一部作製デバイス構造体720は、プラズマチャンバにおいて不活性化後のデバイス構造体710を表す。
図7Cは、基板702、フィーチャのマスクパターン704a、704b、第1のフィーチャ704a上の第1の不活性化層706a及び第2のフィーチャ704b上の第2の不活性化層706b、及び基板702内の複数のフィーチャ708を含む一部作製デバイス構造体730を示す。複数のフィーチャ708は、プラズマチャンバにおけるエッチング方法の後に形成してよい。エッチング方法は、基板702内の材料の層を所望の厚さまで異方的にエッチングしてよい。複数のフィーチャ508は、フィーチャのマスクパターン704a、704bの下にある複数のフィーチャ709によって画定してよい。第1のフィーチャ704a上の第1の不活性化層706aの厚さは、第2のフィーチャ704b上の第2の不活性化層706bの厚さとは異なる。それだけでなく、第1のフィーチャ704aの耐エッチング性は、第2のフィーチャ704b上の第2の不活性化層706bの耐エッチング性とは異なる。図7Cに示すように、第2のフィーチャ704bにおけるCDバイアス又はCDローディングは、第1のフィーチャ704aにおけるCDバイアス又はCDローディングよりも大きい。プラズマチャンバにおいて従来のプラズマベースの「フラッシュ」不活性化方法を加えると、正確なCD制御は、不活性化の非均一さが材料に左右されるために、可能ではない。一部作製デバイス構造体730は、プラズマチャンバにおいてエッチングした後のデバイス構造体720を表す。
図8Aは、基板802及びフィーチャのマスクパターン804a、804bを含む一部作製デバイス構造体810を示す。フィーチャのマスクパターン804a、804bは、プラズマチャンバにおけるエッチングの後にパターニングし、画定してよい。フィーチャのマスクパターン804a、804bは、第1の材料を有する第1のフィーチャ804a及び第2の材料を有する第2のフィーチャ804bを含んでよく、第2の材料は、第1の材料とは異なる。例として、第1の材料は、シリコンを含み、第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含んでよい。別の例として、第1の材料は、酸化物を含み、第2の材料は、炭素を含んでよい。いくつかの実装形態では、フィーチャのマスクパターン804a、804b等のマスクは、異なる材料を使用すると、異なるピッチをもたらし得る。したがって、第1のフィーチャ804aは、第1のピッチを有し、第2のフィーチャ804bは、第2のピッチを有することがあり、第2のピッチは、第1のピッチよりも大きい。フィーチャのマスクパターン804a、804bは、図8Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図8Bは、基板802、フィーチャのマスクパターン804a、804b及びフィーチャのマスクパターン804a、804bの上に共形に堆積した第1の不活性化層806を含む一部作製デバイス構造体820を示す。第1の不活性化層806は、上記した原位置でのALD方法を使用して堆積してよい。図8Bに示すように、第1の不活性化層806の厚さは、第1のフィーチャ804a及び第2のフィーチャ804b上で比較的均一である。第1の不活性化層806の厚さは、制御し、フィーチャのマスクパターン804a、804bのCDを回復又は調整し得る。このようにして、後続のエッチングによって形成されるフィーチャのCDは、正確に調整し得る。図8Bに示すように、CDゲインは、第1の材料から作製され、第1のピッチを有する第1のフィーチャ804aと、第2の材料から作製され、第2のピッチを有する第2のフィーチャ804bとの間で同じであるか又は実質的に同様である。原位置ALDを使用する第1のフィーチャ804aと第2のフィーチャ804bとの間のCDゲイン又はCDバイアスに関し、「実質的に同様」とは、本開示全体を通して、述べた値の0.5nm以内の値を指す。一部作製デバイス構造体820は、プラズマチャンバにおいて原位置ALD方法を使用して堆積した後のデバイス構造体810を表す。
図8Cは、基板802、フィーチャのマスクパターン804a、804b及びフィーチャのマスクパターン804a、804bの上に共形に堆積した第1の不活性化層806、及び基板802内の複数のフィーチャ808を含む一部作製デバイス構造体830を示す。複数のフィーチャ808は、プラズマチャンバにおけるエッチング方法の後に形成してよい。エッチング方法は、基板802内の材料の層を所望の厚さまで異方的にエッチングしてよい。複数のフィーチャ808は、フィーチャのマスクパターン804a、804bの下にある複数のフィーチャ809によって画定してよい。従来のプラズマベースの「フラッシュ」不活性化方法による酸化又は窒化を介して第1のフィーチャ804a内の第1の材料の一部及び第2のフィーチャ804b内の第2の材料の一部を変換するのではなく、原位置でのALD方法は、自己制限様式で吸着した前駆体材料と反応する。第1のフィーチャ804a上の第1の不活性化層806の厚さ及び第2のフィーチャ804b上の第2の不活性化層806の厚さは同じであるか又は実質的に同様である。更に、第1のフィーチャ804a上及び第2のフィーチャ804b上の第1の不活性化層806の耐エッチング性は、同じである。というのは、原位置でのALD方法は、異なる材料を変換しないで不活性化材料を形成するためである。図8Cに示すように、第1のフィーチャ804aにおけるCDバイアス又はCDローディングは、第2のフィーチャ804bにおけるCDバイアス又はCDローディングは同じであるか又は実質的に同様である。プラズマチャンバにおいて原位置でのALDを施すと、正確なCD制御は、堆積の非均一さが材料とは無関係であるために、可能である。一部作製デバイス構造体830は、プラズマチャンバにおいてエッチングした後のデバイス構造体820を表す。
図9A~図9Cは、個別のツールで堆積及びエッチングを受ける、先細基板フィーチャの概略図である。図10A~図10Dは、いくつかの実装形態による、一体化したALD方法及びエッチング方法を使用して堆積及びエッチングを受ける、先細基板フィーチャの概略図である。図9A~9Cにおける、個別のチャンバ又はツールで層をALDを介して堆積させるのではなく、上記した原位置でのALDは、エッチング-堆積-エッチング方法を循環させ、CDを制御することができ、孤立フィーチャと高密度フィーチャとの間の深さローディングは最小である。
図9Aは、基板902及びフィーチャのマスクパターン904を含む一部作製デバイス構造体910を示す。フィーチャのマスクパターン904のフィーチャのそれぞれは、先細外形を有してよい。図9Aにおいて、フィーチャのマスクパターン904の間の空間は、高密度フィーチャ領域の少なくとも一部分を画定してよく、フィーチャのマスクパターン904の左側の空間は、孤立フィーチャ領域の少なくとも一部分を画定してよい。したがって、フィーチャのマスクパターン904は、フィーチャの間で異なるピッチを有してよい。いくつかの実装形態では、フィーチャのマスクパターン904は、エッチング動作の後にパターニングし、画定してよい。いくつかの実装形態では、フィーチャのマスクパターン904は、ハード・マスクを含んでよい。フィーチャのマスクパターン904は、図9Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図9Bは、基板902、フィーチャのマスクパターン904、及びフィーチャのマスクパターン904の上に共形に堆積した層906を含む一部作製デバイス構造体920を示す。堆積した層906は、ALD等の適切な堆積技法を使用して共形に堆積してよい。いくつかの実装形態では、層906は、不活性化層であってよい。不活性化層は、酸化物及び/又は窒化物を含み得る。層906は共形に堆積されるとはいえ、層906の厚さは、フィーチャのマスクパターン904の側壁及び表面に沿って均一ではないことがある。フィーチャ904の間の空間又はフィーチャのマスクパターン904の空間CDは、比較的小さい又は狭いことがある。更に、層906の堆積により、特に、堆積をエッチング方法とは別個のチャンバ又はツールで実施した場合に、比較的厚い層をもたらすことがある。それ以外の場合、層906の堆積は、所望の厚さを達成する前、いくつかの搬送ステップ及び洗浄ステップを受け得る。図9Bに示すように、先細外形を有するフィーチャのマスクパターン904における狭い空間CDと組み合わせた、厚い堆積層906は、層906の合併がもたらされる。層906の合併は、フィーチャ904間の高密度フィーチャ領域内で生じることがある。高密度フィーチャ領域におけるフィーチャ904の間のより厚い共形堆積及び狭い空間は、高密度フィーチャ領域内に「ピンチオフ」をもたらし、層906の厚さは、孤立フィーチャ領域内よりも、高密度フィーチャ領域内で大きい。例えば、フィーチャのマスクパターン904の空間CDが5nm未満である場合、及び層906の厚さが3nmであり、フィーチャのマスクパターン904上に共形に堆積される場合、図9Bに示すように、層906の「ピンチオフ」又は合併が高密度フィーチャ領域内に生じる。ALDを使用して堆積した場合でさえ、高密度フィーチャ領域内にCDバイアス又はCDローディングが依然として生じる場合がある。一部作製デバイス構造体920は、堆積チャンバ又はツールにおいてALDを使用して堆積した後のデバイス構造体910を表す。
図9Cは、基板902、フィーチャのマスクパターン904、少なくとも部分的にフィーチャのマスクパターン904上の層906、並びに基板902の孤立フィーチャ領域内の第1の深さまで延在する第1のフィーチャ908aと基板902の高密度フィーチャ領域内の第2の深さまで延在する第2のフィーチャ908bを含む一部作製デバイス構造体930を示す。フィーチャ908a、908bは、堆積チャンバとは別個のエッチングチャンバ又はプラズマチャンバにおけるエッチング方法又は「ブレークスルー」の後に形成してよい。「ブレークスルー」は、フィーチャ908a、908bを形成するため、基板902の少なくとも一部分を通る異方性エッチングである。フィーチャ908a、908bは、フィーチャのマスクパターン904の下にある複数のフィーチャ909によって画定してよい。層906の少なくとも一部は、エッチング後、フィーチャのマスクパターン904の側壁上に保持してよい。異方性エッチングにより、孤立フィーチャ領域における基板902の表面で、層906の部分をフィーチャ904の左側まで除去し、孤立フィーチャ領域内の基板902の部分を除去し、第1のフィーチャ908aを形成してよい。異方性エッチングにより、高密度フィーチャ領域における基板902の表面で、フィーチャ904間の層906の部分を除去してよく、高密度フィーチャ領域内の基板902の部分を除去し、第2のフィーチャ908bを形成してもよい。第1のフィーチャ908aは、孤立フィーチャ領域内で第1の深さまで延在し、第2のフィーチャ908bは、高密度フィーチャ領域内で第2の深さまで延在し、第1の深さは、第2の深さよりも大きい。高密度フィーチャ領域内の「ブレークスルー」は、より厚い量の層906を通じてエッチングするため、第2の深さは、第1の厚さよりも浅い。したがって、厚さローディングが孤立フィーチャ領域と高密度フィーチャ領域との間に生じる。一部作製デバイス構造体930は、プラズマチャンバ又はエッチングチャンバにおいてエッチングした後のデバイス構造体920を表す。
図10Aは、基板1002及びフィーチャのマスクパターン1004を含む一部作製デバイス構造体1010を示す。フィーチャのマスクパターン1004のフィーチャのそれぞれは、先細外形を有してよい。図10Aにおいて、フィーチャのマスクパターン1004の間の空間は、高密度フィーチャ領域の少なくとも一部分を画定してよく、フィーチャのマスクパターン1004の左側の空間は、孤立フィーチャ領域の少なくとも一部分を画定してよい。したがって、フィーチャのマスクパターン1004は、フィーチャの間で異なるピッチを有してよい。いくつかの実装形態では、フィーチャのマスクパターン1004は、エッチング動作の後にパターニングし、画定してよい。いくつかの実装形態では、フィーチャのマスクパターン1004は、ハード・マスクを含んでよい。フィーチャのマスクパターン1004は、図10Aに示すように、同じであるか又は実質的に同様であるCDを有してよい。
図10Bは、基板1002及びフィーチャのマスクパターン1004を含む一部作製デバイス構造体1020を示し、先細外形のフィーチャのマスクパターン1004は、エッチングによって部分的に修正又はまっすぐにされている。エッチングに先立って、図10Bに示すいくつかの実装形態では、エッチング動作を堆積の前に実施してよい。異方性エッチングは、フィーチャのマスクパターン1004の縁部を除去し、マスク外形をまっすぐにし、後続の堆積のあらゆる「ピンチオフ」又は合併の可能性を低減し得る。異方性エッチングは、孤立フィーチャ領域及び高密度フィーチャ領域において、基板1002の少なくとも一部分を除去してもよい。エッチング動作により、孤立フィーチャ領域内に第1の浅いフィーチャ1008aを形成し、高密度フィーチャ領域内に第2の浅いフィーチャ1008bを形成し、浅いフィーチャ1008a、1008bのそれぞれの深さは、同じであるか又は実質的に同様である。浅いフィーチャ1008a、1008bの深さに関し、本開示全体を通して「実質的に同様」とは、述べた値のプラス又はマイナス5%の値を指す。エッチング動作は、後続の堆積動作でも使用されるプラズマチャンバ内で実施してよい。一部作製デバイス構造体1020は、プラズマチャンバにおいてエッチングし、フィーチャのマスクパターン1004を修正した後のデバイス構造体1010を表す。
図10Cは、基板1002、外形をまっすぐにしたフィーチャのマスクパターン1004、及びフィーチャのマスクパターン1004の上に共形に堆積した層1006を含む一部作製デバイス構造体1030を示す。堆積層1006は、上記のように、プラズマチャンバ内で原位置でのALDを使用して共形に堆積してよい。いくつかの実装形態では、層1006は、不活性化層であってよい。不活性化層は、酸化物及び/又は窒化物を含んでよい。層1006の堆積により、特に、堆積をエッチング方法と同じチャンバで実施するため、比較的薄い層をもたらすことができる。例えば、層1006は、約3nm以下、又は0.3nmから約2nmの間の厚さを有してよい。層1006の所望の厚さは、異なるチャンバ又はツールの間を搬送する必要なく、プラズマチャンバ内で堆積-エッチング動作を逐次循環させることによって達成してよい。更に、フィーチャ1004の間の空間又はフィーチャのマスクパターン1004の空間CDは、図10Bにおける異方性エッチングの実施により広げてよい。図10Cに示すように、層1006の厚さは、フィーチャのマスクパターン1004の側壁及び表面に沿って比較的均一である。層1006は、フィーチャのマスクパターン1004上での原位置でのALDの使用による、広げたCD空間、まっすぐにしたマスク外形及び薄く堆積した層1006に一部起因する、高密度フィーチャ領域における「ピンチオフ」及び合併を回避する。ALD堆積ステップ及び異方性エッチング・ステップは、サイクルで繰り返し、ピンチオフ又は深さローディングを伴わずに最終CD標的に到達させ得る。CDバイアス又はCDローディングは、高密度フィーチャ領域及び孤立フィーチャ領域内において同じであるか又は実質的に同様である。高密度フィーチャ及び孤立フィーチャにおける層1006のCDバイアスに関し、「実質的に同様」とは、本開示全体を通して、述べた値の0.3nm以内の値を指す。共形に堆積した層1006の厚さは、基板1002内に形成される後続のフィーチャのCDを制御するために使用してよい。一部作製デバイス構造体1030は、プラズマチャンバにおいてALDを使用して堆積した後のデバイス構造体1020を表す。
図10Dは、基板1002、外形をまっすぐにしたフィーチャのマスクパターン1004、フィーチャのマスクパターン1004上に共形に堆積した層1006、並びに基板1002の孤立フィーチャ領域内の第1の深さまで延在する第1のフィーチャ1018a及び基板1002の高密度フィーチャ領域内の第2の深さまで延在する第2のフィーチャ1018bを含む一部作製デバイス構造体1040を示す。フィーチャ1018a、1018bは、プラズマチャンバにおけるエッチング方法又は「ブレークスルー」の後に形成してよい。「ブレークスルー」は、フィーチャ1018a、1018bを形成するため、基板1002の少なくとも一部分を通る異方性エッチングである。フィーチャ1018a、1018bは、フィーチャのマスクパターン1004の下にある複数の構造体1009によって画定してよい。層1006の少なくとも一部は、エッチング後、フィーチャのマスクパターン1004の側壁上に保持してよい。異方性エッチングは、高密度フィーチャ領域及び孤立フィーチャ領域において、基板1002の部分を除去してよい。第1のフィーチャ1018aは、孤立フィーチャ領域内で第1の深さまで延在し、第2のフィーチャ1018bは、高密度フィーチャ領域内で第2の深さまで延在し、第1の深さと第2の深さとの間の深さの変化は、同じであるか又は実質的に同様である。高密度フィーチャ領域及び孤立フィーチャ領域内のフィーチャ1018a、1018bとの間の深さの変化又は深さローディングに関し、「実質的に同様」とは、本開示全体を通して、述べた値のプラス又はマイナス5%の値を指す。図10Dにおいて実施される異方性エッチングの後、フィーチャ1018a、1018bの所望のCDが達成されるまで、プラズマチャンバ内でALD及びエッチング動作の更なるサイクルを繰り返してよい。このことにより、フィーチャ1018a、1018bのCDの微調整を可能にする。ALD及びエッチング動作の更なるサイクルは、繰り返してよく、孤立フィーチャ領域と高密度フィーチャ領域との間の深さローディングは、最小である。一部作製デバイス構造体1040は、プラズマチャンバにおいてエッチングした後のデバイス構造体1030を表す。
結び
上記の実施形態は、理解を明快にする目的である程度詳細に説明してきたが、特定の変更及び修正を添付の特許請求の範囲内で行ってよいことは明らかであろう。本実施形態の方法、システム及び装置を実施する多くの代替様式があることに留意されたい。したがって、本実施形態は、限定的ではなく、例示的とみなすべきであり、実施形態は、本明細書で示す詳細に限定すべきではない。
結び
上記の実施形態は、理解を明快にする目的である程度詳細に説明してきたが、特定の変更及び修正を添付の特許請求の範囲内で行ってよいことは明らかであろう。本実施形態の方法、システム及び装置を実施する多くの代替様式があることに留意されたい。したがって、本実施形態は、限定的ではなく、例示的とみなすべきであり、実施形態は、本明細書で示す詳細に限定すべきではない。本開示は以下の適用例としても実現できる。
[適用例1]
方法であって、
プラズマチャンバにおいて、フィーチャのマスクパターンを形成するため、基板の第1の層をエッチングすることであって、前記フィーチャのマスクパターンは、前記フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する、エッチングすることと、
前記プラズマチャンバにおいて、原子層堆積法(ALD)によって前記フィーチャのマスクパターン上に第1の不活性化層を堆積することであって、前記第1の不活性化層は、前記フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される、堆積することと、
前記プラズマチャンバにおいて、前記所望の幅を有する前記複数の構造体を形成するため、前記基板の第2の層をエッチングすることと
を含む方法。
[適用例2]
適用例1に記載の方法であって、前記フィーチャのマスクパターンは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、前記孤立フィーチャ領域よりも大きなフィーチャ密度を有する高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとを含む、方法。
[適用例3]
適用例2に記載の方法であって、前記孤立フィーチャ領域内の前記1つ又は複数の孤立フィーチャと、前記高密度フィーチャ領域内の前記1つ又は複数の高密度フィーチャとの間の限界寸法(CD)ゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
[適用例4]
適用例2に記載の方法であって、前記孤立フィーチャと前記高密度フィーチャとの間の深さの変化は、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
[適用例5]
適用例2に記載の方法であって、
前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
を更に含み、前記孤立フィーチャ領域内の孤立フィーチャと前記高密度フィーチャ領域内の高密度フィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
[適用例6]
適用例2に記載の方法であって、前記1つ又は複数の孤立フィーチャと前記1つ又は複数の高密度フィーチャとの間の前記フィーチャのマスクパターンのCDは、前記第1の不活性化層を堆積する前、同じであるか又は実質的に同様である、方法。
[適用例7]
適用例1~6のいずれか一項に記載の方法であって、前記複数の構造体は、第1の縦横比を有する少なくとも1つの第1のフィーチャ、及び前記第1の縦横比とは異なる第2の縦横比を有する第2のフィーチャを画定し、前記第1のフィーチャと前記第2のフィーチャとの間のCDゲインは、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
[適用例8]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンは、第1の材料を有する1つ又は複数の第1のフィーチャ、及び前記第1の材料とは異なる第2の材料を有する1つ又は複数の第2のフィーチャを含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
[適用例9]
適用例8に記載の方法であって、
前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
を更に含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
[適用例10]
適用例8に記載の方法であって、前記第1の材料は、シリコンを含み、前記第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含む、方法。
[適用例11]
適用例1~6のいずれか一項に記載の方法であって、前記プラズマチャンバにおける前記第2の層に対するALDによる堆積動作及びエッチング動作は、動作の間に真空破壊を導入せずに実施される、方法。
[適用例12]
適用例1~6のいずれか一項に記載の方法であって、前記所望の幅は、前記複数の構造体の所望の限界寸法に対応する、方法。
[適用例13]
適用例1~6のいずれか一項に記載の方法であって、前記複数の基板の限界寸法は、約20nm以下である、方法。
[適用例14]
適用例1~6のいずれか一項に記載の方法であって、前記第1の不活性化層の厚さは、約0.5nmから約3nmの間である、方法。
[適用例15]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンのフィーチャの1つ又は複数は、先細外形を有し、前記方法は、ALDによって前記第1の不活性化層を堆積する前、前記先細外形を修正するように、前記フィーチャのマスクパターンの少なくとも一部分を異方的にエッチングすることを更に含む、方法。
[適用例16]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンの空間CDは、約5nm以下である、方法。
[適用例17]
適用例1~6のいずれか一項に記載の方法であって、
前記プラズマチャンバにおいて、前記基板の前記第2の層をエッチングした後、ALDによって前記複数の構造体上に第2の不活性化層を堆積すること
を更に含み、前記第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される、方法。
[適用例18]
適用例1~6のいずれか一項に記載の方法であって、前記第1の不活性化層は、シリコン酸化物(SiO x )を含む、方法。
[適用例19]
適用例1~6のいずれか一項に記載の方法であって、前記基板の前記第2の層のエッチングは、最終所望深さよりも小さい深さに前記第2の層をエッチングすることである、方法。
[適用例20]
適用例1~6のいずれか一項に記載の方法であって、ALDによる前記第1の不活性化層の堆積は、
前記プラズマチャンバに、前記フィーチャのマスクパターン上に吸着する前駆体を導入することと、
ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
前記厚さの前記第1の不活性化層が前記フィーチャのマスクパターン上に堆積されるまで、前記前駆体の導入及び前記前駆体の変換の動作を繰り返すことと
を含む、方法。

Claims (20)

  1. 方法であって、
    プラズマチャンバにおいて、フィーチャのマスクパターンを形成するため、基板の第1の層をエッチングすることであって、前記フィーチャのマスクパターンは、前記フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する、エッチングすることと、
    前記プラズマチャンバにおいて、原子層堆積法(ALD)によって前記フィーチャのマスクパターン上に第1の不活性化層を堆積することであって、前記第1の不活性化層は、前記フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される、堆積することと、
    前記プラズマチャンバにおいて、前記所望の幅を有する前記複数の構造体を形成するため、前記基板の第2の層をエッチングすることと
    を含む方法。
  2. 請求項1に記載の方法であって、前記フィーチャのマスクパターンは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、前記孤立フィーチャ領域よりも大きなフィーチャ密度を有する高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとを含む、方法。
  3. 請求項2に記載の方法であって、前記孤立フィーチャ領域内の前記1つ又は複数の孤立フィーチャと、前記高密度フィーチャ領域内の前記1つ又は複数の高密度フィーチャとの間の限界寸法(CD)ゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
  4. 請求項2に記載の方法であって、前記孤立フィーチャと前記高密度フィーチャとの間の深さの変化は、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
  5. 請求項2に記載の方法であって、
    前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
    を更に含み、前記孤立フィーチャ領域内の孤立フィーチャと前記高密度フィーチャ領域内の高密度フィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
  6. 請求項2に記載の方法であって、前記1つ又は複数の孤立フィーチャと前記1つ又は複数の高密度フィーチャとの間の前記フィーチャのマスクパターンのCDは、前記第1の不活性化層を堆積する前、同じであるか又は実質的に同様である、方法。
  7. 請求項1~6のいずれか一項に記載の方法であって、前記複数の構造体は、第1の縦横比を有する少なくとも1つの第1のフィーチャ、及び前記第1の縦横比とは異なる第2の縦横比を有する第2のフィーチャを画定し、前記第1のフィーチャと前記第2のフィーチャとの間のCDゲインは、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
  8. 請求項1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンは、第1の材料を有する1つ又は複数の第1のフィーチャ、及び前記第1の材料とは異なる第2の材料を有する1つ又は複数の第2のフィーチャを含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
  9. 請求項8に記載の方法であって、
    前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
    を更に含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
  10. 請求項8に記載の方法であって、前記第1の材料は、シリコンを含み、前記第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含む、方法。
  11. 請求項1~6のいずれか一項に記載の方法であって、前記プラズマチャンバにおける前記第2の層に対するALDによる堆積動作及びエッチング動作は、動作の間に真空破壊を導入せずに実施される、方法。
  12. 請求項1~6のいずれか一項に記載の方法であって、前記所望の幅は、前記複数の構造体の所望の限界寸法に対応する、方法。
  13. 請求項1~6のいずれか一項に記載の方法であって、前記複数の基板の限界寸法は、約20nm以下である、方法。
  14. 請求項1~6のいずれか一項に記載の方法であって、前記第1の不活性化層の厚さは、約0.5nmから約3nmの間である、方法。
  15. 請求項1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンのフィーチャの1つ又は複数は、先細外形を有し、前記方法は、ALDによって前記第1の不活性化層を堆積する前、前記先細外形を修正するように、前記フィーチャのマスクパターンの少なくとも一部分を異方的にエッチングすることを更に含む、方法。
  16. 請求項1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンの空間CDは、約5nm以下である、方法。
  17. 請求項1~6のいずれか一項に記載の方法であって、
    前記プラズマチャンバにおいて、前記基板の前記第2の層をエッチングした後、ALDによって前記複数の構造体上に第2の不活性化層を堆積すること
    を更に含み、前記第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される、方法。
  18. 請求項1~6のいずれか一項に記載の方法であって、前記第1の不活性化層は、シリコン酸化物(SiOx)を含む、方法。
  19. 請求項1~6のいずれか一項に記載の方法であって、前記基板の前記第2の層のエッチングは、最終所望深さよりも小さい深さに前記第2の層をエッチングすることである、方法。
  20. 請求項1~6のいずれか一項に記載の方法であって、ALDによる前記第1の不活性化層の堆積は、
    前記プラズマチャンバに、前記フィーチャのマスクパターン上に吸着する前駆体を導入することと、
    ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
    前記厚さの前記第1の不活性化層が前記フィーチャのマスクパターン上に堆積されるまで、前記前駆体の導入及び前記前駆体の変換の動作を繰り返すことと
    を含む、方法。
JP2022064928A 2017-11-21 2022-04-11 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング Active JP7246547B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/820,108 US10734238B2 (en) 2017-11-21 2017-11-21 Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US15/820,108 2017-11-21
JP2020545226A JP7097983B2 (ja) 2017-11-21 2018-11-13 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
PCT/US2018/060732 WO2019103876A1 (en) 2017-11-21 2018-11-13 Atomic layer deposition and etch in a single plasma chamber for critical dimension control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020545226A Division JP7097983B2 (ja) 2017-11-21 2018-11-13 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング

Publications (2)

Publication Number Publication Date
JP2022092006A true JP2022092006A (ja) 2022-06-21
JP7246547B2 JP7246547B2 (ja) 2023-03-27

Family

ID=66534537

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545226A Active JP7097983B2 (ja) 2017-11-21 2018-11-13 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP2022064928A Active JP7246547B2 (ja) 2017-11-21 2022-04-11 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020545226A Active JP7097983B2 (ja) 2017-11-21 2018-11-13 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング

Country Status (6)

Country Link
US (2) US10734238B2 (ja)
JP (2) JP7097983B2 (ja)
KR (2) KR20220039850A (ja)
CN (1) CN111615742A (ja)
TW (2) TW202301469A (ja)
WO (1) WO2019103876A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109524302B (zh) * 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
JP7427591B2 (ja) 2017-12-22 2024-02-05 ウォッチアウトコープ・ソシエテ・アノニム 二つの構造を持つ3次元目標、光学計測装置及びそのような目標を使う方法
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
JP2020122740A (ja) * 2019-01-31 2020-08-13 セイコーエプソン株式会社 構造体形成方法およびデバイス
KR20210056778A (ko) 2019-11-11 2021-05-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN113707659B (zh) * 2020-05-22 2023-12-12 长鑫存储技术有限公司 半导体器件中孔、半导体器件的制备方法及半导体器件
EP3958293A4 (en) * 2020-05-22 2022-12-28 Changxin Memory Technologies, Inc. SEMICONDUCTOR DEVICE HOLES, SEMICONDUCTOR DEVICE PREPARATION METHOD, AND SEMICONDUCTOR DEVICE
US11264281B2 (en) 2020-07-09 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced loading effect
US20220301887A1 (en) * 2021-03-16 2022-09-22 Applied Materials, Inc. Ruthenium etching process

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070196980A1 (en) * 2006-02-22 2007-08-23 Micron Technology, Inc. Line edge roughness reduction
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2009164576A (ja) * 2008-01-07 2009-07-23 Samsung Electronics Co Ltd 半導体素子の微細パターンの形成方法
JP2009218574A (ja) * 2008-02-15 2009-09-24 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010141178A (ja) * 2008-12-12 2010-06-24 Mitsubishi Electric Corp エッチング方法およびエッチング方法を用いた半導体装置の製造方法
JP2012231162A (ja) * 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP2015050440A (ja) * 2013-09-04 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20160020109A1 (en) * 2014-07-16 2016-01-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP2017011136A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
WO2017132381A1 (en) * 2016-01-29 2017-08-03 Tokyo Electron Limited Method and system for forming memory fin patterns

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3472397B2 (ja) * 1994-11-01 2003-12-02 松下電器産業株式会社 ドライエッチング方法
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
JP4066517B2 (ja) 1998-06-17 2008-03-26 ソニー株式会社 電子装置の製造方法
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US20060134917A1 (en) 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
WO2009085597A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Cd bias loading control with arc layer open
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP5223364B2 (ja) 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
JP4972594B2 (ja) 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
KR100992653B1 (ko) * 2008-10-13 2010-11-05 주식회사 동부하이텍 원자층 증착방식을 이용한 미세홀 패턴 형성방법
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8298949B2 (en) 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
CN103839781B (zh) 2012-11-21 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US9412871B2 (en) 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with channel backside passivation layer device and method
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR101674972B1 (ko) * 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
JP6334296B2 (ja) * 2014-07-04 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN105470132B (zh) 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9659929B2 (en) 2014-10-31 2017-05-23 Infineon Technologies Dresden Gmbh Semiconductor device with enhancement and depletion FinFET cells
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US20170053793A1 (en) 2015-08-17 2017-02-23 Tokyo Electron Limited Method and system for sculpting spacer sidewall mask
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
CN108076667A (zh) 2015-09-18 2018-05-25 英特尔公司 非平面晶体管界面的基于氘的钝化
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102452999B1 (ko) * 2016-05-03 2022-10-07 삼성전자주식회사 반도체 장치 제조 방법
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10483169B2 (en) * 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10943830B2 (en) * 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10770354B2 (en) * 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2012231162A (ja) * 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
US20070196980A1 (en) * 2006-02-22 2007-08-23 Micron Technology, Inc. Line edge roughness reduction
JP2009164576A (ja) * 2008-01-07 2009-07-23 Samsung Electronics Co Ltd 半導体素子の微細パターンの形成方法
JP2009218574A (ja) * 2008-02-15 2009-09-24 Tokyo Electron Ltd パターン形成方法、半導体装置の製造方法及び半導体装置の製造装置
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010141178A (ja) * 2008-12-12 2010-06-24 Mitsubishi Electric Corp エッチング方法およびエッチング方法を用いた半導体装置の製造方法
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP2015050440A (ja) * 2013-09-04 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20160020109A1 (en) * 2014-07-16 2016-01-21 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
JP2016131238A (ja) * 2015-01-12 2016-07-21 ラム リサーチ コーポレーションLam Research Corporation 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP2017011136A (ja) * 2015-06-23 2017-01-12 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
WO2017132381A1 (en) * 2016-01-29 2017-08-03 Tokyo Electron Limited Method and system for forming memory fin patterns

Also Published As

Publication number Publication date
WO2019103876A1 (en) 2019-05-31
TW202301469A (zh) 2023-01-01
TWI779131B (zh) 2022-10-01
US20190157095A1 (en) 2019-05-23
KR20200079344A (ko) 2020-07-02
JP2021504972A (ja) 2021-02-15
JP7097983B2 (ja) 2022-07-08
TW201936965A (zh) 2019-09-16
US11211253B2 (en) 2021-12-28
KR20220039850A (ko) 2022-03-29
CN111615742A (zh) 2020-09-01
KR102377966B1 (ko) 2022-03-22
JP7246547B2 (ja) 2023-03-27
US10734238B2 (en) 2020-08-04
US20200328087A1 (en) 2020-10-15

Similar Documents

Publication Publication Date Title
JP7097983B2 (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
JP7023376B2 (ja) 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング
KR20170093718A (ko) 연속적인 플라즈마의 원자층 에칭
TW201642339A (zh) 蝕刻氮化矽時達成超高選擇性之方法
KR102660290B1 (ko) 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
TW201730966A (zh) 具有高產能之超高選擇性多晶矽蝕刻
KR20170035779A (ko) 측벽 이미지 전사 스페이서들의 인시츄 증착을 수행하기 위한 시스템들 및 방법들
CN112335016A (zh) 高深宽比结构的有效率的清洁和蚀刻
TWI837105B (zh) 用於降低粗糙度的原子層沉積和蝕刻
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220427

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230314

R150 Certificate of patent or registration of utility model

Ref document number: 7246547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150